US20190035718A1 - Semiconductor device and method of forming a curved image sensor - Google Patents

Semiconductor device and method of forming a curved image sensor Download PDF

Info

Publication number
US20190035718A1
US20190035718A1 US16/148,563 US201816148563A US2019035718A1 US 20190035718 A1 US20190035718 A1 US 20190035718A1 US 201816148563 A US201816148563 A US 201816148563A US 2019035718 A1 US2019035718 A1 US 2019035718A1
Authority
US
United States
Prior art keywords
semiconductor device
base material
curved surface
substrate
semiconductor
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US16/148,563
Inventor
Michael J. Seddon
Francis J. Carney
Eric Woolsey
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Semiconductor Components Industries LLC
Original Assignee
Semiconductor Components Industries LLC
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Semiconductor Components Industries LLC filed Critical Semiconductor Components Industries LLC
Priority to US16/148,563 priority Critical patent/US20190035718A1/en
Assigned to SEMICONDUCTOR COMPONENTS INDUSTRIES, LLC reassignment SEMICONDUCTOR COMPONENTS INDUSTRIES, LLC ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: CARNEY, FRANCIS J., SEDDON, MICHAEL J., WOOLSEY, ERIC
Publication of US20190035718A1 publication Critical patent/US20190035718A1/en
Assigned to DEUTSCHE BANK AG NEW YORK BRANCH, AS COLLATERAL AGENT reassignment DEUTSCHE BANK AG NEW YORK BRANCH, AS COLLATERAL AGENT SECURITY INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: FAIRCHILD SEMICONDUCTOR CORPORATION, SEMICONDUCTOR COMPONENTS INDUSTRIES, LLC
Assigned to SEMICONDUCTOR COMPONENTS INDUSTRIES, LLC, FAIRCHILD SEMICONDUCTOR CORPORATION reassignment SEMICONDUCTOR COMPONENTS INDUSTRIES, LLC RELEASE OF SECURITY INTEREST IN PATENTS RECORDED AT REEL 048327, FRAME 0670 Assignors: DEUTSCHE BANK AG NEW YORK BRANCH, AS COLLATERAL AGENT
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/498Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers
    • H01L23/49827Via connections through the substrates, e.g. pins going through the substrate, coaxial cables
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5384Conductive vias through the substrate with or without pins, e.g. buried coaxial conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02002Preparing wafers
    • H01L21/02005Preparing bulk and homogeneous wafers
    • H01L21/02035Shaping
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/288Deposition of conductive or insulating materials for electrodes conducting electric current from a liquid, e.g. electrolytic deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/304Mechanical treatment, e.g. grinding, polishing, cutting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/48Manufacture or treatment of parts, e.g. containers, prior to assembly of the devices, using processes not provided for in a single one of the subgroups H01L21/06 - H01L21/326
    • H01L21/4814Conductive parts
    • H01L21/4821Flat leads, e.g. lead frames with or without insulating supports
    • H01L21/4825Connection or disconnection of other leads to or from flat leads, e.g. wires, bumps, other flat leads
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/48Manufacture or treatment of parts, e.g. containers, prior to assembly of the devices, using processes not provided for in a single one of the subgroups H01L21/06 - H01L21/326
    • H01L21/4814Conductive parts
    • H01L21/4846Leads on or in insulating or insulated substrates, e.g. metallisation
    • H01L21/4853Connection or disconnection of other leads to or from a metallisation, e.g. pins, wires, bumps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/48Manufacture or treatment of parts, e.g. containers, prior to assembly of the devices, using processes not provided for in a single one of the subgroups H01L21/06 - H01L21/326
    • H01L21/4814Conductive parts
    • H01L21/4846Leads on or in insulating or insulated substrates, e.g. metallisation
    • H01L21/486Via connections through the substrate with or without pins
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • H01L21/56Encapsulations, e.g. encapsulation layers, coatings
    • H01L21/565Moulds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6835Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76898Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics formed through a semiconductor substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • H01L22/26Acting in response to an ongoing measurement without interruption of processing, e.g. endpoint detection, in-situ thickness measurement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/28Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection
    • H01L23/31Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape
    • H01L23/3107Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/28Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection
    • H01L23/31Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape
    • H01L23/3107Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed
    • H01L23/3114Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed the device being a chip scale package, e.g. CSP
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/481Internal lead connections, e.g. via connections, feedthrough structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/482Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body
    • H01L23/4822Beam leads
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/495Lead-frames or other flat leads
    • H01L23/49503Lead-frames or other flat leads characterised by the die pad
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/495Lead-frames or other flat leads
    • H01L23/49503Lead-frames or other flat leads characterised by the die pad
    • H01L23/4951Chip-on-leads or leads-on-chip techniques, i.e. inner lead fingers being used as die pad
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/495Lead-frames or other flat leads
    • H01L23/49541Geometry of the lead-frame
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/495Lead-frames or other flat leads
    • H01L23/49541Geometry of the lead-frame
    • H01L23/49562Geometry of the lead-frame for devices being provided for in H01L29/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/495Lead-frames or other flat leads
    • H01L23/49575Assemblies of semiconductor devices on lead frames
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/498Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers
    • H01L23/49811Additional leads joined to the metallisation on the insulating substrate, e.g. pins, bumps, wires, flat leads
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/498Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers
    • H01L23/49838Geometry or layout
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/498Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers
    • H01L23/49866Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers characterised by the materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5386Geometry or layout of the interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/544Marks applied to semiconductor devices or parts, e.g. registration marks, alignment structures, wafer maps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/562Protection against mechanical damage
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L24/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/11Manufacturing methods
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L24/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/04Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers
    • H01L25/065Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L25/0655Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00 the devices being arranged next to each other
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/04Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers
    • H01L25/065Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L25/0657Stacked arrangements of devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/50Multistep manufacturing processes of assemblies consisting of devices, each device being of a type provided for in group H01L27/00 or H01L29/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/0203Particular design considerations for integrated circuits
    • H01L27/0207Geometrical layout of the components, e.g. computer aided design; custom LSI, semi-custom LSI, standard cell technique
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • H01L27/144Devices controlled by radiation
    • H01L27/146Imager structures
    • H01L27/14683Processes or apparatus peculiar to the manufacture or treatment of these devices or parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • H01L29/0847Source or drain regions of field-effect devices of field-effect transistors with insulated gate
    • HELECTRICITY
    • H02GENERATION; CONVERSION OR DISTRIBUTION OF ELECTRIC POWER
    • H02MAPPARATUS FOR CONVERSION BETWEEN AC AND AC, BETWEEN AC AND DC, OR BETWEEN DC AND DC, AND FOR USE WITH MAINS OR SIMILAR POWER SUPPLY SYSTEMS; CONVERSION OF DC OR AC INPUT POWER INTO SURGE OUTPUT POWER; CONTROL OR REGULATION THEREOF
    • H02M3/00Conversion of dc power input into dc power output
    • H02M3/02Conversion of dc power input into dc power output without intermediate conversion into ac
    • H02M3/04Conversion of dc power input into dc power output without intermediate conversion into ac by static converters
    • H02M3/10Conversion of dc power input into dc power output without intermediate conversion into ac by static converters using discharge tubes with control electrode or semiconductor devices with control electrode
    • H02M3/145Conversion of dc power input into dc power output without intermediate conversion into ac by static converters using discharge tubes with control electrode or semiconductor devices with control electrode using devices of a triode or transistor type requiring continuous application of a control signal
    • H02M3/155Conversion of dc power input into dc power output without intermediate conversion into ac by static converters using discharge tubes with control electrode or semiconductor devices with control electrode using devices of a triode or transistor type requiring continuous application of a control signal using semiconductor devices only
    • H02M3/156Conversion of dc power input into dc power output without intermediate conversion into ac by static converters using discharge tubes with control electrode or semiconductor devices with control electrode using devices of a triode or transistor type requiring continuous application of a control signal using semiconductor devices only with automatic control of output voltage or current, e.g. switching regulators
    • H02M3/158Conversion of dc power input into dc power output without intermediate conversion into ac by static converters using discharge tubes with control electrode or semiconductor devices with control electrode using devices of a triode or transistor type requiring continuous application of a control signal using semiconductor devices only with automatic control of output voltage or current, e.g. switching regulators including plural semiconductor devices as final control devices for a single load
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere
    • H01L2221/683Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L2221/68304Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L2221/68327Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support used during dicing or grinding
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2223/00Details relating to semiconductor or other solid state devices covered by the group H01L23/00
    • H01L2223/544Marks applied to semiconductor devices or parts
    • H01L2223/54426Marks applied to semiconductor devices or parts for alignment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2223/00Details relating to semiconductor or other solid state devices covered by the group H01L23/00
    • H01L2223/544Marks applied to semiconductor devices or parts
    • H01L2223/54453Marks applied to semiconductor devices or parts for use prior to dicing
    • H01L2223/5446Located in scribe lines
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/0212Auxiliary members for bonding areas, e.g. spacers
    • H01L2224/02122Auxiliary members for bonding areas, e.g. spacers being formed on the semiconductor or solid-state body
    • H01L2224/02163Auxiliary members for bonding areas, e.g. spacers being formed on the semiconductor or solid-state body on the bonding area
    • H01L2224/02165Reinforcing structures
    • H01L2224/02166Collar structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/0401Bonding areas specifically adapted for bump connectors, e.g. under bump metallisation [UBM]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/04042Bonding areas specifically adapted for wire connectors, e.g. wirebond pads
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05075Plural internal layers
    • H01L2224/0508Plural internal layers being stacked
    • H01L2224/05083Three-layer arrangements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05075Plural internal layers
    • H01L2224/0508Plural internal layers being stacked
    • H01L2224/05084Four-layer arrangements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05099Material
    • H01L2224/051Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05117Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C
    • H01L2224/05124Aluminium [Al] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05099Material
    • H01L2224/051Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05138Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/05139Silver [Ag] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05099Material
    • H01L2224/051Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05138Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/05144Gold [Au] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05099Material
    • H01L2224/051Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05138Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/05147Copper [Cu] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05099Material
    • H01L2224/051Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05138Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/05155Nickel [Ni] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05099Material
    • H01L2224/051Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05163Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than 1550°C
    • H01L2224/05164Palladium [Pd] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05099Material
    • H01L2224/051Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05163Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than 1550°C
    • H01L2224/05166Titanium [Ti] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05099Material
    • H01L2224/051Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05163Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than 1550°C
    • H01L2224/05171Chromium [Cr] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05099Material
    • H01L2224/051Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05163Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than 1550°C
    • H01L2224/05172Vanadium [V] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05099Material
    • H01L2224/051Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05163Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than 1550°C
    • H01L2224/05184Tungsten [W] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/0556Disposition
    • H01L2224/05571Disposition the external layer being disposed in a recess of the surface
    • H01L2224/05572Disposition the external layer being disposed in a recess of the surface the external layer extending out of an opening
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/056Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05638Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/05639Silver [Ag] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/056Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05638Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/05644Gold [Au] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/056Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05638Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/05647Copper [Cu] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/056Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05638Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/05655Nickel [Ni] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/056Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05663Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than 1550°C
    • H01L2224/05664Palladium [Pd] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/056Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05663Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than 1550°C
    • H01L2224/05672Vanadium [V] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/11Manufacturing methods
    • H01L2224/113Manufacturing methods by local deposition of the material of the bump connector
    • H01L2224/1133Manufacturing methods by local deposition of the material of the bump connector in solid form
    • H01L2224/11334Manufacturing methods by local deposition of the material of the bump connector in solid form using preformed bumps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/1302Disposition
    • H01L2224/13021Disposition the bump connector being disposed in a recess of the surface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/1302Disposition
    • H01L2224/13022Disposition the bump connector being at least partially embedded in the surface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/1302Disposition
    • H01L2224/13025Disposition the bump connector being disposed on a via connection of the semiconductor or solid-state body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13099Material
    • H01L2224/131Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/13101Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of less than 400°C
    • H01L2224/13111Tin [Sn] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13099Material
    • H01L2224/131Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/13101Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of less than 400°C
    • H01L2224/13116Lead [Pb] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L2224/31Structure, shape, material or disposition of the layer connectors after the connecting process
    • H01L2224/32Structure, shape, material or disposition of the layer connectors after the connecting process of an individual layer connector
    • H01L2224/321Disposition
    • H01L2224/32135Disposition the layer connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip
    • H01L2224/32145Disposition the layer connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/34Strap connectors, e.g. copper straps for grounding power devices; Manufacturing methods related thereto
    • H01L2224/39Structure, shape, material or disposition of the strap connectors after the connecting process
    • H01L2224/40Structure, shape, material or disposition of the strap connectors after the connecting process of an individual strap connector
    • H01L2224/401Disposition
    • H01L2224/40151Connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/40221Connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/40245Connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being metallic
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/42Wire connectors; Manufacturing methods related thereto
    • H01L2224/47Structure, shape, material or disposition of the wire connectors after the connecting process
    • H01L2224/48Structure, shape, material or disposition of the wire connectors after the connecting process of an individual wire connector
    • H01L2224/4805Shape
    • H01L2224/4809Loop shape
    • H01L2224/48091Arched
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/73Means for bonding being of different types provided for in two or more of groups H01L2224/10, H01L2224/18, H01L2224/26, H01L2224/34, H01L2224/42, H01L2224/50, H01L2224/63, H01L2224/71
    • H01L2224/732Location after the connecting process
    • H01L2224/73251Location after the connecting process on different surfaces
    • H01L2224/73265Layer and wire connectors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06555Geometry of the stack, e.g. form of the devices, geometry to facilitate stacking
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06593Mounting aids permanently on device; arrangements for alignment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06596Structural arrangements for testing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/12Mountings, e.g. non-detachable insulating substrates
    • H01L23/14Mountings, e.g. non-detachable insulating substrates characterised by the material or its electrical properties
    • H01L23/147Semiconductor insulating substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/12Mountings, e.g. non-detachable insulating substrates
    • H01L23/14Mountings, e.g. non-detachable insulating substrates characterised by the material or its electrical properties
    • H01L23/15Ceramic or glass substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/34Arrangements for cooling, heating, ventilating or temperature compensation ; Temperature sensing arrangements
    • H01L23/36Selection of materials, or shaping, to facilitate cooling or heating, e.g. heatsinks
    • H01L23/367Cooling facilitated by shape of device
    • H01L23/3677Wire-like or pin-like cooling fins or heat sinks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/498Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers
    • H01L23/49811Additional leads joined to the metallisation on the insulating substrate, e.g. pins, bumps, wires, flat leads
    • H01L23/49816Spherical bumps on the substrate for external connection, e.g. ball grid arrays [BGA]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • H01L27/144Devices controlled by radiation
    • H01L27/146Imager structures
    • H01L27/14601Structural or functional details thereof
    • H01L27/14625Optical elements or arrangements associated with the device
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • H01L27/144Devices controlled by radiation
    • H01L27/146Imager structures
    • H01L27/14683Processes or apparatus peculiar to the manufacture or treatment of these devices or parts thereof
    • H01L27/14685Process for coatings or optical elements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/1015Shape
    • H01L2924/10155Shape being other than a cuboid
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/13Discrete devices, e.g. 3 terminal devices
    • H01L2924/1304Transistor
    • H01L2924/1305Bipolar Junction Transistor [BJT]
    • H01L2924/13055Insulated gate bipolar transistor [IGBT]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/13Discrete devices, e.g. 3 terminal devices
    • H01L2924/1304Transistor
    • H01L2924/1306Field-effect transistor [FET]
    • H01L2924/13091Metal-Oxide-Semiconductor Field-Effect Transistor [MOSFET]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/181Encapsulation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/30Technical effects
    • H01L2924/35Mechanical effects
    • H01L2924/351Thermal stress
    • H01L2924/3511Warping

Definitions

  • the present subject matter relates in general to semiconductor devices and, more particularly, to a semiconductor device and method of forming a curved image sensor.
  • Semiconductor devices are commonly found in modern electronic products. Semiconductor devices vary in the number and density of electrical components. Semiconductor devices perform a wide range of functions such as analog and digital signal processing, sensors, transmitting and receiving electromagnetic signals, controlling electronic devices, power management, and audio/video signal processing. Discrete semiconductor devices generally contain one type of electrical component, e.g., light emitting diode (LED), small signal transistor, resistor, capacitor, inductor, diodes, rectifiers, thyristors, and power metal-oxide-semiconductor field-effect transistor (MOSFET). Integrated semiconductor devices typically contain hundreds to millions of electrical components. Examples of integrated semiconductor devices include microcontrollers, application specific integrated circuits (ASIC), standard logic, amplifiers, clock management, memory, interface circuits, and various signal processing circuits.
  • ASIC application specific integrated circuits
  • An image sensor is a type of semiconductor device that detects and records an image by converting the variable attenuation of light waves or electromagnetic radiation into electric signals.
  • An image sensor can be implemented with semiconductor charge-coupled devices (CCD) and active pixel sensors in complementary metal-oxide-semiconductor (CMOS) or N-type metal-oxide-semiconductor (NMOS) technologies with applications in digital cameras, video recorders, medical imaging equipment, night vision equipment, thermal imaging devices, radar, sonar, and other image detecting devices.
  • CCD semiconductor charge-coupled devices
  • CMOS complementary metal-oxide-semiconductor
  • NMOS N-type metal-oxide-semiconductor
  • the light source is typically focused onto a flat or planar image sensor surface through one or more optical lenses, e.g., up to four lenses.
  • the optical focusing lenses add cost, complexity, and height to the semiconductor package. Even with optical lenses, the focus is often better in the center region and less on the edges of the image sensor. Image sensors are continually driving towards higher resolution, faster focus times, better focus depth, lower profile, and lower cost.
  • FIG. 1 a shows a known image sensor die 50 with active imaging surface 52 disposed over substrate 54 having a curved surface 56 .
  • Image sensor die 50 is attached to curved surface 56 of substrate 54 with an epoxy.
  • Image sensor die 50 conforms to curved surface 56 with application of pressure and heat.
  • the epoxy is cured under pressure leaving image sensor die 50 molded to surface 56 a curved form factor, as shown in FIG. 1 b .
  • Image sensor die 50 in FIG. 1 b is difficult to manufacture in high volume due in part to the curved nature of substrate 54 , the curing process under pressure for the epoxy, the need to angle interconnecting bond wires, and lack of economies of scale.
  • the process of FIGS. 1 a -1 b is high cost and not amenable with batch processing or mass production.
  • FIGS. 1 a -1 b illustrate a known image sensor conforming to a curved surface of a substrate
  • FIGS. 2 a -2 b illustrate a semiconductor wafer with a plurality of semiconductor die separated by a saw street
  • FIGS. 3 a -3 j illustrate a process of forming a concave surface image sensor area on a semiconductor die
  • FIG. 4 illustrates the semiconductor die with a concave surface image sensor area
  • FIG. 5 illustrates the semiconductor die with an image sensor area having a partial concave surface and partial planar surface
  • FIGS. 6 a -6 b illustrate a process of forming the concave surface image sensor area on substrate having a curved surface
  • FIGS. 7 a -7 b illustrate a process of forming the concave surface image sensor area on substrate having a recessed surface.
  • semiconductor die refers to both the singular and plural form of the words, and accordingly, can refer to both a single semiconductor device and multiple semiconductor devices.
  • Front-end manufacturing involves the formation of a plurality of die on the surface of a semiconductor wafer.
  • Each die on the wafer may contain active and passive electrical components and optical devices, which are electrically connected to form functional electrical circuits.
  • Active electrical components such as transistors and diodes, have the ability to control the flow of electrical current.
  • Passive electrical components such as capacitors, inductors, and resistors, create a relationship between voltage and current necessary to perform electrical circuit functions.
  • the optical device detects and records an image by converting the variable attenuation of light waves or electromagnetic radiation into electric signals.
  • Back-end manufacturing refers to cutting or singulating the finished wafer into the individual semiconductor die and packaging the semiconductor die for structural support, electrical interconnect, and environmental isolation.
  • the wafer is singulated using plasma etching, laser cutting tool, or saw blade along non-functional regions of the wafer called saw streets or scribes.
  • the individual semiconductor die are mounted to a package substrate that includes pins or contact pads for interconnection with other system components. Contact pads formed over the semiconductor die are then connected to contact pads within the package.
  • the electrical connections can be made with conductive layers, bumps, stud bumps, conductive paste, or wirebonds.
  • An encapsulant or other molding material is deposited over the package to provide physical support and electrical isolation.
  • the finished package is then inserted into an electrical system and the functionality of the semiconductor device is made available to the other system components.
  • FIG. 2 a shows semiconductor wafer 100 with a base substrate material 102 , such as silicon, germanium, aluminum phosphide, aluminum arsenide, gallium arsenide, gallium nitride, indium phosphide, silicon carbide, or other bulk semiconductor material for structural support.
  • a plurality of semiconductor die 104 is formed on wafer 100 separated by a non-active, inter-die wafer area or saw street 106 , as described above.
  • Saw street 106 provides cutting areas to singulate semiconductor wafer 100 into individual semiconductor die 104 .
  • semiconductor wafer 100 has a width or diameter of 100-450 millimeters (mm) and thickness of 50-100 micrometers ( ⁇ m) or 15-250 ⁇ m.
  • FIG. 2 b shows a cross-sectional view of a portion of semiconductor wafer 100 .
  • Each semiconductor die 104 has a back or non-active surface 108 and an active surface or region 110 containing an image sensor area 112 implemented as CCD or active pixel sensors in CMOS or NMOS.
  • Active surface 110 with image sensor area 112 is a flat or planar surface in FIG. 2 b.
  • FIGS. 3 a -3 j illustrate a process of forming a concave surface image sensor area on semiconductor die 104 .
  • a masking layer 120 is formed over back surface 108 of each semiconductor die 104 while in the wafer form of FIG. 2 a .
  • Masking layer 120 can be made with photoresist or patterned oxide layer having openings 122 of varying width.
  • the width of openings 122 is larger in a center region of masking layer 120 and smaller toward the edges of masking layer 120 over each semiconductor die 104 .
  • the variable width of openings 122 in masking layer 120 exposes a larger area in the center region base substrate material 102 and exposes a decreasing area toward the edges of the base substrate material.
  • FIG. 3 b shows a top view of masking layer 120 with openings 122 of varying width disposed over base substrate material 102 .
  • semiconductor wafer 100 with masking layer 120 is mounted to film frame or backing tape 126 .
  • a plasma etching process is applied through openings 122 in masking layer 120 to remove a portion of back surface 108 of each semiconductor die 104 .
  • the plasma process uses a plasma die singulation tool. The plasma etching removes more base substrate material 102 in the wider openings 122 in the center region of semiconductor die 104 and less base substrate material in the smaller openings 122 toward the edges of the semiconductor die.
  • a higher volume of gas passes through the larger openings 122 in the center region of masking layer 120 , resulting in a higher degree of ion exposure and deeper etching in the center region of base substrate material 102 .
  • a lower volume of gas passes through the smaller openings 122 toward the edges of masking layer 120 , resulting in less ion exposure and shallower etching toward the edges of base substrate material 102 .
  • Masking layer 120 is removed by in-situ ashing in FIG. 3 d leaving deeper trenches 128 in the center region of base substrate material 102 and shallower trenches 128 toward the edges of the base substrate material, corresponding to the varying width openings 122 .
  • Trenches 128 are separated by peaks 130 of base substrate material 102 remaining after the plasma etching process through openings 122 and aligned with the solid portions of masking layer 120 , i.e., between openings 122 .
  • trenches 128 can be formed by laser direct ablation (LDA) or other wet or dry chemical etching process.
  • the plasma etching and in-situ ashing processes continue with lower intensity and without masking layer 120 to smooth out peaks 130 of base substrate material 102 .
  • Surface 132 of base substrate material 102 has reduced peaks and is beginning to exhibit a curved shape.
  • FIG. 3 f shows surface 134 of base substrate material 102 with the peaks substantially removed and exhibiting a generally curved or concave shape.
  • the plasma etching and in-situ ashing process on the back side of semiconductor die 104 is complete.
  • the thickness of base substrate material 102 at the low point of the curved surface 134 is 20-50 ⁇ m.
  • an optional metal layer 136 is conformally applied over curved or concave surface 134 using PVD, CVD, electrolytic plating, electroless plating process, or other suitable metal deposition process.
  • metal layer 136 can be one or more layers of aluminum (Al), copper (Cu), tin (Sn), nickel (Ni), silver (Ag), titanium (Ti), titanium tungsten (TiW), or alloy or combination thereof.
  • Metal 136 can be solder, eutectic Sn/Pb, high-lead solder, or lead-free solder.
  • an adhesive such as an epoxy, is conformally applied to concave surface 134 .
  • Surface 134 and metal layer 136 have curved or concave shape.
  • semiconductor wafer 100 is singulated through saw street 106 into individual semiconductor die 104 using plasma etching.
  • Film frame 126 is removed.
  • Plasma etching has advantages of removing base substrate material 102 to form precision surfaces, while retaining the structure and integrity of the base substrate material.
  • the individual semiconductor die 104 can be inspected and electrically tested for identification of known good die post singulation.
  • semiconductor die 104 is inverted with the curved or concave surface 134 and metal layer 136 oriented toward substrate 140 .
  • the flat or planar active surface 110 is oriented away from substrate 140 .
  • Substrate 140 includes a flat or planar surface 142 and contains sacrificial base material such as silicon, polymer, beryllium oxide, glass, or other suitable low-cost, rigid material for structural support.
  • a force F is applied to planar active surface 110 of semiconductor die 104 with an elevated temperature of 260° C. or higher to press the concave surface 134 and metal layer 136 against planar surface 142 of substrate 140 .
  • Metal layer 136 reflows above 260° C.
  • base substrate material 102 exhibits a pliable property for asserting movement, bending, or flexing of the base substrate material.
  • metal layer 136 is reflowed, it should not reflow again when the semiconductor package is mounted to the end product.
  • the force F asserts movement or flexing of base substrate material 102 to cause curved or concave surface 134 of base material 102 to switch or change orientation of surface 134 and metal layer 136 to a planar surface and active surface 110 to a concave surface.
  • the surface tension of metal layer 136 assists with drawing the center of base substrate material 102 to planar surface 142 of substrate 140 .
  • FIG. 3 j shows the resulting movement, bending, or flexing of base substrate material 102 and change of orientation to surface 134 and metal layer 136 exhibiting a flat or planar against substrate 140 and active surface 110 with image sensor area 112 now having a curved or concave surface.
  • the force F pressing surface 134 and metal layer 136 against planar surface 142 of substrate 140 , as well as the surface tension of metal layer 136 causes the movement or flexing of base substrate material 102 and change of orientation of planar active surface 110 in FIG. 3 i to the concave active surface 110 in FIG. 3 j . Vibration can also be used to enhance flexing of base substrate material 102 .
  • Metal layer 136 helps planarize and strengthen planar surface 134 after the change in orientation of base substrate material 102 . After the movement or flexing of base substrate material 102 under pressure and temperature, surface 134 and metal layer 136 is a planar surface and image sensor area 112 in active surface 110 now has the curved or concave shape. Base substrate material 102 with the curved or concave image sensor area 112 can be ultraviolet cured.
  • FIG. 4 shows semiconductor die 104 removed from substrate 140 by chemical etching, mechanical peeling, chemical mechanical planarization (CMP), mechanical grinding, thermal bake, UV light, laser scanning, or wet stripping.
  • Image sensor area 112 in active surface 110 exhibits the curved or concave shape to reduce or eliminate the need for optical lenses.
  • Metal layer 136 is optionally removed. The process described in FIGS.
  • the light source focuses onto a curved or concave image sensor area 112 , without or with a reduced number of optical lenses, to provide higher resolution, faster focus times, better focus depth, lower profile, and lower cost.
  • FIG. 5 shows an alternate embodiment with the concave shape formed in a portion of active surface 110 .
  • Area 150 of active surface 110 remains a flat or planar surface and area 152 of the active surface is formed with the curved or concave shape, as described in FIGS. 3 a - 3 j.
  • FIG. 6 a shows an alternate embodiment with a curved shape 160 formed in substrate 162 .
  • Semiconductor die 104 is positioned over substrate 162 with concave metal layer 136 aligned with curved shape 160 , similar to FIG. 2 k .
  • Curved shape 160 assists with alignment of semiconductor die over substrate 162 .
  • a force F is applied to planar active surface 110 of semiconductor die 104 with an elevated temperature of 260° C. or higher to press the concave surface 134 and metal layer 136 against curved surface 160 of substrate 162 .
  • Metal layer 136 reflows above 260° C. to provide flexibility and base substrate material 102 exhibits a pliable property for asserting movement, bending, or flexing of the base substrate material.
  • metal layer 136 is reflowed, it should not reflow again when the semiconductor package is mounted to the end product.
  • the force F causes movement or flexing of base substrate material 102 and change of orientation of surface 134 and metal layer 136 to a convex shape and active surface 110 to a concave shape, as shown in FIG. 6 b.
  • FIG. 7 a shows an alternate embodiment with a recessed surface 170 formed in substrate 172 .
  • Semiconductor die 104 is positioned over substrate 172 and aligned with recessed surface 170 in substrate 172 , similar to FIG. 2 k .
  • a force F is applied to planar active surface 110 of semiconductor die 104 with an elevated temperature of 260° C. or higher to press the concave surface 134 and metal layer 136 against recessed surface 170 of substrate 172 .
  • Metal layer 136 reflows above 260° C. to provide flexibility and base substrate material 102 exhibits a pliable property for asserting movement or flexing of the base substrate material. Once metal layer 136 is reflowed, it should not reflow again when the semiconductor package is mounted to the end product.
  • the force F causes movement, bending, or flexing of base substrate material 102 in FIG. 7 b and change of orientation of surface 134 and metal layer 136 to a planar surface and active surface 110 to a curved or concave shape.

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Electromagnetism (AREA)
  • Geometry (AREA)
  • Plasma & Fusion (AREA)
  • General Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Solid State Image Pick-Up Elements (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Dicing (AREA)
  • Drying Of Semiconductors (AREA)
  • Led Devices (AREA)
  • Encapsulation Of And Coatings For Semiconductor Or Solid State Devices (AREA)
  • Pressure Welding/Diffusion-Bonding (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

A semiconductor device has a semiconductor die containing a base material having a first surface and a second surface with an image sensor area. A masking layer with varying width openings is disposed over the first surface of the base material. The openings in the masking layer are larger in a center region of the semiconductor die and smaller toward edges of the semiconductor die. A portion of the first surface of the base material is removed by plasma etching to form a first curved surface. A metal layer is formed over the first curved surface of the base material. The semiconductor die is positioned over a substrate with the first curved surface oriented toward the substrate. Pressure and temperature is applied to assert movement of the base material to change orientation of the second surface with the image sensor area into a second curved surface.

Description

    CLAIM TO DOMESTIC PRIORITY
  • The present application claims the benefit of U.S. Provisional Application No. 62/219,666, filed Sep. 17, 2015, entitled “SEMICONDUCTOR PACKAGES AND METHODS” invented by Francis J. CARNEY and Michael J. SEDDON, and which is incorporated herein by reference and priority thereto for common subject matter is hereby claimed.
  • This application is a divisional application of the earlier U.S. Utility Patent Application to Michael J. Seddon entitled “Semiconductor Device and Method of Forming a Curved Image Sensor,” application Ser. No. 15/218,777, filed Jul. 25, 2016 now pending, the disclosure of which is hereby incorporated entirely herein by reference.
  • TECHNICAL FIELD
  • The present subject matter relates in general to semiconductor devices and, more particularly, to a semiconductor device and method of forming a curved image sensor.
  • BACKGROUND
  • Semiconductor devices are commonly found in modern electronic products. Semiconductor devices vary in the number and density of electrical components. Semiconductor devices perform a wide range of functions such as analog and digital signal processing, sensors, transmitting and receiving electromagnetic signals, controlling electronic devices, power management, and audio/video signal processing. Discrete semiconductor devices generally contain one type of electrical component, e.g., light emitting diode (LED), small signal transistor, resistor, capacitor, inductor, diodes, rectifiers, thyristors, and power metal-oxide-semiconductor field-effect transistor (MOSFET). Integrated semiconductor devices typically contain hundreds to millions of electrical components. Examples of integrated semiconductor devices include microcontrollers, application specific integrated circuits (ASIC), standard logic, amplifiers, clock management, memory, interface circuits, and various signal processing circuits.
  • An image sensor is a type of semiconductor device that detects and records an image by converting the variable attenuation of light waves or electromagnetic radiation into electric signals. An image sensor can be implemented with semiconductor charge-coupled devices (CCD) and active pixel sensors in complementary metal-oxide-semiconductor (CMOS) or N-type metal-oxide-semiconductor (NMOS) technologies with applications in digital cameras, video recorders, medical imaging equipment, night vision equipment, thermal imaging devices, radar, sonar, and other image detecting devices.
  • The light source is typically focused onto a flat or planar image sensor surface through one or more optical lenses, e.g., up to four lenses. The optical focusing lenses add cost, complexity, and height to the semiconductor package. Even with optical lenses, the focus is often better in the center region and less on the edges of the image sensor. Image sensors are continually driving towards higher resolution, faster focus times, better focus depth, lower profile, and lower cost.
  • One approach to reducing the number of optical focusing lenses or eliminating the need for optical lenses is to make the image sensor with a curved surface. FIG. 1a shows a known image sensor die 50 with active imaging surface 52 disposed over substrate 54 having a curved surface 56. Image sensor die 50 is attached to curved surface 56 of substrate 54 with an epoxy. Image sensor die 50 conforms to curved surface 56 with application of pressure and heat. The epoxy is cured under pressure leaving image sensor die 50 molded to surface 56 a curved form factor, as shown in FIG. 1b . Image sensor die 50 in FIG. 1b is difficult to manufacture in high volume due in part to the curved nature of substrate 54, the curing process under pressure for the epoxy, the need to angle interconnecting bond wires, and lack of economies of scale. The process of FIGS. 1a-1b is high cost and not amenable with batch processing or mass production.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • FIGS. 1a-1b illustrate a known image sensor conforming to a curved surface of a substrate;
  • FIGS. 2a-2b illustrate a semiconductor wafer with a plurality of semiconductor die separated by a saw street;
  • FIGS. 3a-3j illustrate a process of forming a concave surface image sensor area on a semiconductor die;
  • FIG. 4 illustrates the semiconductor die with a concave surface image sensor area;
  • FIG. 5 illustrates the semiconductor die with an image sensor area having a partial concave surface and partial planar surface;
  • FIGS. 6a-6b illustrate a process of forming the concave surface image sensor area on substrate having a curved surface; and
  • FIGS. 7a-7b illustrate a process of forming the concave surface image sensor area on substrate having a recessed surface.
  • DETAILED DESCRIPTION OF THE DRAWINGS
  • The following describes one or more embodiments with reference to the figures, in which like numerals represent the same or similar elements. While the figures are described in terms of the best mode for achieving certain objectives, the description is intended to cover alternatives, modifications, and equivalents as may be included within the spirit and scope of the disclosure. The term “semiconductor die” as used herein refers to both the singular and plural form of the words, and accordingly, can refer to both a single semiconductor device and multiple semiconductor devices.
  • Semiconductor devices are generally manufactured using two complex manufacturing processes: front-end manufacturing and back-end manufacturing. Front-end manufacturing involves the formation of a plurality of die on the surface of a semiconductor wafer. Each die on the wafer may contain active and passive electrical components and optical devices, which are electrically connected to form functional electrical circuits. Active electrical components, such as transistors and diodes, have the ability to control the flow of electrical current. Passive electrical components, such as capacitors, inductors, and resistors, create a relationship between voltage and current necessary to perform electrical circuit functions. The optical device detects and records an image by converting the variable attenuation of light waves or electromagnetic radiation into electric signals.
  • Back-end manufacturing refers to cutting or singulating the finished wafer into the individual semiconductor die and packaging the semiconductor die for structural support, electrical interconnect, and environmental isolation. The wafer is singulated using plasma etching, laser cutting tool, or saw blade along non-functional regions of the wafer called saw streets or scribes. After singulation, the individual semiconductor die are mounted to a package substrate that includes pins or contact pads for interconnection with other system components. Contact pads formed over the semiconductor die are then connected to contact pads within the package. The electrical connections can be made with conductive layers, bumps, stud bumps, conductive paste, or wirebonds. An encapsulant or other molding material is deposited over the package to provide physical support and electrical isolation. The finished package is then inserted into an electrical system and the functionality of the semiconductor device is made available to the other system components.
  • FIG. 2a shows semiconductor wafer 100 with a base substrate material 102, such as silicon, germanium, aluminum phosphide, aluminum arsenide, gallium arsenide, gallium nitride, indium phosphide, silicon carbide, or other bulk semiconductor material for structural support. A plurality of semiconductor die 104 is formed on wafer 100 separated by a non-active, inter-die wafer area or saw street 106, as described above. Saw street 106 provides cutting areas to singulate semiconductor wafer 100 into individual semiconductor die 104. In one embodiment, semiconductor wafer 100 has a width or diameter of 100-450 millimeters (mm) and thickness of 50-100 micrometers (μm) or 15-250 μm.
  • FIG. 2b shows a cross-sectional view of a portion of semiconductor wafer 100. Each semiconductor die 104 has a back or non-active surface 108 and an active surface or region 110 containing an image sensor area 112 implemented as CCD or active pixel sensors in CMOS or NMOS. Active surface 110 with image sensor area 112 is a flat or planar surface in FIG. 2 b.
  • FIGS. 3a-3j illustrate a process of forming a concave surface image sensor area on semiconductor die 104. In FIG. 3a , a masking layer 120 is formed over back surface 108 of each semiconductor die 104 while in the wafer form of FIG. 2a . Masking layer 120 can be made with photoresist or patterned oxide layer having openings 122 of varying width. The width of openings 122 is larger in a center region of masking layer 120 and smaller toward the edges of masking layer 120 over each semiconductor die 104. The variable width of openings 122 in masking layer 120 exposes a larger area in the center region base substrate material 102 and exposes a decreasing area toward the edges of the base substrate material. FIG. 3b shows a top view of masking layer 120 with openings 122 of varying width disposed over base substrate material 102.
  • In FIG. 3c , semiconductor wafer 100 with masking layer 120 is mounted to film frame or backing tape 126. A plasma etching process is applied through openings 122 in masking layer 120 to remove a portion of back surface 108 of each semiconductor die 104. In one embodiment, the plasma process uses a plasma die singulation tool. The plasma etching removes more base substrate material 102 in the wider openings 122 in the center region of semiconductor die 104 and less base substrate material in the smaller openings 122 toward the edges of the semiconductor die. A higher volume of gas passes through the larger openings 122 in the center region of masking layer 120, resulting in a higher degree of ion exposure and deeper etching in the center region of base substrate material 102. A lower volume of gas passes through the smaller openings 122 toward the edges of masking layer 120, resulting in less ion exposure and shallower etching toward the edges of base substrate material 102. Masking layer 120 is removed by in-situ ashing in FIG. 3d leaving deeper trenches 128 in the center region of base substrate material 102 and shallower trenches 128 toward the edges of the base substrate material, corresponding to the varying width openings 122. Trenches 128 are separated by peaks 130 of base substrate material 102 remaining after the plasma etching process through openings 122 and aligned with the solid portions of masking layer 120, i.e., between openings 122. Alternatively, trenches 128 can be formed by laser direct ablation (LDA) or other wet or dry chemical etching process.
  • In FIG. 3e , the plasma etching and in-situ ashing processes continue with lower intensity and without masking layer 120 to smooth out peaks 130 of base substrate material 102. Surface 132 of base substrate material 102 has reduced peaks and is beginning to exhibit a curved shape.
  • Alternatively, a wet or dry chemical etch or LDA can be used to remove peaks 130. FIG. 3f shows surface 134 of base substrate material 102 with the peaks substantially removed and exhibiting a generally curved or concave shape. The plasma etching and in-situ ashing process on the back side of semiconductor die 104 is complete. The thickness of base substrate material 102 at the low point of the curved surface 134 is 20-50 μm.
  • In FIG. 3g , an optional metal layer 136 is conformally applied over curved or concave surface 134 using PVD, CVD, electrolytic plating, electroless plating process, or other suitable metal deposition process. In one embodiment, metal layer 136 can be one or more layers of aluminum (Al), copper (Cu), tin (Sn), nickel (Ni), silver (Ag), titanium (Ti), titanium tungsten (TiW), or alloy or combination thereof. Metal 136 can be solder, eutectic Sn/Pb, high-lead solder, or lead-free solder. Alternatively, an adhesive, such as an epoxy, is conformally applied to concave surface 134. Surface 134 and metal layer 136 have curved or concave shape.
  • In FIG. 3h , semiconductor wafer 100 is singulated through saw street 106 into individual semiconductor die 104 using plasma etching. Film frame 126 is removed. Plasma etching has advantages of removing base substrate material 102 to form precision surfaces, while retaining the structure and integrity of the base substrate material. The individual semiconductor die 104 can be inspected and electrically tested for identification of known good die post singulation.
  • In FIG. 3i , semiconductor die 104 is inverted with the curved or concave surface 134 and metal layer 136 oriented toward substrate 140. The flat or planar active surface 110 is oriented away from substrate 140. Substrate 140 includes a flat or planar surface 142 and contains sacrificial base material such as silicon, polymer, beryllium oxide, glass, or other suitable low-cost, rigid material for structural support. A force F is applied to planar active surface 110 of semiconductor die 104 with an elevated temperature of 260° C. or higher to press the concave surface 134 and metal layer 136 against planar surface 142 of substrate 140. Metal layer 136 reflows above 260° C. to provide flexibility and base substrate material 102 exhibits a pliable property for asserting movement, bending, or flexing of the base substrate material. Once metal layer 136 is reflowed, it should not reflow again when the semiconductor package is mounted to the end product. As the concave surface 134 and metal layer 136 contact planar surface 142 of substrate 140, the force F asserts movement or flexing of base substrate material 102 to cause curved or concave surface 134 of base material 102 to switch or change orientation of surface 134 and metal layer 136 to a planar surface and active surface 110 to a concave surface. The surface tension of metal layer 136 assists with drawing the center of base substrate material 102 to planar surface 142 of substrate 140.
  • FIG. 3j shows the resulting movement, bending, or flexing of base substrate material 102 and change of orientation to surface 134 and metal layer 136 exhibiting a flat or planar against substrate 140 and active surface 110 with image sensor area 112 now having a curved or concave surface. The force F pressing surface 134 and metal layer 136 against planar surface 142 of substrate 140, as well as the surface tension of metal layer 136, causes the movement or flexing of base substrate material 102 and change of orientation of planar active surface 110 in FIG. 3i to the concave active surface 110 in FIG. 3j . Vibration can also be used to enhance flexing of base substrate material 102. Metal layer 136 helps planarize and strengthen planar surface 134 after the change in orientation of base substrate material 102. After the movement or flexing of base substrate material 102 under pressure and temperature, surface 134 and metal layer 136 is a planar surface and image sensor area 112 in active surface 110 now has the curved or concave shape. Base substrate material 102 with the curved or concave image sensor area 112 can be ultraviolet cured.
  • FIG. 4 shows semiconductor die 104 removed from substrate 140 by chemical etching, mechanical peeling, chemical mechanical planarization (CMP), mechanical grinding, thermal bake, UV light, laser scanning, or wet stripping. Image sensor area 112 in active surface 110 exhibits the curved or concave shape to reduce or eliminate the need for optical lenses. Metal layer 136 is optionally removed. The process described in FIGS. 3a-3j , using plasma etching to form a concave surface in the back side of the base substrate material and then asserting movement, bending, or flexing of base substrate material 102 to change orientation of the base substrate material from a planar image sensor area to a concave image sensor area, provides a low cost, repeatable solution manufactureable in wafer form to mass produce a concave curved image sensor on a semiconductor die. The light source focuses onto a curved or concave image sensor area 112, without or with a reduced number of optical lenses, to provide higher resolution, faster focus times, better focus depth, lower profile, and lower cost.
  • FIG. 5 shows an alternate embodiment with the concave shape formed in a portion of active surface 110. Area 150 of active surface 110 remains a flat or planar surface and area 152 of the active surface is formed with the curved or concave shape, as described in FIGS. 3a -3 j.
  • FIG. 6a shows an alternate embodiment with a curved shape 160 formed in substrate 162. Semiconductor die 104 is positioned over substrate 162 with concave metal layer 136 aligned with curved shape 160, similar to FIG. 2k . Curved shape 160 assists with alignment of semiconductor die over substrate 162. A force F is applied to planar active surface 110 of semiconductor die 104 with an elevated temperature of 260° C. or higher to press the concave surface 134 and metal layer 136 against curved surface 160 of substrate 162. Metal layer 136 reflows above 260° C. to provide flexibility and base substrate material 102 exhibits a pliable property for asserting movement, bending, or flexing of the base substrate material. Once metal layer 136 is reflowed, it should not reflow again when the semiconductor package is mounted to the end product. As the concave surface 134 and metal layer 136 contact curved surface 160 of substrate 162, the force F causes movement or flexing of base substrate material 102 and change of orientation of surface 134 and metal layer 136 to a convex shape and active surface 110 to a concave shape, as shown in FIG. 6 b.
  • FIG. 7a shows an alternate embodiment with a recessed surface 170 formed in substrate 172. Semiconductor die 104 is positioned over substrate 172 and aligned with recessed surface 170 in substrate 172, similar to FIG. 2k . A force F is applied to planar active surface 110 of semiconductor die 104 with an elevated temperature of 260° C. or higher to press the concave surface 134 and metal layer 136 against recessed surface 170 of substrate 172. Metal layer 136 reflows above 260° C. to provide flexibility and base substrate material 102 exhibits a pliable property for asserting movement or flexing of the base substrate material. Once metal layer 136 is reflowed, it should not reflow again when the semiconductor package is mounted to the end product. As the concave surface 134 and metal layer 136 contact recessed surface 170 of substrate 172, the force F causes movement, bending, or flexing of base substrate material 102 in FIG. 7b and change of orientation of surface 134 and metal layer 136 to a planar surface and active surface 110 to a curved or concave shape.
  • While one or more embodiments have been illustrated and described in detail, the skilled artisan will appreciate that modifications and adaptations to those embodiments may be made without departing from the scope of the present disclosure.

Claims (20)

What is claimed:
1. A semiconductor device comprising:
a semiconductor die including a base material, the base material comprising a first planar surface and a second curved surface opposite the first planar surface;
wherein the second curved surface includes an image sensor area.
2. The semiconductor device of claim 1, wherein the first planar surface includes a metal layer thereon.
3. The semiconductor device of claim 1, wherein a portion of the second curved surface is planar.
4. The semiconductor device of claim 1, wherein the device is coupled to a substrate.
5. The semiconductor device of claim 4, wherein the substrate comprises a recessed surface.
6. The semiconductor device of claim 5, wherein the recessed surface is planar.
7. A semiconductor device comprising:
a semiconductor die including a base material, the base material comprising a first curved surface and a second curved surface opposite the first planar surface;
wherein the second curved surface includes an image sensor area.
8. The semiconductor device of claim 7, wherein the first planar surface includes a metal layer thereon.
9. The semiconductor device of claim 7, wherein a portion of the second curved surface is planar.
10. The semiconductor device of claim 1, wherein the device is coupled to a substrate.
11. The semiconductor device of claim 10, wherein the substrate comprises a recessed surface.
12. The semiconductor device of claim 11, wherein the recessed surface is curved.
13. A semiconductor device made by a process comprising the steps of:
providing a semiconductor die including a base material comprising a first surface and a second surface opposite the first surface;
applying a masking layer over the first surface of the base material, wherein the masking layer includes openings of varying width;
removing a portion of the first surface of the semiconductor die to form a first curved surface; and
asserting movement of the base material to change orientation of the second surface into a second curved surface.
14. The semiconductor device of claim 13, wherein the second surface of the base material includes an image sensor area.
15. The semiconductor device of claim 13, further including utilizing plasma etching to remove the portion of the first surface of the base material.
16. The semiconductor device of claim 13, wherein the masking layer is formed through one of a photoresist layer or a patterned oxide layer.
17. The semiconductor device of claim 13, further including disposing the semiconductor die on a substrate with the first curved surface oriented toward the substrate.
18. The semiconductor device of claim 13, further including:
providing a substrate;
disposing the semiconductor die over the substrate with the first curved surface oriented toward the substrate; and
applying pressure and temperature to assert movement of the base material to change orientation of the second surface into the second curved surface.
19. The semiconductor device of claim 18, wherein the substrate includes a curved surface
20. The semiconductor device of claim 18, wherein the substrate includes a recessed surface.
US16/148,563 2015-09-17 2018-10-01 Semiconductor device and method of forming a curved image sensor Abandoned US20190035718A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US16/148,563 US20190035718A1 (en) 2015-09-17 2018-10-01 Semiconductor device and method of forming a curved image sensor

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201562219666P 2015-09-17 2015-09-17
US15/218,777 US10115662B2 (en) 2015-09-17 2016-07-25 Semiconductor device and method of forming a curved image sensor
US16/148,563 US20190035718A1 (en) 2015-09-17 2018-10-01 Semiconductor device and method of forming a curved image sensor

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US15/218,777 Division US10115662B2 (en) 2015-09-17 2016-07-25 Semiconductor device and method of forming a curved image sensor

Publications (1)

Publication Number Publication Date
US20190035718A1 true US20190035718A1 (en) 2019-01-31

Family

ID=58283128

Family Applications (23)

Application Number Title Priority Date Filing Date
US15/208,794 Active US9893058B2 (en) 2015-09-17 2016-07-13 Method of manufacturing a semiconductor device having reduced on-state resistance and structure
US15/219,000 Active US9711434B2 (en) 2015-09-17 2016-07-25 Stacked semiconductor device structure and method
US15/218,777 Expired - Fee Related US10115662B2 (en) 2015-09-17 2016-07-25 Semiconductor device and method of forming a curved image sensor
US15/218,717 Abandoned US20170084545A1 (en) 2015-09-17 2016-07-25 Semiconductor device and method of forming an alignment structure in backside of a semiconductor die
US15/218,974 Active US10090233B2 (en) 2015-09-17 2016-07-25 Semiconductor device and method of forming micro interconnect structures
US15/218,848 Active US9852972B2 (en) 2015-09-17 2016-07-25 Semiconductor device and method of aligning semiconductor wafers for bonding
US15/231,025 Active US9935045B2 (en) 2015-09-17 2016-08-08 Semiconductor device and method of forming cantilevered protrusion on a semiconductor die
US15/244,979 Active US10014245B2 (en) 2015-09-17 2016-08-23 Method for removing material from a substrate using in-situ thickness measurement
US15/244,737 Active US10079199B2 (en) 2015-09-17 2016-08-23 Through-substrate via structure and method of manufacture
US15/614,840 Active US10163772B2 (en) 2015-09-17 2017-06-06 Stacked semiconductor device structure and method
US15/817,423 Active US10199316B2 (en) 2015-09-17 2017-11-20 Semiconductor device and method of aligning semiconductor wafers for bonding
US15/903,704 Active US10453784B2 (en) 2015-09-17 2018-02-23 Semiconductor device and method of forming cantilevered protrusion on a semiconductor die
US15/957,731 Abandoned US20180240742A1 (en) 2015-09-17 2018-04-19 Semiconductor device and method of forming cantilevered protrusion on a semiconductor die
US16/101,259 Active US10446480B2 (en) 2015-09-17 2018-08-10 Through-substrate via structure and method of manufacture
US16/131,331 Active US10741484B2 (en) 2015-09-17 2018-09-14 Stacked semiconductor device structure and method
US16/131,462 Active 2036-10-02 US10825764B2 (en) 2015-09-17 2018-09-14 Semiconductor device and method of forming micro interconnect structures
US16/148,563 Abandoned US20190035718A1 (en) 2015-09-17 2018-10-01 Semiconductor device and method of forming a curved image sensor
US16/148,523 Active US10818587B2 (en) 2015-09-17 2018-10-01 Semiconductor device and method of forming a curved image sensor
US16/545,164 Active US10903154B2 (en) 2015-09-17 2019-08-20 Semiconductor device and method of forming cantilevered protrusion on a semiconductor die
US16/545,139 Active US10950534B2 (en) 2015-09-17 2019-08-20 Through-substrate via structure and method of manufacture
US17/082,512 Active 2036-11-16 US11710691B2 (en) 2015-09-17 2020-10-28 Semiconductor device and method of forming micro interconnect structures
US17/248,784 Active US11616008B2 (en) 2015-09-17 2021-02-08 Through-substrate via structure and method of manufacture
US18/329,347 Pending US20230307343A1 (en) 2015-09-17 2023-06-05 Semiconductor device and method of forming micro interconnect structures

Family Applications Before (16)

Application Number Title Priority Date Filing Date
US15/208,794 Active US9893058B2 (en) 2015-09-17 2016-07-13 Method of manufacturing a semiconductor device having reduced on-state resistance and structure
US15/219,000 Active US9711434B2 (en) 2015-09-17 2016-07-25 Stacked semiconductor device structure and method
US15/218,777 Expired - Fee Related US10115662B2 (en) 2015-09-17 2016-07-25 Semiconductor device and method of forming a curved image sensor
US15/218,717 Abandoned US20170084545A1 (en) 2015-09-17 2016-07-25 Semiconductor device and method of forming an alignment structure in backside of a semiconductor die
US15/218,974 Active US10090233B2 (en) 2015-09-17 2016-07-25 Semiconductor device and method of forming micro interconnect structures
US15/218,848 Active US9852972B2 (en) 2015-09-17 2016-07-25 Semiconductor device and method of aligning semiconductor wafers for bonding
US15/231,025 Active US9935045B2 (en) 2015-09-17 2016-08-08 Semiconductor device and method of forming cantilevered protrusion on a semiconductor die
US15/244,979 Active US10014245B2 (en) 2015-09-17 2016-08-23 Method for removing material from a substrate using in-situ thickness measurement
US15/244,737 Active US10079199B2 (en) 2015-09-17 2016-08-23 Through-substrate via structure and method of manufacture
US15/614,840 Active US10163772B2 (en) 2015-09-17 2017-06-06 Stacked semiconductor device structure and method
US15/817,423 Active US10199316B2 (en) 2015-09-17 2017-11-20 Semiconductor device and method of aligning semiconductor wafers for bonding
US15/903,704 Active US10453784B2 (en) 2015-09-17 2018-02-23 Semiconductor device and method of forming cantilevered protrusion on a semiconductor die
US15/957,731 Abandoned US20180240742A1 (en) 2015-09-17 2018-04-19 Semiconductor device and method of forming cantilevered protrusion on a semiconductor die
US16/101,259 Active US10446480B2 (en) 2015-09-17 2018-08-10 Through-substrate via structure and method of manufacture
US16/131,331 Active US10741484B2 (en) 2015-09-17 2018-09-14 Stacked semiconductor device structure and method
US16/131,462 Active 2036-10-02 US10825764B2 (en) 2015-09-17 2018-09-14 Semiconductor device and method of forming micro interconnect structures

Family Applications After (6)

Application Number Title Priority Date Filing Date
US16/148,523 Active US10818587B2 (en) 2015-09-17 2018-10-01 Semiconductor device and method of forming a curved image sensor
US16/545,164 Active US10903154B2 (en) 2015-09-17 2019-08-20 Semiconductor device and method of forming cantilevered protrusion on a semiconductor die
US16/545,139 Active US10950534B2 (en) 2015-09-17 2019-08-20 Through-substrate via structure and method of manufacture
US17/082,512 Active 2036-11-16 US11710691B2 (en) 2015-09-17 2020-10-28 Semiconductor device and method of forming micro interconnect structures
US17/248,784 Active US11616008B2 (en) 2015-09-17 2021-02-08 Through-substrate via structure and method of manufacture
US18/329,347 Pending US20230307343A1 (en) 2015-09-17 2023-06-05 Semiconductor device and method of forming micro interconnect structures

Country Status (2)

Country Link
US (23) US9893058B2 (en)
CN (10) CN106548924A (en)

Families Citing this family (43)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7612443B1 (en) * 2003-09-04 2009-11-03 University Of Notre Dame Du Lac Inter-chip communication
US10677965B2 (en) * 2014-01-27 2020-06-09 Forelux Inc. Optical apparatus for non-visible light applications
US20150371956A1 (en) * 2014-06-19 2015-12-24 Globalfoundries Inc. Crackstops for bulk semiconductor wafers
US9893058B2 (en) * 2015-09-17 2018-02-13 Semiconductor Components Industries, Llc Method of manufacturing a semiconductor device having reduced on-state resistance and structure
CN205542769U (en) * 2015-11-30 2016-08-31 奥特斯(中国)有限公司 Electronic device and electronic apparatus
KR102496037B1 (en) * 2016-01-20 2023-02-06 삼성전자주식회사 method and apparatus for plasma etching
US9881956B2 (en) * 2016-05-06 2018-01-30 International Business Machines Corporation Heterogeneous integration using wafer-to-wafer stacking with die size adjustment
US10359572B2 (en) * 2016-10-31 2019-07-23 Electronics And Telecommunications Research Institute Device and method for detecting optical signal
JP6673173B2 (en) * 2016-12-12 2020-03-25 三菱電機株式会社 Method for manufacturing semiconductor device
WO2018182630A1 (en) * 2017-03-30 2018-10-04 Intel IP Corporation Magnetic coils in locally thinned silicon bridges and methods of assembling same
JP6755842B2 (en) * 2017-08-28 2020-09-16 株式会社東芝 Semiconductor devices, manufacturing methods for semiconductor devices, and manufacturing methods for semiconductor packages
US10431565B1 (en) * 2018-02-27 2019-10-01 Xilinx, Inc. Wafer edge partial die engineered for stacked die yield
CN108666334A (en) * 2018-05-14 2018-10-16 德淮半导体有限公司 Imaging sensor and forming method thereof
US10418408B1 (en) * 2018-06-22 2019-09-17 Omnivision Technologies, Inc. Curved image sensor using thermal plastic substrate material
US11398415B2 (en) * 2018-09-19 2022-07-26 Intel Corporation Stacked through-silicon vias for multi-device packages
US11177192B2 (en) * 2018-09-27 2021-11-16 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device including heat dissipation structure and fabricating method of the same
US11133645B2 (en) * 2018-10-19 2021-09-28 Cisco Technology, Inc. Laser integration into a silicon photonics platform
US10923456B2 (en) * 2018-12-20 2021-02-16 Cerebras Systems Inc. Systems and methods for hierarchical exposure of an integrated circuit having multiple interconnected die
US10811298B2 (en) * 2018-12-31 2020-10-20 Micron Technology, Inc. Patterned carrier wafers and methods of making and using the same
US10886233B2 (en) * 2019-01-31 2021-01-05 Advanced Semiconductor Engineering, Inc. Semiconductor device package and method of manufacturing the same
KR20200114313A (en) * 2019-03-28 2020-10-07 삼성전자주식회사 Semiconductor package
US11043471B2 (en) * 2019-05-09 2021-06-22 Microchip Technology Incorporated Mixed-orientation multi-die integrated circuit package with at least one vertically-mounted die
US11121105B2 (en) 2019-07-06 2021-09-14 Amkor Technology Singapore Holding Pte. Ltd. Semiconductor devices and methods of manufacturing semiconductor devices
FR3099290B1 (en) * 2019-07-26 2021-07-02 Commissariat Energie Atomique Method of collective curvature of a set of electronic chips
US10901391B1 (en) 2019-09-09 2021-01-26 Carl Zeiss Smt Gmbh Multi-scanning electron microscopy for wafer alignment
KR20220062616A (en) * 2019-09-13 2022-05-17 코닝 인코포레이티드 Systems and Methods for Reducing Via Formation Effect on Electronic Device Formation
US11532576B2 (en) * 2020-02-11 2022-12-20 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package and manufacturing method thereof
US11251152B2 (en) * 2020-03-12 2022-02-15 Diodes Incorporated Thinned semiconductor chip with edge support
US11245250B2 (en) 2020-04-20 2022-02-08 Cisco Technology, Inc. Quantum dot comb laser
JP7409956B2 (en) 2020-04-28 2024-01-09 株式会社Screenホールディングス Substrate processing equipment and substrate processing method
CN112151444B (en) * 2020-09-28 2023-04-07 武汉新芯集成电路制造有限公司 Matching design method of wafer, wafer bonding structure and chip bonding structure
US20220122924A1 (en) * 2020-10-19 2022-04-21 Rockley Photonics Limited Integrated self-aligned assembly
US11257759B1 (en) * 2020-10-26 2022-02-22 Semiconductor Components Industries, Llc Isolation in a semiconductor device
US11728424B2 (en) 2020-10-26 2023-08-15 Semiconductor Components Industries, Llc Isolation in a semiconductor device
EP4213184A4 (en) * 2020-10-30 2023-11-08 Huawei Technologies Co., Ltd. Semiconductor device and manufacturing method therefor
CN112349607A (en) * 2020-11-11 2021-02-09 北京航天微电科技有限公司 Packaging method of air cavity type thin film filter and air cavity type thin film filter
US11829077B2 (en) 2020-12-11 2023-11-28 Kla Corporation System and method for determining post bonding overlay
US11443928B2 (en) 2021-01-31 2022-09-13 Winbond Electronics Corp. Etching apparatus and etching method thereof
US20220293546A1 (en) * 2021-03-10 2022-09-15 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor packages and methods for forming the same
US12015010B2 (en) * 2021-03-31 2024-06-18 Taiwan Semiconductor Manufacturing Company Limited Vertically stacked semiconductor device including a hybrid bond contact junction circuit and methods of forming the same
US11782411B2 (en) 2021-07-28 2023-10-10 Kla Corporation System and method for mitigating overlay distortion patterns caused by a wafer bonding tool
CN114792669A (en) * 2022-06-22 2022-07-26 甬矽半导体(宁波)有限公司 Three-dimensional packaging structure, manufacturing method thereof and electronic equipment
CN115064531A (en) * 2022-08-18 2022-09-16 艾科微电子(深圳)有限公司 Converter, electronic device, and converter packaging method

Citations (34)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20010020671A1 (en) * 2000-02-04 2001-09-13 Fank Ansorge Focal surface and detector for opto-electronic imaging systems, manufacturing method and opto-electronic imaging system
US6455931B1 (en) * 2001-05-15 2002-09-24 Raytheon Company Monolithic microelectronic array structure having substrate islands and its fabrication
US6627865B1 (en) * 2001-05-15 2003-09-30 Raytheon Company Nonplanar integrated optical device array structure and a method for its fabrication
US6828545B1 (en) * 2001-05-15 2004-12-07 Raytheon Company Hybrid microelectronic array structure having electrically isolated supported islands, and its fabrication
US20050030408A1 (en) * 2003-07-03 2005-02-10 Fuji Photo Film Co., Ltd. Solid-state image pickup device and optical instrument
US20060186492A1 (en) * 2005-02-18 2006-08-24 Micron Technology, Inc. Microelectronic imagers with shaped image sensors and methods for manufacturing microelectronic imagers
US20090039370A1 (en) * 2007-08-09 2009-02-12 Sang Hoon Han Semiconductor light emitting device
US7507944B1 (en) * 2006-06-27 2009-03-24 Cypress Semiconductor Corporation Non-planar packaging of image sensor
US20090115875A1 (en) * 2007-11-01 2009-05-07 Samsung Electronics Co., Ltd. Image sensor module and fabrication method thereof
US7910942B2 (en) * 2007-04-16 2011-03-22 Lg Innotek Co., Ltd. Semiconductor light emitting device and method for manufacturing the same
US7935559B1 (en) * 2009-12-22 2011-05-03 Commissariat A L'energie Atomique Et Aux Energies Alternatives Method for producing a non-planar microelectronic component using a cavity
US20120147207A1 (en) * 2010-11-24 2012-06-14 Sony Corporation Solid-state imaging device and manufacturing method thereof, and electronic apparatus
US20120217606A1 (en) * 2011-02-28 2012-08-30 Sony Corporation Method of manufacturing solid-state imaging element, solid-state imaging element and electronic apparatus
US20120261551A1 (en) * 2011-01-14 2012-10-18 Rogers John A Optical component array having adjustable curvature
US20120299140A1 (en) * 2011-05-26 2012-11-29 Kabushiki Kaisha Toshiba Solid-state imaging device, method for manufacturing solid-state imaging device, and camera module
US20130075849A1 (en) * 2011-09-27 2013-03-28 Kabushiki Kaisha Toshiba Solid state imaging device, solid state imaging element, portable information terminal device and method for manufacturing the solid state imaging element
US20130075587A1 (en) * 2011-09-27 2013-03-28 Kabushiki Kaisha Toshiba Solid state imaging device, portable information terminal device and method for manufacturing solid state imaging device
US20130270662A1 (en) * 2012-04-13 2013-10-17 Stmicroelectronics (Crolles 2) Sas Image sensor of curved surface
US20140303452A1 (en) * 2008-10-07 2014-10-09 Roozbeh Ghaffari Systems, Methods, and Devices Having Stretchable Integrated Circuitry for Sensing and Delivering Therapy
US8932894B2 (en) * 2007-10-09 2015-01-13 The United States of America, as represented by the Secratary of the Navy Methods and systems of curved radiation detector fabrication
WO2015087599A1 (en) * 2013-12-09 2015-06-18 ソニー株式会社 Image pickup unit, lens barrel and portable device
US20160086987A1 (en) * 2014-09-19 2016-03-24 Microsoft Corporation Image Sensor Bending By Induced Substrate Swelling
US20160086994A1 (en) * 2014-09-19 2016-03-24 Microsoft Corporation Image Sensor Bending Using Tension
US20160163751A1 (en) * 2014-12-05 2016-06-09 Taiyo Yuden Co., Ltd. Substrate for embedding imaging device and method for manufacturing same, and imaging apparatus
US20160240582A1 (en) * 2013-09-30 2016-08-18 Sony Corporation Solid-state imaging element, method for manufacturing the same, and electronic device
US20160286102A1 (en) * 2015-03-24 2016-09-29 Semiconductor Components Industries, Llc Methods of forming curved image sensors
US20160293646A1 (en) * 2015-04-03 2016-10-06 Canon Kabushiki Kaisha Image sensor and image capturing apparatus
US20160351607A1 (en) * 2015-05-28 2016-12-01 Xintec Inc. Image sensing device
US9551856B2 (en) * 2014-05-19 2017-01-24 Google Inc. MEMS-released curved image sensor
US20170301710A1 (en) * 2016-04-15 2017-10-19 SK Hynix Inc. Image sensors having curved upper surfaces and image sensor modules including the same
US20170323915A1 (en) * 2014-11-11 2017-11-09 Sony Corporation Semiconductor device and method of manufacturing the same, semiconductor module, and electronic device
US20180069049A1 (en) * 2016-09-07 2018-03-08 Semiconductor Components Industries, Llc Semiconductor device and method of forming curved image sensor region robust against buckling
US20180145107A1 (en) * 2016-11-23 2018-05-24 Industrial Technology Research Institute Manufacturing method of image sensor
US20190006401A1 (en) * 2017-06-30 2019-01-03 SK Hynix Inc. Curved image sensor

Family Cites Families (139)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE1514818A1 (en) * 1951-01-28 1969-05-08 Telefunken Patent Solid-state circuit, consisting of a semiconductor body with inserted active components and an insulating layer with applied passive components and conductor tracks
US3761782A (en) * 1971-05-19 1973-09-25 Signetics Corp Semiconductor structure, assembly and method
EP0316799B1 (en) * 1987-11-13 1994-07-27 Nissan Motor Co., Ltd. Semiconductor device
JPH05129423A (en) * 1991-10-30 1993-05-25 Rohm Co Ltd Semiconductor device and manufacture thereof
JPH0645340A (en) * 1991-11-12 1994-02-18 Rohm Co Ltd Semiconductor device and its manufacture
JPH05152574A (en) * 1991-11-29 1993-06-18 Fuji Electric Co Ltd Semiconductor device
JP2639280B2 (en) * 1992-06-10 1997-08-06 松下電器産業株式会社 Method for manufacturing high-density circuit module
US5544017A (en) 1992-08-05 1996-08-06 Fujitsu Limited Multichip module substrate
US5343071A (en) * 1993-04-28 1994-08-30 Raytheon Company Semiconductor structures having dual surface via holes
JP3073644B2 (en) * 1993-12-28 2000-08-07 株式会社東芝 Semiconductor device
US5483087A (en) * 1994-07-08 1996-01-09 International Rectifier Corporation Bidirectional thyristor with MOS turn-off capability with a single gate
US6124179A (en) * 1996-09-05 2000-09-26 Adamic, Jr.; Fred W. Inverted dielectric isolation process
US5841197A (en) * 1994-11-18 1998-11-24 Adamic, Jr.; Fred W. Inverted dielectric isolation process
EP0732107A3 (en) * 1995-03-16 1997-05-07 Toshiba Kk Circuit substrate shielding device
US6005778A (en) * 1995-06-15 1999-12-21 Honeywell Inc. Chip stacking and capacitor mounting arrangement including spacers
JP2842378B2 (en) * 1996-05-31 1999-01-06 日本電気株式会社 High-density mounting structure for electronic circuit boards
US5724230A (en) * 1996-06-21 1998-03-03 International Business Machines Corporation Flexible laminate module including spacers embedded in an adhesive
US5760478A (en) * 1996-08-20 1998-06-02 International Business Machines Corporation Clock skew minimization system and method for integrated circuits
JPH10173157A (en) * 1996-12-06 1998-06-26 Toshiba Corp Semiconductor device
US6054337A (en) * 1996-12-13 2000-04-25 Tessera, Inc. Method of making a compliant multichip package
GB2321336B (en) * 1997-01-15 2001-07-25 Univ Warwick Gas-sensing semiconductor devices
JP2964983B2 (en) * 1997-04-02 1999-10-18 日本電気株式会社 Three-dimensional memory module and semiconductor device using the same
US6245594B1 (en) * 1997-08-05 2001-06-12 Micron Technology, Inc. Methods for forming conductive micro-bumps and recessed contacts for flip-chip technology and method of flip-chip assembly
US6343019B1 (en) * 1997-12-22 2002-01-29 Micron Technology, Inc. Apparatus and method of stacking die on a substrate
US5949104A (en) * 1998-02-07 1999-09-07 Xemod, Inc. Source connection structure for lateral RF MOS devices
US6150724A (en) * 1998-03-02 2000-11-21 Motorola, Inc. Multi-chip semiconductor device and method for making the device by using multiple flip chip interfaces
US6114221A (en) * 1998-03-16 2000-09-05 International Business Machines Corporation Method and apparatus for interconnecting multiple circuit chips
US5977640A (en) * 1998-06-26 1999-11-02 International Business Machines Corporation Highly integrated chip-on-chip packaging
US6104062A (en) * 1998-06-30 2000-08-15 Intersil Corporation Semiconductor device having reduced effective substrate resistivity and associated methods
TW442873B (en) * 1999-01-14 2001-06-23 United Microelectronics Corp Three-dimension stack-type chip structure and its manufacturing method
US6352923B1 (en) * 1999-03-01 2002-03-05 United Microelectronics Corp. Method of fabricating direct contact through hole type
US6228682B1 (en) * 1999-12-21 2001-05-08 International Business Machines Corporation Multi-cavity substrate structure for discrete devices
US6909054B2 (en) * 2000-02-25 2005-06-21 Ibiden Co., Ltd. Multilayer printed wiring board and method for producing multilayer printed wiring board
JP2002076326A (en) 2000-09-04 2002-03-15 Shindengen Electric Mfg Co Ltd Semiconductor device
AU9006801A (en) * 2000-09-21 2002-04-02 Cambridge Semiconductor Ltd Semiconductor device and method of forming a semiconductor device
GB2371922B (en) * 2000-09-21 2004-12-15 Cambridge Semiconductor Ltd Semiconductor device and method of forming a semiconductor device
US20020074652A1 (en) * 2000-12-15 2002-06-20 Pierce John L. Method, apparatus and system for multiple chip assemblies
US6910268B2 (en) * 2001-03-27 2005-06-28 Formfactor, Inc. Method for fabricating an IC interconnect system including an in-street integrated circuit wafer via
JP2002368218A (en) 2001-06-08 2002-12-20 Sanyo Electric Co Ltd Insulated gate semiconductor device
US6882546B2 (en) * 2001-10-03 2005-04-19 Formfactor, Inc. Multiple die interconnect system
JP4058619B2 (en) * 2001-10-25 2008-03-12 セイコーエプソン株式会社 Semiconductor wafer
JP3620528B2 (en) 2001-12-12 2005-02-16 株式会社デンソー Manufacturing method of semiconductor device
US20030116552A1 (en) * 2001-12-20 2003-06-26 Stmicroelectronics Inc. Heating element for microfluidic and micromechanical applications
US6659512B1 (en) * 2002-07-18 2003-12-09 Hewlett-Packard Development Company, L.P. Integrated circuit package employing flip-chip technology and method of assembly
US6946322B2 (en) * 2002-07-25 2005-09-20 Hrl Laboratories, Llc Large area printing method for integrating device and circuit components
DE10240461A1 (en) * 2002-08-29 2004-03-11 Infineon Technologies Ag Universal housing for an electronic component with a semiconductor chip and method for its production
JP4052078B2 (en) * 2002-10-04 2008-02-27 富士通株式会社 Semiconductor device
JP2004281551A (en) 2003-03-13 2004-10-07 Toshiba Corp Semiconductor substrate, its manufacturing method, semiconductor device, its manufacturing method, and semiconductor package
JP4123027B2 (en) * 2003-03-31 2008-07-23 セイコーエプソン株式会社 Manufacturing method of semiconductor device
EP1639634B1 (en) * 2003-06-20 2009-04-01 Nxp B.V. Electronic device, assembly and methods of manufacturing an electronic device
US7612443B1 (en) * 2003-09-04 2009-11-03 University Of Notre Dame Du Lac Inter-chip communication
US7180165B2 (en) 2003-09-05 2007-02-20 Sanmina, Sci Corporation Stackable electronic assembly
US7227242B1 (en) * 2003-10-09 2007-06-05 Qspeed Semiconductor Inc. Structure and method for enhanced performance in semiconductor substrates
US6930378B1 (en) * 2003-11-10 2005-08-16 Amkor Technology, Inc. Stacked semiconductor die assembly having at least one support
US7588963B2 (en) * 2004-06-30 2009-09-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming overhang support for a stacked semiconductor device
US7507638B2 (en) * 2004-06-30 2009-03-24 Freescale Semiconductor, Inc. Ultra-thin die and method of fabricating same
US7253511B2 (en) * 2004-07-13 2007-08-07 Chippac, Inc. Semiconductor multipackage module including die and inverted land grid array package stacked over ball grid array package
US7242101B2 (en) * 2004-07-19 2007-07-10 St Assembly Test Services Ltd. Integrated circuit die with pedestal
KR20060066952A (en) * 2004-12-14 2006-06-19 삼성전자주식회사 Ic chip having embedded bump and chip stack structure using the same
US7271482B2 (en) * 2004-12-30 2007-09-18 Micron Technology, Inc. Methods for forming interconnects in microelectronic workpieces and microelectronic workpieces formed using such methods
US8093694B2 (en) * 2005-02-14 2012-01-10 Stats Chippac Ltd. Method of manufacturing non-leaded integrated circuit package system having etched differential height lead structures
US7170183B1 (en) * 2005-05-13 2007-01-30 Amkor Technology, Inc. Wafer level stacked package
US20070001296A1 (en) * 2005-05-31 2007-01-04 Stats Chippac Ltd. Bump for overhang device
US20060284301A1 (en) * 2005-06-17 2006-12-21 Corisis David J CSP semiconductor chip and BGA assembly with enhanced physical protection, protective members and assemblies used with same, and methods of enhancing physical protection of chips and assemblies
US7510907B2 (en) * 2005-06-22 2009-03-31 Intel Corporation Through-wafer vias and surface metallization for coupling thereto
JP5011740B2 (en) 2006-02-02 2012-08-29 富士電機株式会社 Manufacturing method of semiconductor device
US20080099435A1 (en) * 2006-10-30 2008-05-01 Michael Grimbergen Endpoint detection for photomask etching
KR100932574B1 (en) * 2006-10-30 2009-12-17 어플라이드 머티어리얼스, 인코포레이티드 Endpoint detection for photomask etching
US7977778B2 (en) * 2007-05-04 2011-07-12 Stats Chippac Ltd. Integrated circuit package system with interference-fit feature
US8450165B2 (en) * 2007-05-14 2013-05-28 Intel Corporation Semiconductor device having tipless epitaxial source/drain regions
SG148054A1 (en) * 2007-05-17 2008-12-31 Micron Technology Inc Semiconductor packages and method for fabricating semiconductor packages with discrete components
US8889216B2 (en) * 2007-05-31 2014-11-18 Nthdegree Technologies Worldwide Inc Method of manufacturing addressable and static electronic displays
CN101330081A (en) * 2007-06-19 2008-12-24 环隆电气股份有限公司 LED array module and packaging method thereof
DE102007034306B3 (en) * 2007-07-24 2009-04-02 Austriamicrosystems Ag Semiconductor substrate with via and method for producing a semiconductor substrate with via
US20090032926A1 (en) * 2007-07-31 2009-02-05 Advanced Micro Devices, Inc. Integrated Support Structure for Stacked Semiconductors With Overhang
JP4585561B2 (en) * 2007-09-04 2010-11-24 株式会社東芝 Manufacturing method of semiconductor device
TWI409924B (en) * 2007-09-12 2013-09-21 Advanced Semiconductor Eng Semiconductor package and manufacturing method thereof
US20090130821A1 (en) * 2007-10-12 2009-05-21 Applied Materials, Inc. Three dimensional packaging with wafer-level bonding and chip-level repair
US8125796B2 (en) * 2007-11-21 2012-02-28 Frampton E. Ellis Devices with faraday cages and internal flexibility sipes
US7868431B2 (en) * 2007-11-23 2011-01-11 Alpha And Omega Semiconductor Incorporated Compact power semiconductor package and method with stacked inductor and integrated circuit die
EP2075832B1 (en) * 2007-12-27 2010-09-15 Imec Method for aligning and bonding elements and a device comprising aligned and bonded elements
US7972940B2 (en) * 2007-12-28 2011-07-05 Micron Technology, Inc. Wafer processing
US8030743B2 (en) * 2008-01-07 2011-10-04 Fairchild Semiconductor Corporation Semiconductor package with an embedded printed circuit board and stacked die
US7939449B2 (en) * 2008-06-03 2011-05-10 Micron Technology, Inc. Methods of forming hybrid conductive vias including small dimension active surface ends and larger dimension back side ends
US8637953B2 (en) * 2008-07-14 2014-01-28 International Business Machines Corporation Wafer scale membrane for three-dimensional integrated circuit device fabrication
US8292690B2 (en) 2008-09-08 2012-10-23 Semiconductor Components Industries, Llc Thinned semiconductor wafer and method of thinning a semiconductor wafer
US8058732B2 (en) * 2008-11-20 2011-11-15 Fairchild Semiconductor Corporation Semiconductor die structures for wafer-level chipscale packaging of power devices, packages and systems for using the same, and methods of making the same
US7897481B2 (en) * 2008-12-05 2011-03-01 Taiwan Semiconductor Manufacturing Company, Ltd. High throughput die-to-wafer bonding using pre-alignment
US7960800B2 (en) * 2008-12-12 2011-06-14 Fairchild Semiconductor Corporation Semiconductor dice with backside trenches filled with elastic material for improved attachment, packages using the same, and methods of making the same
JP2010205761A (en) 2009-02-27 2010-09-16 Sanyo Electric Co Ltd Semiconductor device and method for manufacturing the same
US8062975B2 (en) * 2009-04-16 2011-11-22 Freescale Semiconductor, Inc. Through substrate vias
CN102013921B (en) 2009-09-04 2015-08-12 中兴通讯股份有限公司 A kind of wave-division multiplexer filter for EPON
US8058706B2 (en) * 2009-09-08 2011-11-15 Texas Instruments Incorporated Delamination resistant packaged die having support and shaped die having protruding lip on support
JP2011159942A (en) * 2010-01-06 2011-08-18 Renesas Electronics Corp Electronic device and method of manufacturing the electronic device
US8247895B2 (en) 2010-01-08 2012-08-21 International Business Machines Corporation 4D device process and structure
US8461017B2 (en) * 2010-07-19 2013-06-11 Soitec Methods of forming bonded semiconductor structures using a temporary carrier having a weakened ion implant region for subsequent separation along the weakened region
US8598695B2 (en) * 2010-07-23 2013-12-03 Tessera, Inc. Active chip on carrier or laminated chip having microelectronic element embedded therein
JP5640530B2 (en) * 2010-07-30 2014-12-17 ソニー株式会社 Wireless power supply system
US8492260B2 (en) 2010-08-30 2013-07-23 Semionductor Components Industries, LLC Processes of forming an electronic device including a feature in a trench
FR2966283B1 (en) * 2010-10-14 2012-11-30 Soi Tec Silicon On Insulator Tech Sa METHOD FOR PRODUCING A COLLAGE STRUCTURE
KR101697573B1 (en) * 2010-11-29 2017-01-19 삼성전자 주식회사 Semiconductor device, fabricating method thereof, and semiconductor package comprising the semiconductor device
TWI455213B (en) * 2010-12-15 2014-10-01 Chipmos Technologies Inc Non-leaded package structure and manufacturing method thereof
JP5167332B2 (en) 2010-12-17 2013-03-21 八千代工業株式会社 Method for joining internal parts in hollow container
CN102593108B (en) * 2011-01-18 2014-08-20 台达电子工业股份有限公司 Power semiconductor packaging structure and manufacturing method thereof
JP5514134B2 (en) * 2011-02-14 2014-06-04 ルネサスエレクトロニクス株式会社 Manufacturing method of semiconductor device
US8633089B2 (en) * 2011-03-28 2014-01-21 Asm Assembly Automation Ltd Die bonding method utilizing rotary wafer table
JP5076000B2 (en) * 2011-04-08 2012-11-21 株式会社東芝 Semiconductor memory device and manufacturing method of semiconductor memory device
JP2012230981A (en) 2011-04-26 2012-11-22 Elpida Memory Inc Semiconductor device and manufacturing method of the same
US9378955B2 (en) * 2011-08-25 2016-06-28 Aeroflex Colorado Springs Inc. Wafer structure for electronic integrated circuit manufacturing
JP6128448B2 (en) * 2012-03-02 2017-05-17 パナソニックIpマネジメント株式会社 Semiconductor light emitting device
US8822275B2 (en) * 2012-04-30 2014-09-02 Hewlett-Packard Development Company, L.P. Composite wafer including a molded wafer and a second wafer
US8786111B2 (en) * 2012-05-14 2014-07-22 Infineon Technologies Ag Semiconductor packages and methods of formation thereof
US9082808B2 (en) * 2012-06-05 2015-07-14 Oracle International Corporation Batch process for three-dimensional integration
US9034733B2 (en) * 2012-08-20 2015-05-19 Semiconductor Components Industries, Llc Semiconductor die singulation method
JP6063264B2 (en) * 2012-09-13 2017-01-18 東京エレクトロン株式会社 Method for processing substrate and plasma processing apparatus
US8981533B2 (en) * 2012-09-13 2015-03-17 Semiconductor Components Industries, Llc Electronic device including a via and a conductive structure, a process of forming the same, and an interposer
CN102945802B (en) * 2012-11-28 2015-04-01 上海华力微电子有限公司 Wet etching device and etching method
JP6135109B2 (en) 2012-12-07 2017-05-31 ソニー株式会社 Solid-state imaging device, manufacturing method of solid-state imaging device, and electronic apparatus
US9620473B1 (en) * 2013-01-18 2017-04-11 University Of Notre Dame Du Lac Quilt packaging system with interdigitated interconnecting nodules for inter-chip alignment
US9589929B2 (en) * 2013-03-14 2017-03-07 Vishay-Siliconix Method for fabricating stack die package
US8686552B1 (en) * 2013-03-14 2014-04-01 Palo Alto Research Center Incorporated Multilevel IC package using interconnect springs
US9966330B2 (en) * 2013-03-14 2018-05-08 Vishay-Siliconix Stack die package
JP2014220439A (en) * 2013-05-10 2014-11-20 ルネサスエレクトロニクス株式会社 Method of manufacturing semiconductor device and semiconductor device
JP2015041638A (en) * 2013-08-20 2015-03-02 住友電気工業株式会社 Silicon carbide semiconductor device, and method of manufacturing the same
JP2015065270A (en) * 2013-09-25 2015-04-09 ソニー株式会社 Solid state image pickup device and manufacturing method of the same, and electronic apparatus
US20150118770A1 (en) * 2013-10-28 2015-04-30 Avago Technologies General Ip (Singapore) Pte. Ltd. Wafer-level packages having voids for opto-electronic devices
US9691693B2 (en) * 2013-12-04 2017-06-27 Invensas Corporation Carrier-less silicon interposer using photo patterned polymer as substrate
US9673170B2 (en) 2014-08-05 2017-06-06 Infineon Technologies Ag Batch process for connecting chips to a carrier
JP5994825B2 (en) * 2014-08-06 2016-09-21 大日本印刷株式会社 Through electrode substrate, manufacturing method thereof, and semiconductor device using the through electrode substrate
US9305852B1 (en) * 2014-11-11 2016-04-05 Texas Instruments Incorporated Silicon package for embedded electronic system having stacked semiconductor chips
US20160181180A1 (en) * 2014-12-23 2016-06-23 Texas Instruments Incorporated Packaged semiconductor device having attached chips overhanging the assembly pad
US9768126B2 (en) * 2014-12-24 2017-09-19 Stmicroelectronics, Inc. Stacked semiconductor packages with cantilever pads
TWI651830B (en) 2015-02-17 2019-02-21 立昌先進科技股份有限公司 Multifunctinal miniaturized smd electronic components and process for manufacturing the same
US10741597B2 (en) * 2015-02-26 2020-08-11 Kyocera Corporation Image sensor, imaging apparatus, and method of manufacturing image sensor
US9472490B1 (en) * 2015-08-12 2016-10-18 GlobalFoundries, Inc. IC structure with recessed solder bump area and methods of forming same
US9893058B2 (en) * 2015-09-17 2018-02-13 Semiconductor Components Industries, Llc Method of manufacturing a semiconductor device having reduced on-state resistance and structure
US9871007B2 (en) * 2015-09-25 2018-01-16 Intel Corporation Packaged integrated circuit device with cantilever structure
US9997473B2 (en) * 2016-01-19 2018-06-12 Xintec Inc. Chip package and method for forming the same
US10770433B1 (en) * 2019-02-27 2020-09-08 Apple Inc. High bandwidth die to die interconnect with package area reduction

Patent Citations (35)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20010020671A1 (en) * 2000-02-04 2001-09-13 Fank Ansorge Focal surface and detector for opto-electronic imaging systems, manufacturing method and opto-electronic imaging system
US6455931B1 (en) * 2001-05-15 2002-09-24 Raytheon Company Monolithic microelectronic array structure having substrate islands and its fabrication
US6627865B1 (en) * 2001-05-15 2003-09-30 Raytheon Company Nonplanar integrated optical device array structure and a method for its fabrication
US6828545B1 (en) * 2001-05-15 2004-12-07 Raytheon Company Hybrid microelectronic array structure having electrically isolated supported islands, and its fabrication
US20050030408A1 (en) * 2003-07-03 2005-02-10 Fuji Photo Film Co., Ltd. Solid-state image pickup device and optical instrument
US20060186492A1 (en) * 2005-02-18 2006-08-24 Micron Technology, Inc. Microelectronic imagers with shaped image sensors and methods for manufacturing microelectronic imagers
US7507944B1 (en) * 2006-06-27 2009-03-24 Cypress Semiconductor Corporation Non-planar packaging of image sensor
US7910942B2 (en) * 2007-04-16 2011-03-22 Lg Innotek Co., Ltd. Semiconductor light emitting device and method for manufacturing the same
US20090039370A1 (en) * 2007-08-09 2009-02-12 Sang Hoon Han Semiconductor light emitting device
US8932894B2 (en) * 2007-10-09 2015-01-13 The United States of America, as represented by the Secratary of the Navy Methods and systems of curved radiation detector fabrication
US20090115875A1 (en) * 2007-11-01 2009-05-07 Samsung Electronics Co., Ltd. Image sensor module and fabrication method thereof
US20140303452A1 (en) * 2008-10-07 2014-10-09 Roozbeh Ghaffari Systems, Methods, and Devices Having Stretchable Integrated Circuitry for Sensing and Delivering Therapy
US7935559B1 (en) * 2009-12-22 2011-05-03 Commissariat A L'energie Atomique Et Aux Energies Alternatives Method for producing a non-planar microelectronic component using a cavity
US20120147207A1 (en) * 2010-11-24 2012-06-14 Sony Corporation Solid-state imaging device and manufacturing method thereof, and electronic apparatus
US20120261551A1 (en) * 2011-01-14 2012-10-18 Rogers John A Optical component array having adjustable curvature
US20120217606A1 (en) * 2011-02-28 2012-08-30 Sony Corporation Method of manufacturing solid-state imaging element, solid-state imaging element and electronic apparatus
US20120299140A1 (en) * 2011-05-26 2012-11-29 Kabushiki Kaisha Toshiba Solid-state imaging device, method for manufacturing solid-state imaging device, and camera module
US20130075587A1 (en) * 2011-09-27 2013-03-28 Kabushiki Kaisha Toshiba Solid state imaging device, portable information terminal device and method for manufacturing solid state imaging device
US20130075849A1 (en) * 2011-09-27 2013-03-28 Kabushiki Kaisha Toshiba Solid state imaging device, solid state imaging element, portable information terminal device and method for manufacturing the solid state imaging element
US20130270662A1 (en) * 2012-04-13 2013-10-17 Stmicroelectronics (Crolles 2) Sas Image sensor of curved surface
US20160240582A1 (en) * 2013-09-30 2016-08-18 Sony Corporation Solid-state imaging element, method for manufacturing the same, and electronic device
WO2015087599A1 (en) * 2013-12-09 2015-06-18 ソニー株式会社 Image pickup unit, lens barrel and portable device
US20170005125A1 (en) * 2013-12-09 2017-01-05 Sony Corporation Imaging unit, lens barrel, and portable terminal
US9551856B2 (en) * 2014-05-19 2017-01-24 Google Inc. MEMS-released curved image sensor
US20160086987A1 (en) * 2014-09-19 2016-03-24 Microsoft Corporation Image Sensor Bending By Induced Substrate Swelling
US20160086994A1 (en) * 2014-09-19 2016-03-24 Microsoft Corporation Image Sensor Bending Using Tension
US20170323915A1 (en) * 2014-11-11 2017-11-09 Sony Corporation Semiconductor device and method of manufacturing the same, semiconductor module, and electronic device
US20160163751A1 (en) * 2014-12-05 2016-06-09 Taiyo Yuden Co., Ltd. Substrate for embedding imaging device and method for manufacturing same, and imaging apparatus
US20160286102A1 (en) * 2015-03-24 2016-09-29 Semiconductor Components Industries, Llc Methods of forming curved image sensors
US20160293646A1 (en) * 2015-04-03 2016-10-06 Canon Kabushiki Kaisha Image sensor and image capturing apparatus
US20160351607A1 (en) * 2015-05-28 2016-12-01 Xintec Inc. Image sensing device
US20170301710A1 (en) * 2016-04-15 2017-10-19 SK Hynix Inc. Image sensors having curved upper surfaces and image sensor modules including the same
US20180069049A1 (en) * 2016-09-07 2018-03-08 Semiconductor Components Industries, Llc Semiconductor device and method of forming curved image sensor region robust against buckling
US20180145107A1 (en) * 2016-11-23 2018-05-24 Industrial Technology Research Institute Manufacturing method of image sensor
US20190006401A1 (en) * 2017-06-30 2019-01-03 SK Hynix Inc. Curved image sensor

Also Published As

Publication number Publication date
US11710691B2 (en) 2023-07-25
US20230307343A1 (en) 2023-09-28
US10903154B2 (en) 2021-01-26
US10163772B2 (en) 2018-12-25
US20190371721A1 (en) 2019-12-05
US20190013264A1 (en) 2019-01-10
US20190378788A1 (en) 2019-12-12
CN206864471U (en) 2018-01-09
US10199316B2 (en) 2019-02-05
US10825764B2 (en) 2020-11-03
US10950534B2 (en) 2021-03-16
US20180240742A1 (en) 2018-08-23
CN106549009A (en) 2017-03-29
US10079199B2 (en) 2018-09-18
US20170084518A1 (en) 2017-03-23
US20170084505A1 (en) 2017-03-23
US10453784B2 (en) 2019-10-22
US20210043553A1 (en) 2021-02-11
US20170271252A1 (en) 2017-09-21
US20210167002A1 (en) 2021-06-03
US10090233B2 (en) 2018-10-02
US10014245B2 (en) 2018-07-03
CN106548924A (en) 2017-03-29
US20170084517A1 (en) 2017-03-23
CN206742228U (en) 2017-12-12
US20170084520A1 (en) 2017-03-23
US20180350733A1 (en) 2018-12-06
US20170084595A1 (en) 2017-03-23
US20170084661A1 (en) 2017-03-23
US20180182698A1 (en) 2018-06-28
US9935045B2 (en) 2018-04-03
US10115662B2 (en) 2018-10-30
US9893058B2 (en) 2018-02-13
US20190035717A1 (en) 2019-01-31
US10741484B2 (en) 2020-08-11
US9711434B2 (en) 2017-07-18
CN206148423U (en) 2017-05-03
CN106549003B (en) 2021-11-09
US20180076120A1 (en) 2018-03-15
CN113964106A (en) 2022-01-21
US20170084527A1 (en) 2017-03-23
CN106549003A (en) 2017-03-29
US20170110452A1 (en) 2017-04-20
US10818587B2 (en) 2020-10-27
US10446480B2 (en) 2019-10-15
US20190013265A1 (en) 2019-01-10
CN206163473U (en) 2017-05-10
CN206293436U (en) 2017-06-30
US11616008B2 (en) 2023-03-28
CN206293443U (en) 2017-06-30
US20170084545A1 (en) 2017-03-23
US9852972B2 (en) 2017-12-26
CN106549009B (en) 2021-07-27

Similar Documents

Publication Publication Date Title
US10818587B2 (en) Semiconductor device and method of forming a curved image sensor
KR102540522B1 (en) Semiconductor device and method of forming insulating layers around semiconductor die
TWI677034B (en) Single-shot encapsulation
US8916416B2 (en) Semiconductor device and method of laser-marking laminate layer formed over eWLB with tape applied to opposite surface
US10103191B2 (en) Semiconductor die and method of packaging multi-die with image sensor
TW201838131A (en) Semiconductor device and method of depositing encapsulant along sides and surface edge of semiconductor die in embedded wlcsp
US10535630B2 (en) Semiconductor device and method of forming WLCSP
US10854665B2 (en) Semiconductor device and method of forming curved image sensor region robust against buckling
US20230036239A1 (en) Semiconductor Device and Method of Making an Optical Semiconductor Package
US20240030265A1 (en) Stacked chip scale optical sensor package

Legal Events

Date Code Title Description
AS Assignment

Owner name: SEMICONDUCTOR COMPONENTS INDUSTRIES, LLC, ARIZONA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:SEDDON, MICHAEL J.;CARNEY, FRANCIS J.;WOOLSEY, ERIC;REEL/FRAME:047022/0553

Effective date: 20160725

STPP Information on status: patent application and granting procedure in general

Free format text: DOCKETED NEW CASE - READY FOR EXAMINATION

AS Assignment

Owner name: DEUTSCHE BANK AG NEW YORK BRANCH, AS COLLATERAL AG

Free format text: SECURITY INTEREST;ASSIGNORS:SEMICONDUCTOR COMPONENTS INDUSTRIES, LLC;FAIRCHILD SEMICONDUCTOR CORPORATION;REEL/FRAME:048327/0670

Effective date: 20190122

Owner name: DEUTSCHE BANK AG NEW YORK BRANCH, AS COLLATERAL AGENT, NEW YORK

Free format text: SECURITY INTEREST;ASSIGNORS:SEMICONDUCTOR COMPONENTS INDUSTRIES, LLC;FAIRCHILD SEMICONDUCTOR CORPORATION;REEL/FRAME:048327/0670

Effective date: 20190122

STPP Information on status: patent application and granting procedure in general

Free format text: NON FINAL ACTION MAILED

STPP Information on status: patent application and granting procedure in general

Free format text: NON FINAL ACTION MAILED

STPP Information on status: patent application and granting procedure in general

Free format text: RESPONSE TO NON-FINAL OFFICE ACTION ENTERED AND FORWARDED TO EXAMINER

STPP Information on status: patent application and granting procedure in general

Free format text: NON FINAL ACTION MAILED

STCV Information on status: appeal procedure

Free format text: NOTICE OF APPEAL FILED

STCV Information on status: appeal procedure

Free format text: APPEAL BRIEF (OR SUPPLEMENTAL BRIEF) ENTERED AND FORWARDED TO EXAMINER

STCV Information on status: appeal procedure

Free format text: EXAMINER'S ANSWER TO APPEAL BRIEF MAILED

STPP Information on status: patent application and granting procedure in general

Free format text: TC RETURN OF APPEAL

STCB Information on status: application discontinuation

Free format text: ABANDONED -- AFTER EXAMINER'S ANSWER OR BOARD OF APPEALS DECISION

AS Assignment

Owner name: FAIRCHILD SEMICONDUCTOR CORPORATION, ARIZONA

Free format text: RELEASE OF SECURITY INTEREST IN PATENTS RECORDED AT REEL 048327, FRAME 0670;ASSIGNOR:DEUTSCHE BANK AG NEW YORK BRANCH, AS COLLATERAL AGENT;REEL/FRAME:064079/0001

Effective date: 20230622

Owner name: SEMICONDUCTOR COMPONENTS INDUSTRIES, LLC, ARIZONA

Free format text: RELEASE OF SECURITY INTEREST IN PATENTS RECORDED AT REEL 048327, FRAME 0670;ASSIGNOR:DEUTSCHE BANK AG NEW YORK BRANCH, AS COLLATERAL AGENT;REEL/FRAME:064079/0001

Effective date: 20230622