US20170186849A1 - Semiconductor device and a method for fabricating the same - Google Patents

Semiconductor device and a method for fabricating the same Download PDF

Info

Publication number
US20170186849A1
US20170186849A1 US15/180,907 US201615180907A US2017186849A1 US 20170186849 A1 US20170186849 A1 US 20170186849A1 US 201615180907 A US201615180907 A US 201615180907A US 2017186849 A1 US2017186849 A1 US 2017186849A1
Authority
US
United States
Prior art keywords
sidewall spacers
insulating layer
forming
cap insulating
recessing
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
US15/180,907
Other versions
US10163704B2 (en
Inventor
Hui-Chi CHEN
Hsiang-Ku Shen
Jeng-Ya David Yeh
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Assigned to TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD. reassignment TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: YEH, JEN-YA DAVID, CHEN, HUI-CHI, SHEN, HSIANG-KU
Priority to US15/180,907 priority Critical patent/US10163704B2/en
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Assigned to TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD. reassignment TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD. CORRECTIVE ASSIGNMENT TO CORRECT THE THIRD ASSIGNOR'S NAME PREVIOUSLY RECORDED AT REEL: 038899 FRAME: 0272. ASSIGNOR(S) HEREBY CONFIRMS THE ASSIGNMENT. Assignors: YEH, Jeng-Ya David, CHEN, HUI-CHI, SHEN, HSIANG-KU
Priority to DE102016116026.1A priority patent/DE102016116026B4/en
Priority to TW105131868A priority patent/TWI650869B/en
Priority to CN201610919834.1A priority patent/CN107017297B/en
Priority to KR1020160137608A priority patent/KR101960573B1/en
Publication of US20170186849A1 publication Critical patent/US20170186849A1/en
Priority to US16/049,305 priority patent/US10734283B2/en
Publication of US10163704B2 publication Critical patent/US10163704B2/en
Application granted granted Critical
Priority to US16/983,018 priority patent/US11443984B2/en
Priority to US17/885,479 priority patent/US11935787B2/en
Active legal-status Critical Current
Adjusted expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/665Unipolar field-effect transistors with an insulated gate, i.e. MISFET using self aligned silicidation, i.e. salicide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66575Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/518Insulating materials associated therewith the insulating material containing nitrogen, e.g. nitride, oxynitride, nitrogen-doped material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02167Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon carbide not containing oxygen, e.g. SiC, SiC:H or silicon carbonitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02178Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing aluminium, e.g. Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02186Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing titanium, e.g. TiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02189Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing zirconium, e.g. ZrO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28247Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon passivation or protection of the electrode, e.g. using re-oxidation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76883Post-treatment or after-treatment of the conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823468MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate sidewall spacers, e.g. double spacers, particular spacer material or shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41775Source or drain electrodes for field effect devices characterised by the proximity or the relative position of the source or drain electrode and the gate electrode, e.g. the source or drain electrode separated from the gate electrode by side-walls or spreading around or above the gate electrode
    • H01L29/41783Raised source or drain electrodes self aligned with the gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42356Disposition, e.g. buried gate electrode
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6653Unipolar field-effect transistors with an insulated gate, i.e. MISFET using the removal of at least part of spacer, e.g. disposable spacer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66553Unipolar field-effect transistors with an insulated gate, i.e. MISFET using inside spacers, permanent or not
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6656Unipolar field-effect transistors with an insulated gate, i.e. MISFET using multiple spacer layers, e.g. multiple sidewall spacers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate

Definitions

  • the disclosure relates to a method for manufacturing a semiconductor device, and more particularly to a structure and a manufacturing method for a self-align contact structure over source/drain regions.
  • a self-aligned contact has been widely utilized for fabricating, e.g., source/drain (S/D) contacts arranged closer to gate structures in a field effect transistor (FET).
  • a SAC is fabricated by patterning an interlayer dielectric (ILD) layer, under which a contact etch-stop layer (CESL) is formed over the gate structure having sidewall spacers. The initial etching of the ILD layer stops at the CESL, and then the CESL is etched to form the SAC.
  • the thickness of the sidewall spacer becomes thinner, which may cause a short circuit between the S/D contact and the gate electrodes. Accordingly, it has been required to provide SAC structures and manufacturing process with improved electrical isolation between the S/D contacts and gate electrodes.
  • FIG. 1A shows an exemplary plan view (viewed from the above) illustrating one stage of a sequential fabrication process of a semiconductor device according to one embodiment of the present disclosure.
  • FIG. 1B shows an exemplary cross sectional view along line X 1 -X 1 of FIG. 1A .
  • FIG. 1C is an enlarged view of the gate structure shown in FIG. 1B .
  • FIG. 1D shows an exemplary perspective view illustrating one stage of a sequential fabrication process of a semiconductor device according to one embodiment of the present disclosure.
  • FIGS. 2-10 show exemplary cross sectional views corresponding to line X 1 -X 1 of FIG. 1A illustrating various stages of the sequential fabrication process of a semiconductor device according to one embodiment of the present disclosure.
  • first and second features are formed in direct contact
  • additional features may be formed interposing the first and second features, such that the first and second features may not be in direct contact.
  • Various features may be arbitrarily drawn in different scales for simplicity and clarity.
  • spatially relative terms such as “beneath,” “below,” “lower,” “above,” “upper” and the like, may be used herein for ease of description to describe one element or feature's relationship to another element(s) or feature(s) as illustrated in the figures.
  • the spatially relative terms are intended to encompass different orientations of the device in use or operation in addition to the orientation depicted in the figures.
  • the apparatus may be otherwise oriented (rotated 90 degrees or at other orientations) and the spatially relative descriptors used herein may likewise be interpreted accordingly.
  • the term “made of” may mean either “comprising” or “consisting of.”
  • FIGS. 1A and 1B show one stage of a sequential fabrication process of a semiconductor device according to one embodiment of the present disclosure.
  • FIG. 1A shows a plan (top) view and
  • FIG. 1B shows a cross sectional view along line X 1 -X 1 of FIG. 1A .
  • FIGS. 1A and 1B show a structure of a semiconductor device after metal gate structures are formed.
  • metal gate structures 10 are formed over a channel layer 5 , for example, a part of a fin structure, and cap insulating layers 20 are disposed over the metal gate structures 10 in the Z direction
  • the metal gate structures 10 extend in the Y direction and are arranged in the X direction.
  • the thickness of the metal gate structures 10 is in a range from about 15 nm to about 50 nm in some embodiments.
  • the thickness of the cap insulating layer 20 is in a range from about 10 nm to about 30 nm in some embodiments, and is in a range from about 15 nm to about 20 nm in other embodiments.
  • Sidewall spacers 30 which may be referred to as a first sidewall, are provided on sidewalls of metal gate structure 10 and the cap insulating layer 20 .
  • the film thickness of the sidewall spacers 30 at the bottom of the sidewall spacers is in a range from about 3 nm to about 15 nm in some embodiments, and is in a range from about 4 nm to about 8 nm in other embodiments.
  • the combination of the metal gate structure 10 , the cap insulating layer 20 and sidewall spacers 30 may be collectively referred to as a gate structure.
  • source/drain regions 50 are formed adjacent to the gate structures, and spaces between the gate structures are filled with a first interlayer dielectric (ILD) layer 40 .
  • ILD interlayer dielectric
  • a contact etch-stop layer (CESL) 35 which may also be referred to a second sidewall, is formed on the sidewall spacers 30 as shown in FIGS. 1A and 1B .
  • the film thickness of the CESL 35 is in a range from about 3 nm to about 15 nm in some embodiments, and is in a range from about 4 nm to about 8 nm in other embodiments.
  • FIG. 1C is an enlarged view of the gate structure.
  • the metal gate structure 10 includes one or more layers 18 of metal material, such as Al, Cu, W, Ti, Ta, TiN, TiAl, TiAlC, TiAlN, TaN, NiSi, CoSi, and other conductive materials.
  • a gate dielectric layer 14 disposed between the channel layer 5 and the metal gate includes one or more layers of metal oxides such as a high-k metal oxide.
  • metal oxides used for high-k dielectrics include oxides of Li, Be, Mg, Ca, Sr, Sc, Y, Zr, Hf, Al, La, Ce, Pr, Nd, Sm, Eu, Gd, Tb, Dy, Ho, Er, Tm, Yb, Lu, and/or mixtures thereof.
  • an interface dielectric layer 12 made of, for example silicon dioxide, is formed between the channel layer 5 and the gate dielectric layer 14 .
  • one or more work function adjustment layers 16 are interposed between the gate dielectric layer 14 and the metal material 18 .
  • the work function adjustment layers 16 are made of a conductive material such as a single layer of TiN, TaN, TaAlC, TiC, TaC, Co, Al, TiAl, HfTi, TiSi, TaSi or TiAlC, or a multilayer of two or more of these materials.
  • n-channel FET For the n-channel FET, one or more of TaN, TaAlC, TiN, TiC, Co, TiAl, HfTi, TiSi and TaSi is used as the work function adjustment layer, and for the p-channel FET, one or more of TiAlC, Al, TiAl, TaN, TaAlC, TiN, TiC and Co is used as the work function adjustment layer.
  • the cap insulating layer 20 includes one or more layers of insulating material such as silicon nitride based material including SiN, SiCN and SiOCN.
  • the sidewall spacer 30 is made of a different material than the cap insulating layer 20 and includes one or more layers of insulating material such as silicon oxide based material including SiOC and SiOCN or a low-k dielectric material having a dielectric constant of about 3 to about 4.
  • the CESL 35 is made of a different material than the cap insulating layer 20 and includes one or more layers of insulating material, such as silicon nitride based material including SiN, SiCN and SiOCN.
  • the CESL 35 is made of the same material as the cap insulating layer 20 .
  • the first ILD layer 40 includes one or more layers of insulating material including a silicon oxide based material, such as silicon dioxide (SiO 2 ) and SiON.
  • the material of the sidewall spacer 30 and the CESL 35 , the material of the cap insulating layer 20 , and a material of the first ILD layer 40 are different from each other in certain embodiments, so that each of these layers can be selectively etched.
  • the sidewall spacer 30 is made of SiOC or SiOCN
  • the cap insulating layer 20 and the CESL 35 are made of SiN
  • the first ILD 40 layer is made of SiO 2 .
  • fin field effect transistors Fin FETs fabricated by a gate-replacement process are employed.
  • FIG. 1D shows an exemplary perspective view of a Fin FET structure.
  • a fin structure 310 is fabricated over a substrate 300 .
  • the fin structure includes a bottom region and an upper region as a channel region 315 .
  • the substrate is, for example, a p-type silicon substrate with an impurity concentration in a range from about 1 ⁇ 10 15 cm ⁇ 3 to about 1 ⁇ 10 18 cm ⁇ 3 .
  • the substrate is an n-type silicon substrate with an impurity concentration in a range from about 1 ⁇ 10 15 cm ⁇ 3 to about 1 ⁇ 10 18 cm ⁇ 3 .
  • the substrate may comprise another elementary semiconductor, such as germanium; a compound semiconductor including Group IV-IV compound semiconductors such as SiC and SiGe, Group III-V compound semiconductors such as GaAs, GaP, GaN, InP, InAs, InSb, GaAsP, AlGaN, AlInAs, AlGaAs, GaInAs, GaInP, and/or GaInAsP; or combinations thereof.
  • the substrate is a silicon layer of an SOI (silicon-on-insulator) substrate.
  • the isolation insulating layer 320 includes one or more layers of insulating materials such as silicon oxide, silicon oxynitride or silicon nitride, formed by LPCVD (low pressure chemical vapor deposition), plasma-CVD or flowable CVD.
  • the isolation insulating layer may be formed by one or more layers of spin-on-glass (SOG), SiO, SiON, SiOCN and/or fluorine-doped silicate glass (FSG).
  • a planarization operation is performed so as to remove part of the isolation insulating layer 320 .
  • the planarization operation may include a chemical mechanical polishing (CMP) and/or an etch-back process. Then, the isolation insulating layer 320 is further removed (recessed) so that the upper region of the fin structure is exposed.
  • CMP chemical mechanical polishing
  • a dummy gate structure is formed over the exposed fin structure.
  • the dummy gate structure includes a dummy gate electrode layer made of poly silicon and a dummy gate dielectric layer. Sidewall spacers 350 including one or more layers of insulating materials are also formed on sidewalls of the dummy gate electrode layer.
  • the fin structure 310 not covered by the dummy gate structure is recessed below the upper surface of the isolation insulating layer 320 .
  • a source/drain region 360 is formed over the recessed fin structure by using an epitaxial growth method.
  • the source/drain region may include a strain material to apply stress to the channel region 315 .
  • an interlayer dielectric layer (ILD) 370 is formed over the dummy gate structure and the source/drain region 360 .
  • the dummy gate structure is removed so as to make a gate space.
  • a metal gate structure 330 including a metal gate electrode and a gate dielectric layer, such as a high-k dielectric layer, is formed.
  • a cap insulating layer 340 is formed over the metal gate structure 330 .
  • a CESL (not shown in FIG. 1D ) is formed on the sidewalls 330 .
  • FIG. 1D the view of parts of the metal gate structure 330 , the cap isolation layer 340 , sidewalls 330 and the ILD 370 are cut to show the underlying structure.
  • the metal gate structure 330 , the cap isolation layer 340 , sidewalls 330 , source/drain 360 and the ILD 370 of FIG. 1D substantially correspond to the metal gate structures 10 , cap insulating layers 20 , sidewall spacers 30 , source/drain regions 50 and first interlayer dielectric layer (ILD) 40 , of FIGS. 1A and 1B , respectively.
  • ILD first interlayer dielectric layer
  • FIGS. 2-10 show exemplary cross sectional views corresponding to line X 1 -X 1 of FIG. 1A , illustrating various stages of the sequential fabrication process of a semiconductor device according to one embodiment of the present disclosure. It is understood that additional operations can be provided before, during, and after processes shown by FIGS. 2-10 , and some of the operations described below can be replaced or eliminated, for additional embodiments of the method. The order of the operations/processes may be interchangeable.
  • the cap insulating layer 20 and the CESL 35 are recessed by using a dry and/or a wet etching process. Since the cap insulating layer 20 and the CESL 35 are made of the same material and made of a material different from the sidewall spacers 30 and the first ILD layer 40 , the cap insulating layer 20 and the CESL 35 can be substantially selectively etched.
  • the depth D 1 of the recessed space 25 over the recessed cap insulating layer 20 measured from the upper surface of the first ILD layer 40 is in a range from about 10 nm to about 30 nm in some embodiments, and is in a range from about 15 nm to about 25 nm in other embodiments.
  • the depth of the recessed space 26 over the recessed CESL 35 is substantially the same as the depth D 1 (the difference is less than about 1 nm). However, the depth of the recessed space 26 may be smaller or larger than the depth D 1 (the difference is not less than about 1 nm).
  • the sidewall spacers 30 are recessed by using a dry and/or a wet etching process, thereby forming a recessed space 37 . Since the sidewall spacers 30 are made of a material different from the cap insulating layer 20 , the CESL 35 and the first ILD layer 40 , the sidewall spacer layers 30 can be substantially selectively etched. As shown in FIG. 3 , the recess has a ⁇ -shape having a head portion 62 and two leg portions 61 , 63 in a cross section along the X direction.
  • the depth D 2 of the recessed space 37 measured from the upper surface of the first ILD layer 40 is at least about 5 nm more than D 1 and in a range from about 20 nm to about 50 nm in some embodiments, and is in a range from about 10 nm to about 30 nm in other embodiments.
  • the height H 1 of the bottom of the recessed space 37 measured from the upper surface of the gate structure 10 is in a range from about 5 nm to about 30 nm in some embodiments.
  • the depth D 2 is greater than the depth D 1 , and the difference is more than about 3 nm. It is noted that the cap insulating layer 20 and the CESL 35 may be recessed after the sidewall spacers 30 are recessed.
  • a protective layer is subsequently formed in the recessed spaces 25 , 26 and 37 .
  • one or more blanket layers of an insulating material 71 are formed over the structure shown in FIG. 3 , and a planarization operation, such as an etch-back process and/or a chemical mechanical polishing (CMP) process, is performed, thereby obtaining the structure of FIG. 5 .
  • the insulating material 71 may be formed by CVD, physical vapor deposition (PVD) including sputtering, atomic layer deposition (ALD), or other suitable film forming methods.
  • the thickness H 2 of the protective layer 70 measured from the upper surface of the cap insulating layer 20 is in a range from about 5 nm to about 20 nm in some embodiments, and is in a range from about 7 nm to about 15 nm in other embodiments.
  • the protective layer 70 is made of a material which has a high etching resistivity against a silicon oxide based material.
  • at least one of aluminum nitride, aluminum oxynitride, aluminum oxide, titanium oxide, zirconium oxide is used as the protective layer 70 .
  • the protective layer 70 has a ⁇ -shape having a head portion 72 and two leg portions 73 , 75 in a cross section along the X direction.
  • the length H 3 of the leg portions is in a range from about 5 nm to about 10 nm in some embodiments.
  • the first ILD layer 40 over the source/drain region 50 is removed by using suitable lithography and etching operations, as shown in FIG. 6 , thereby forming contact openings 85 so as to expose at least one source/drain region 50 .
  • the first ILD is entirely removed and then a second ILD is formed over the gate structures. Then, the contact opening 85 is formed by using a lithography operation and an etching operation, so at to expose at least one source/drain region 50 , as shown in FIG. 6 .
  • the protective layer 70 As shown in FIG. 6 , during the contact opening etching, a part of the protective layer 70 is also etched. However, since the protective layer 70 has a higher etching resistivity than the CESL 35 during the contact hole etching, which is an oxide etching, the amount of the etched portion of the CESL 35 can be minimized. Moreover, due to the protective layer 70 , the cap insulating layer 20 and the sidewall spacers 30 are not etched during the contact opening etching. Thus, the upper ends of the cap insulating layer 20 maintain the substantially right angle corners. Since the cap insulating layer 20 is protected from being etched, a short circuit between the metal gate 10 and the source/drain contact 95 (see FIGS. 8 and 9 ) can be avoided.
  • a conductive material 90 is formed over the structure of FIG. 6 .
  • one or more layers of conductive material 90 such as tungsten, titanium, cobalt, tantalum, copper, aluminum or nickel, or silicide thereof, or other suitable materials, are formed over the structure of FIG. 6 .
  • a planarization operation such as a CMP process, is performed, so as to obtain the structure of FIG. 8 .
  • the space between two gate structures is filled by the conductive material, thereby forming a source/drain contact 95 in contact with the source/drain region 50 .
  • the protective layer 70 is not removed and remains as shown in FIG. 9 .
  • the protective layer 70 can function as a polishing stop layer in the CMP process.
  • the source/drain contact 95 is in contact with the source/drain region 50 .
  • the protective layer 70 is further removed during the CMP process or by the subsequent CMP process for the S/D cap insulating layer.
  • the upper portion of the source/drain contact 95 is removed (recessed) and an S/D cap insulating layer 100 is formed as shown in FIG. 9 .
  • the thickness H 3 of the head portion of the ⁇ -shape of the protective layer 70 is in a range from about 1 nm to about 5 nm in some embodiments.
  • the thickness H 4 (length) of the leg portion of the ⁇ -shape of the protective layer 70 is greater than the thickness H 3 of the head portion.
  • the ratio of H 4 to H 3 (H 4 /H 3 ) is in a range from about 1 to about 10 in some embodiments, and in a range from about 2 to about 6 in other embodiments.
  • An etching-stop layer (ESL) 105 and a third ILD layer 108 are subsequently formed over the structure of FIG. 9 .
  • a patterning operation is performed to form via holes.
  • the via holes are filed with one or more conductive materials so as to form via plugs 110 , 115 , and a first metal wiring 120 and a second metal wiring 125 are formed over the via plugs 110 and 115 , respectively, as shown in FIG. 10 .
  • the first and second metal wirings and the via plugs can be formed by a dual damascene method.
  • the ESL 105 is not formed.
  • a protective layer 70 is formed over the metal gate, the sidewall spacers and the cap insulating layer, it is possible to prevent the cap insulating layer from being etched during a contact hole etching, thereby preventing a short circuit between the metal gate and the source/drain contact.
  • a first gate structure is formed over a substrate.
  • the first gate structure includes a first gate electrode, a first cap insulating layer disposed over the first gate electrode, first sidewall spacers disposed on opposing side faces of the first gate electrode and the first cap insulating layer and second sidewall spacers disposed on the first sidewall spacers.
  • the first gate structure extends along a first direction.
  • a first source/drain region is formed.
  • a first insulating layer is formed over the first source/drain region.
  • the first cap insulating layer and the second sidewall spacers are recessed, and the first sidewall spacers are recessed, thereby forming a first recessed space.
  • a first protective layer is formed in the first recessed space.
  • the first recessed space has a ⁇ -shape having a head portion above the first cap insulating layer and the second sidewall spacers and two leg portions above the first sidewall spacers in a cross section along a second direction perpendicular to the first direction.
  • the first protective layer has a ⁇ -shape having a head portion and two leg portions in a cross section along the second direction.
  • a first gate structure and a second gate structure are formed over a substrate.
  • the first gate structure includes a first gate electrode, a first cap insulating layer disposed over the first gate electrode, first sidewall spacers disposed on opposing side faces of the first gate electrode and the first cap insulating layer and first etch-stop layers disposed on the first sidewall spacers.
  • the second gate structure includes a second gate electrode, a second cap insulating layer disposed over the second gate electrode, second sidewall spacers disposed on opposing side faces of the second gate electrode and the second cap insulating layer and second etch-stop layers disposed on the first sidewall spacers.
  • the first and second gate structures extend along a first direction.
  • a first source/drain region is formed in an area between the first gate structure and the second gate structure.
  • a first insulating layer is formed over the first source/drain region and between the first gate structure and the second gate structure.
  • the first and second cap insulating layers and the first and second etch-stop layers are recessed, and the first and second sidewall spacers are recessed, thereby forming a first recessed space above the first gate electrode and a second recessed space above the second gate electrode.
  • a first protective layer is formed in the first recessed space and a second protective layer is formed in the second recessed space.
  • Each of the first and second recessed spaces has a ⁇ -shape having a head portion and two leg portions in a cross section along a second direction perpendicular to the first direction.
  • Each of the first and second protective layers has a ⁇ -shape having a head portion and two leg portions in a cross section along the second direction.
  • a semiconductor device includes a first gate structure disposed on a substrate and extending in a first direction.
  • the first gate structure includes a first gate electrode, a first cap insulating layer disposed over the first gate electrode, first sidewall spacers disposed on opposing side faces of the first gate electrode and the first cap insulating layer and second sidewall spacers disposed over the first sidewall spacers.
  • the semiconductor device further includes a first protective layer formed over the first cap insulating layer, the first sidewall spacers and the second sidewall spacers.
  • the first protective layer has a ⁇ -shape having a head portion and two leg portions in a cross section along a second direction perpendicular to the first direction.

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Thin Film Transistor (AREA)

Abstract

A semiconductor device includes a first gate structure disposed on a substrate and extending in a first direction. The first gate structure includes a first gate electrode, a first cap insulating layer disposed over the first gate electrode, first sidewall spacers disposed on opposing side faces of the first gate electrode and the first cap insulating layer and second sidewall spacers disposed over the first sidewall spacers. The semiconductor device further includes a first protective layer formed over the first cap insulating layer, the first sidewall spacers and the second sidewall spacers. The first protective layer has a π-shape having a head portion and two leg portions in a cross section along a second direction perpendicular to the first direction.

Description

    CROSS-REFERENCE TO RELATED APPLICATIONS
  • This application claims priority to U.S. Provisional Patent Application 62/272,300 filed Nov. 29, 2015, the entire disclosure of which is incorporated herein by reference.
  • TECHNICAL FIELD
  • The disclosure relates to a method for manufacturing a semiconductor device, and more particularly to a structure and a manufacturing method for a self-align contact structure over source/drain regions.
  • BACKGROUND
  • With a decrease of dimensions of semiconductor devices, a self-aligned contact (SAC) has been widely utilized for fabricating, e.g., source/drain (S/D) contacts arranged closer to gate structures in a field effect transistor (FET). Typically, a SAC is fabricated by patterning an interlayer dielectric (ILD) layer, under which a contact etch-stop layer (CESL) is formed over the gate structure having sidewall spacers. The initial etching of the ILD layer stops at the CESL, and then the CESL is etched to form the SAC. As the device density increases (i.e., the dimensions of semiconductor device decreases), the thickness of the sidewall spacer becomes thinner, which may cause a short circuit between the S/D contact and the gate electrodes. Accordingly, it has been required to provide SAC structures and manufacturing process with improved electrical isolation between the S/D contacts and gate electrodes.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • The present disclosure is best understood from the following detailed description when read with the accompanying figures. It is emphasized that, in accordance with the standard practice in the industry, various features are not drawn to scale and are used for illustration purposes only. In fact, the dimensions of the various features may be arbitrarily increased or reduced for clarity of discussion.
  • FIG. 1A shows an exemplary plan view (viewed from the above) illustrating one stage of a sequential fabrication process of a semiconductor device according to one embodiment of the present disclosure. FIG. 1B shows an exemplary cross sectional view along line X1-X1 of FIG. 1A. FIG. 1C is an enlarged view of the gate structure shown in FIG. 1B. FIG. 1D shows an exemplary perspective view illustrating one stage of a sequential fabrication process of a semiconductor device according to one embodiment of the present disclosure.
  • FIGS. 2-10 show exemplary cross sectional views corresponding to line X1-X1 of FIG. 1A illustrating various stages of the sequential fabrication process of a semiconductor device according to one embodiment of the present disclosure.
  • DETAILED DESCRIPTION
  • It is to be understood that the following disclosure provides many different embodiments, or examples, for implementing different features of the invention. Specific embodiments or examples of components and arrangements are described below to simplify the present disclosure. These are, of course, merely examples and are not intended to be limiting. For example, dimensions of elements are not limited to the disclosed range or values, but may depend upon process conditions and/or desired properties of the device. Moreover, the formation of a first feature over or on a second feature in the description that follows may include embodiments in which the first and second features are formed in direct contact, and may also include embodiments in which additional features may be formed interposing the first and second features, such that the first and second features may not be in direct contact. Various features may be arbitrarily drawn in different scales for simplicity and clarity.
  • Further, spatially relative terms, such as “beneath,” “below,” “lower,” “above,” “upper” and the like, may be used herein for ease of description to describe one element or feature's relationship to another element(s) or feature(s) as illustrated in the figures. The spatially relative terms are intended to encompass different orientations of the device in use or operation in addition to the orientation depicted in the figures. The apparatus may be otherwise oriented (rotated 90 degrees or at other orientations) and the spatially relative descriptors used herein may likewise be interpreted accordingly. In addition, the term “made of” may mean either “comprising” or “consisting of.”
  • FIGS. 1A and 1B show one stage of a sequential fabrication process of a semiconductor device according to one embodiment of the present disclosure. FIG. 1A shows a plan (top) view and FIG. 1B shows a cross sectional view along line X1-X1 of FIG. 1A.
  • FIGS. 1A and 1B show a structure of a semiconductor device after metal gate structures are formed. In FIGS. 1A and 1B, metal gate structures 10 are formed over a channel layer 5, for example, a part of a fin structure, and cap insulating layers 20 are disposed over the metal gate structures 10 in the Z direction The metal gate structures 10 extend in the Y direction and are arranged in the X direction. The thickness of the metal gate structures 10 is in a range from about 15 nm to about 50 nm in some embodiments. The thickness of the cap insulating layer 20 is in a range from about 10 nm to about 30 nm in some embodiments, and is in a range from about 15 nm to about 20 nm in other embodiments. Sidewall spacers 30, which may be referred to as a first sidewall, are provided on sidewalls of metal gate structure 10 and the cap insulating layer 20. The film thickness of the sidewall spacers 30 at the bottom of the sidewall spacers is in a range from about 3 nm to about 15 nm in some embodiments, and is in a range from about 4 nm to about 8 nm in other embodiments. The combination of the metal gate structure 10, the cap insulating layer 20 and sidewall spacers 30 may be collectively referred to as a gate structure. Further, source/drain regions 50 are formed adjacent to the gate structures, and spaces between the gate structures are filled with a first interlayer dielectric (ILD) layer 40. In addition, a contact etch-stop layer (CESL) 35, which may also be referred to a second sidewall, is formed on the sidewall spacers 30 as shown in FIGS. 1A and 1B. The film thickness of the CESL 35 is in a range from about 3 nm to about 15 nm in some embodiments, and is in a range from about 4 nm to about 8 nm in other embodiments.
  • FIG. 1C is an enlarged view of the gate structure. The metal gate structure 10 includes one or more layers 18 of metal material, such as Al, Cu, W, Ti, Ta, TiN, TiAl, TiAlC, TiAlN, TaN, NiSi, CoSi, and other conductive materials. A gate dielectric layer 14 disposed between the channel layer 5 and the metal gate includes one or more layers of metal oxides such as a high-k metal oxide. Examples of metal oxides used for high-k dielectrics include oxides of Li, Be, Mg, Ca, Sr, Sc, Y, Zr, Hf, Al, La, Ce, Pr, Nd, Sm, Eu, Gd, Tb, Dy, Ho, Er, Tm, Yb, Lu, and/or mixtures thereof. In some embodiments, an interface dielectric layer 12 made of, for example silicon dioxide, is formed between the channel layer 5 and the gate dielectric layer 14.
  • In some embodiments, one or more work function adjustment layers 16 are interposed between the gate dielectric layer 14 and the metal material 18. The work function adjustment layers 16 are made of a conductive material such as a single layer of TiN, TaN, TaAlC, TiC, TaC, Co, Al, TiAl, HfTi, TiSi, TaSi or TiAlC, or a multilayer of two or more of these materials. For the n-channel FET, one or more of TaN, TaAlC, TiN, TiC, Co, TiAl, HfTi, TiSi and TaSi is used as the work function adjustment layer, and for the p-channel FET, one or more of TiAlC, Al, TiAl, TaN, TaAlC, TiN, TiC and Co is used as the work function adjustment layer.
  • The cap insulating layer 20 includes one or more layers of insulating material such as silicon nitride based material including SiN, SiCN and SiOCN. The sidewall spacer 30 is made of a different material than the cap insulating layer 20 and includes one or more layers of insulating material such as silicon oxide based material including SiOC and SiOCN or a low-k dielectric material having a dielectric constant of about 3 to about 4. In some embodiments, the CESL 35 is made of a different material than the cap insulating layer 20 and includes one or more layers of insulating material, such as silicon nitride based material including SiN, SiCN and SiOCN. In some embodiments, the CESL 35 is made of the same material as the cap insulating layer 20. The first ILD layer 40 includes one or more layers of insulating material including a silicon oxide based material, such as silicon dioxide (SiO2) and SiON.
  • The material of the sidewall spacer 30 and the CESL 35, the material of the cap insulating layer 20, and a material of the first ILD layer 40 are different from each other in certain embodiments, so that each of these layers can be selectively etched. In one embodiment, the sidewall spacer 30 is made of SiOC or SiOCN, the cap insulating layer 20 and the CESL 35 are made of SiN, and the first ILD 40 layer is made of SiO2.
  • In this embodiment, fin field effect transistors (Fin FETs) fabricated by a gate-replacement process are employed.
  • FIG. 1D shows an exemplary perspective view of a Fin FET structure.
  • First, a fin structure 310 is fabricated over a substrate 300. The fin structure includes a bottom region and an upper region as a channel region 315. The substrate is, for example, a p-type silicon substrate with an impurity concentration in a range from about 1×1015 cm−3 to about 1×1018 cm−3. In other embodiments, the substrate is an n-type silicon substrate with an impurity concentration in a range from about 1×1015 cm−3 to about 1×1018 cm−3. Alternatively, the substrate may comprise another elementary semiconductor, such as germanium; a compound semiconductor including Group IV-IV compound semiconductors such as SiC and SiGe, Group III-V compound semiconductors such as GaAs, GaP, GaN, InP, InAs, InSb, GaAsP, AlGaN, AlInAs, AlGaAs, GaInAs, GaInP, and/or GaInAsP; or combinations thereof. In one embodiment, the substrate is a silicon layer of an SOI (silicon-on-insulator) substrate.
  • After forming the fin structure 310, an isolation insulating layer 320 is formed over the fin structure 310. The isolation insulating layer 320 includes one or more layers of insulating materials such as silicon oxide, silicon oxynitride or silicon nitride, formed by LPCVD (low pressure chemical vapor deposition), plasma-CVD or flowable CVD. The isolation insulating layer may be formed by one or more layers of spin-on-glass (SOG), SiO, SiON, SiOCN and/or fluorine-doped silicate glass (FSG).
  • After forming the isolation insulating layer 320 over the fin structure, a planarization operation is performed so as to remove part of the isolation insulating layer 320. The planarization operation may include a chemical mechanical polishing (CMP) and/or an etch-back process. Then, the isolation insulating layer 320 is further removed (recessed) so that the upper region of the fin structure is exposed.
  • A dummy gate structure is formed over the exposed fin structure. The dummy gate structure includes a dummy gate electrode layer made of poly silicon and a dummy gate dielectric layer. Sidewall spacers 350 including one or more layers of insulating materials are also formed on sidewalls of the dummy gate electrode layer. After the dummy gate structure is formed, the fin structure 310 not covered by the dummy gate structure is recessed below the upper surface of the isolation insulating layer 320. Then, a source/drain region 360 is formed over the recessed fin structure by using an epitaxial growth method. The source/drain region may include a strain material to apply stress to the channel region 315.
  • Then, an interlayer dielectric layer (ILD) 370 is formed over the dummy gate structure and the source/drain region 360. After a planarization operation, the dummy gate structure is removed so as to make a gate space. Then, in the gate space, a metal gate structure 330 including a metal gate electrode and a gate dielectric layer, such as a high-k dielectric layer, is formed. Further, a cap insulating layer 340 is formed over the metal gate structure 330. In addition, a CESL (not shown in FIG. 1D) is formed on the sidewalls 330. In FIG. 1D, the view of parts of the metal gate structure 330, the cap isolation layer 340, sidewalls 330 and the ILD 370 are cut to show the underlying structure.
  • The metal gate structure 330, the cap isolation layer 340, sidewalls 330, source/drain 360 and the ILD 370 of FIG. 1D substantially correspond to the metal gate structures 10, cap insulating layers 20, sidewall spacers 30, source/drain regions 50 and first interlayer dielectric layer (ILD) 40, of FIGS. 1A and 1B, respectively.
  • FIGS. 2-10 show exemplary cross sectional views corresponding to line X1-X1 of FIG. 1A, illustrating various stages of the sequential fabrication process of a semiconductor device according to one embodiment of the present disclosure. It is understood that additional operations can be provided before, during, and after processes shown by FIGS. 2-10, and some of the operations described below can be replaced or eliminated, for additional embodiments of the method. The order of the operations/processes may be interchangeable.
  • As shown in FIG. 2, the cap insulating layer 20 and the CESL 35 are recessed by using a dry and/or a wet etching process. Since the cap insulating layer 20 and the CESL 35 are made of the same material and made of a material different from the sidewall spacers 30 and the first ILD layer 40, the cap insulating layer 20 and the CESL 35 can be substantially selectively etched. The depth D1 of the recessed space 25 over the recessed cap insulating layer 20 measured from the upper surface of the first ILD layer 40 is in a range from about 10 nm to about 30 nm in some embodiments, and is in a range from about 15 nm to about 25 nm in other embodiments. The depth of the recessed space 26 over the recessed CESL 35 is substantially the same as the depth D1 (the difference is less than about 1 nm). However, the depth of the recessed space 26 may be smaller or larger than the depth D1 (the difference is not less than about 1 nm).
  • As shown in FIG. 3, the sidewall spacers 30 are recessed by using a dry and/or a wet etching process, thereby forming a recessed space 37. Since the sidewall spacers 30 are made of a material different from the cap insulating layer 20, the CESL 35 and the first ILD layer 40, the sidewall spacer layers 30 can be substantially selectively etched. As shown in FIG. 3, the recess has a π-shape having a head portion 62 and two leg portions 61, 63 in a cross section along the X direction. The depth D2 of the recessed space 37 measured from the upper surface of the first ILD layer 40 is at least about 5 nm more than D1 and in a range from about 20 nm to about 50 nm in some embodiments, and is in a range from about 10 nm to about 30 nm in other embodiments. The height H1 of the bottom of the recessed space 37 measured from the upper surface of the gate structure 10 (e.g., the metal gate 18) is in a range from about 5 nm to about 30 nm in some embodiments.
  • As shown in FIG. 3, the depth D2 is greater than the depth D1, and the difference is more than about 3 nm. It is noted that the cap insulating layer 20 and the CESL 35 may be recessed after the sidewall spacers 30 are recessed.
  • A protective layer is subsequently formed in the recessed spaces 25, 26 and 37. As shown in FIG. 4, one or more blanket layers of an insulating material 71 are formed over the structure shown in FIG. 3, and a planarization operation, such as an etch-back process and/or a chemical mechanical polishing (CMP) process, is performed, thereby obtaining the structure of FIG. 5. The insulating material 71 may be formed by CVD, physical vapor deposition (PVD) including sputtering, atomic layer deposition (ALD), or other suitable film forming methods. After the planarization operation, the thickness H2 of the protective layer 70 measured from the upper surface of the cap insulating layer 20 is in a range from about 5 nm to about 20 nm in some embodiments, and is in a range from about 7 nm to about 15 nm in other embodiments.
  • The protective layer 70 is made of a material which has a high etching resistivity against a silicon oxide based material. In some embodiments, at least one of aluminum nitride, aluminum oxynitride, aluminum oxide, titanium oxide, zirconium oxide is used as the protective layer 70.
  • As shown in FIG. 5, the protective layer 70 has a π-shape having a head portion 72 and two leg portions 73, 75 in a cross section along the X direction. The length H3 of the leg portions is in a range from about 5 nm to about 10 nm in some embodiments.
  • After the protective layer 70 is formed, the first ILD layer 40 over the source/drain region 50 is removed by using suitable lithography and etching operations, as shown in FIG. 6, thereby forming contact openings 85 so as to expose at least one source/drain region 50.
  • In some embodiments, the first ILD is entirely removed and then a second ILD is formed over the gate structures. Then, the contact opening 85 is formed by using a lithography operation and an etching operation, so at to expose at least one source/drain region 50, as shown in FIG. 6.
  • As shown in FIG. 6, during the contact opening etching, a part of the protective layer 70 is also etched. However, since the protective layer 70 has a higher etching resistivity than the CESL 35 during the contact hole etching, which is an oxide etching, the amount of the etched portion of the CESL 35 can be minimized. Moreover, due to the protective layer 70, the cap insulating layer 20 and the sidewall spacers 30 are not etched during the contact opening etching. Thus, the upper ends of the cap insulating layer 20 maintain the substantially right angle corners. Since the cap insulating layer 20 is protected from being etched, a short circuit between the metal gate 10 and the source/drain contact 95 (see FIGS. 8 and 9) can be avoided.
  • After the contact hole 85 is formed, a conductive material 90 is formed over the structure of FIG. 6. As shown in FIG. 7, one or more layers of conductive material 90, such as tungsten, titanium, cobalt, tantalum, copper, aluminum or nickel, or silicide thereof, or other suitable materials, are formed over the structure of FIG. 6. Then, a planarization operation, such as a CMP process, is performed, so as to obtain the structure of FIG. 8. The space between two gate structures is filled by the conductive material, thereby forming a source/drain contact 95 in contact with the source/drain region 50.
  • In this embodiment, the protective layer 70 is not removed and remains as shown in FIG. 9. In such a case, the protective layer 70 can function as a polishing stop layer in the CMP process. The source/drain contact 95 is in contact with the source/drain region 50. In some embodiments, the protective layer 70 is further removed during the CMP process or by the subsequent CMP process for the S/D cap insulating layer.
  • After the source/drain contact 95 is formed, the upper portion of the source/drain contact 95 is removed (recessed) and an S/D cap insulating layer 100 is formed as shown in FIG. 9. A blanket layer of an insulating material, such as SiC or SiOC, is formed and a CMP operation is performed. In FIG. 9, the thickness H3 of the head portion of the π-shape of the protective layer 70 is in a range from about 1 nm to about 5 nm in some embodiments. Further, the thickness H4 (length) of the leg portion of the π-shape of the protective layer 70 is greater than the thickness H3 of the head portion. The ratio of H4 to H3 (H4/H3) is in a range from about 1 to about 10 in some embodiments, and in a range from about 2 to about 6 in other embodiments.
  • An etching-stop layer (ESL) 105 and a third ILD layer 108 are subsequently formed over the structure of FIG. 9. Then, a patterning operation is performed to form via holes. The via holes are filed with one or more conductive materials so as to form via plugs 110, 115, and a first metal wiring 120 and a second metal wiring 125 are formed over the via plugs 110 and 115, respectively, as shown in FIG. 10. The first and second metal wirings and the via plugs can be formed by a dual damascene method. In some embodiments, the ESL 105 is not formed.
  • It is understood that the device shown in FIG. 10 undergoes further CMOS processes to form various features such as interconnect metal layers, dielectric layers, passivation layers, etc.
  • The various embodiments or examples described herein offer several advantages over the existing art. For example, in the present disclosure, since a protective layer 70 is formed over the metal gate, the sidewall spacers and the cap insulating layer, it is possible to prevent the cap insulating layer from being etched during a contact hole etching, thereby preventing a short circuit between the metal gate and the source/drain contact.
  • It will be understood that not all advantages have been necessarily discussed herein, no particular advantage is required for all embodiments or examples, and other embodiments or examples may offer different advantages.
  • According to one aspect of the present disclosure, in a method of manufacturing a semiconductor device, a first gate structure is formed over a substrate. The first gate structure includes a first gate electrode, a first cap insulating layer disposed over the first gate electrode, first sidewall spacers disposed on opposing side faces of the first gate electrode and the first cap insulating layer and second sidewall spacers disposed on the first sidewall spacers. The first gate structure extends along a first direction. A first source/drain region is formed. A first insulating layer is formed over the first source/drain region. After the forming the first insulating layer, the first cap insulating layer and the second sidewall spacers are recessed, and the first sidewall spacers are recessed, thereby forming a first recessed space. A first protective layer is formed in the first recessed space. The first recessed space has a π-shape having a head portion above the first cap insulating layer and the second sidewall spacers and two leg portions above the first sidewall spacers in a cross section along a second direction perpendicular to the first direction. The first protective layer has a π-shape having a head portion and two leg portions in a cross section along the second direction.
  • According to another aspect of the present disclosure, in a method of manufacturing a semiconductor device, a first gate structure and a second gate structure are formed over a substrate. The first gate structure includes a first gate electrode, a first cap insulating layer disposed over the first gate electrode, first sidewall spacers disposed on opposing side faces of the first gate electrode and the first cap insulating layer and first etch-stop layers disposed on the first sidewall spacers. The second gate structure includes a second gate electrode, a second cap insulating layer disposed over the second gate electrode, second sidewall spacers disposed on opposing side faces of the second gate electrode and the second cap insulating layer and second etch-stop layers disposed on the first sidewall spacers. The first and second gate structures extend along a first direction. A first source/drain region is formed in an area between the first gate structure and the second gate structure. A first insulating layer is formed over the first source/drain region and between the first gate structure and the second gate structure. After the forming the first insulating layer, the first and second cap insulating layers and the first and second etch-stop layers are recessed, and the first and second sidewall spacers are recessed, thereby forming a first recessed space above the first gate electrode and a second recessed space above the second gate electrode. A first protective layer is formed in the first recessed space and a second protective layer is formed in the second recessed space. Each of the first and second recessed spaces has a π-shape having a head portion and two leg portions in a cross section along a second direction perpendicular to the first direction. Each of the first and second protective layers has a π-shape having a head portion and two leg portions in a cross section along the second direction.
  • In accordance with yet another aspect of the present disclosure, a semiconductor device includes a first gate structure disposed on a substrate and extending in a first direction. The first gate structure includes a first gate electrode, a first cap insulating layer disposed over the first gate electrode, first sidewall spacers disposed on opposing side faces of the first gate electrode and the first cap insulating layer and second sidewall spacers disposed over the first sidewall spacers. The semiconductor device further includes a first protective layer formed over the first cap insulating layer, the first sidewall spacers and the second sidewall spacers. The first protective layer has a π-shape having a head portion and two leg portions in a cross section along a second direction perpendicular to the first direction.
  • The foregoing outlines features of several embodiments or examples so that those skilled in the art may better understand the aspects of the present disclosure. Those skilled in the art should appreciate that they may readily use the present disclosure as a basis for designing or modifying other processes and structures for carrying out the same purposes and/or achieving the same advantages of the embodiments or examples introduced herein. Those skilled in the art should also realize that such equivalent constructions do not depart from the spirit and scope of the present disclosure, and that they may make various changes, substitutions, and alterations herein without departing from the spirit and scope of the present disclosure.

Claims (21)

1. A method of manufacturing a semiconductor device, the method comprising:
forming a first gate structure over a substrate, the first gate structure including a first gate electrode, a first cap insulating layer disposed over the first gate electrode, first sidewall spacers disposed on opposing side faces of the first gate electrode and the first cap insulating layer and second sidewall spacers disposed on the first sidewall spacers, the first gate structure extending in a first direction;
forming a first source/drain region;
forming a first insulating layer over the first source/drain region;
after the forming the first insulating layer, recessing the first cap insulating layer and the second sidewall spacers, and recessing the first sidewall spacers, thereby forming a first recessed space; and
forming a first protective layer in the first recessed space, wherein:
the first recessed space has a π-shape having a head portion above the first cap insulating layer and the second sidewall spacers and two leg portions above the first sidewall spacers in a cross section along a second direction perpendicular to the first direction, and
the first protective layer has a π-shape having a head portion and two leg portions in a cross section along the second direction.
2. The method of claim 1, wherein the first cap insulating layer is made of a same material as the second sidewall spacers and is made of a different material as the first sidewall spacers.
3. The method of claim 2, wherein the first cap insulating layer and the second sidewall spacers are made of a silicon nitride based material.
4. The method of claim 3, wherein the first sidewall spacers are made of a silicon oxide based material.
5. The method of claim 3, wherein the first sidewall spacers are made of at least one of SiOC and SiOCN.
6. The method of claim 1, wherein the first protective layer is made of at least one of aluminum nitride, aluminum oxynitride, aluminum oxide, titanium oxide, zirconium oxide.
7. The method of claim 1, wherein the recessing the first cap insulating layer and the second sidewall spacers is performed before the recessing the first sidewall spacers.
8. The method of claim 1, wherein the recessing the first cap insulating layer and the second sidewall spacers is performed after the recessing the first sidewall spacers.
9. The method of claim 1, further comprising, after the forming the first protective layer:
removing a part of the first insulating layer above the source/drain region, thereby forming a contact hole; and
filling the contact hole with a conductive material;
recessing the filled conductive material; and
forming a second insulating layer over the recessed conductive material,
wherein when forming the contact hole, the first cap insulating layer is not etched.
10. The method of claim 9, wherein the second insulating layer is made of at least one of SiOC, SiC and SiOCN.
11. A method of manufacturing a semiconductor device, the method comprising:
forming a first gate structure and a second gate structure over a substrate, the first gate structure including a first gate electrode, a first cap insulating layer disposed over the first gate electrode, first sidewall spacers disposed on opposing side faces of the first gate electrode and the first cap insulating layer and first etch-stop layers disposed on the first sidewall spacers, the second gate structure including a second gate electrode, a second cap insulating layer disposed over the second gate electrode, second sidewall spacers disposed on opposing side faces of the second gate electrode and the second cap insulating layer and second etch-stop layers disposed on the first sidewall spacers, the first and second gate structures extending in a first direction;
forming a first source/drain region in an area between the first gate structure and the second gate structure;
forming a first insulating layer over the first source/drain region and between the first gate structure and the second gate structure;
after the forming the first insulating layer, recessing the first and second cap insulating layers and the first and second etch-stop layers, and recessing the first and second sidewall spacers, thereby forming a first recessed space above the first gate electrode and a second recessed space above the second gate electrode; and
forming a first protective layer in the first recessed space and a second protective layer in the second recessed space, wherein:
each of the first and second recessed spaces has a π-shape having a head portion and two leg portions in a cross section along a second direction perpendicular to the first direction, and
each of the first and second protective layers has a π-shape having a head portion and two leg portions in a cross section along the second direction.
12. The method of claim 11, wherein the first and second cap insulating layers are made of a same material as the first and second etch-stop layers and are made of a different material as the first and second sidewall spacers.
13. The method of claim 12, wherein:
the first and second cap insulating layers and the first and second etch-stop layers are made of SiN, and
the first and second sidewall spacers are made of at least one of SiOC and SiOCN.
14. The method of claim 11, wherein the first and second protective layers are made of at least one of aluminum nitride, aluminum oxynitride, aluminum oxide, titanium oxide, zirconium oxide.
15. The method of claim 11, wherein the recessing the first and second cap insulating layers and the first and second etch-stop layers is performed before the recessing the first and second sidewall spacers.
16. The method of claim 11, further comprising, after the forming the first protective layer:
removing the first insulating layer disposed over the source/drain region, thereby forming a contact hole; and
filling the contact hole with a conductive material;
recessing the filled conductive material; and
forming a second insulating layer over the recessed conductive material,
wherein when forming the contact hole, the first and second cap insulating layers are not etched.
17-20. (canceled)
21. A method of manufacturing a semiconductor device, the method comprising:
forming a gate structure over a substrate, the gate structure including a gate electrode, a first cap insulating layer disposed over the gate electrode, first sidewall spacers disposed on opposing side faces of the gate electrode and the first cap insulating layer and second sidewall spacers disposed on the first sidewall spacers, the gate structure extending in a first direction;
forming a first insulating layer over the second sidewall spacers;
after the forming the first insulating layer, recessing the first cap insulating layer and the second sidewall spacers, and recessing the first sidewall spacers, thereby forming a first recessed space; and
forming a first protective layer in the first recessed space,
wherein, in forming the first recessed space, a recessing depth of the first sidewall spacers is different from at least one selected from the group consisting of a recessing depth of the first cap insulating layer and a recessing depth of the second sidewall spacers.
22. The method of claim 21, wherein the recessing depth of the first sidewall spacers is greater than the recessing depth of the cap insulating layer.
23. The method of claim 21, wherein the recessing depth of the first sidewall spacers is greater than the recessing depth of the second sidewall spacers.
24. The method of claim 21, wherein:
the recessing the first cap insulating layer and the second sidewall spacers, and recessing the first sidewall spacers includes a first recess etching and a second recess etching as a different etching process than the first recess etching process,
the first recess etching includes etching the cap insulating layers and the second sidewall spacers, and
the second recess etching includes etching the first sidewall spacers.
US15/180,907 2015-12-29 2016-06-13 Semiconductor device and a method for fabricating the same Active 2036-09-05 US10163704B2 (en)

Priority Applications (8)

Application Number Priority Date Filing Date Title
US15/180,907 US10163704B2 (en) 2015-12-29 2016-06-13 Semiconductor device and a method for fabricating the same
DE102016116026.1A DE102016116026B4 (en) 2015-12-29 2016-08-29 Semiconductor device and manufacturing method
TW105131868A TWI650869B (en) 2015-12-29 2016-10-03 Semiconductor device and method of forming same
KR1020160137608A KR101960573B1 (en) 2015-12-29 2016-10-21 A semiconductor device and a method for fabricating the same
CN201610919834.1A CN107017297B (en) 2015-12-29 2016-10-21 Semiconductor device and method for manufacturing the same
US16/049,305 US10734283B2 (en) 2015-12-29 2018-07-30 Semiconductor device and a method for fabricating the same
US16/983,018 US11443984B2 (en) 2015-12-29 2020-08-03 Semiconductor device and a method for fabricating the same
US17/885,479 US11935787B2 (en) 2015-12-29 2022-08-10 Semiconductor device and a method for fabricating the same

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201562272300P 2015-12-29 2015-12-29
US15/180,907 US10163704B2 (en) 2015-12-29 2016-06-13 Semiconductor device and a method for fabricating the same

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US16/049,305 Division US10734283B2 (en) 2015-12-29 2018-07-30 Semiconductor device and a method for fabricating the same

Publications (2)

Publication Number Publication Date
US20170186849A1 true US20170186849A1 (en) 2017-06-29
US10163704B2 US10163704B2 (en) 2018-12-25

Family

ID=59086573

Family Applications (3)

Application Number Title Priority Date Filing Date
US15/180,907 Active 2036-09-05 US10163704B2 (en) 2015-12-29 2016-06-13 Semiconductor device and a method for fabricating the same
US16/049,305 Active 2036-12-14 US10734283B2 (en) 2015-12-29 2018-07-30 Semiconductor device and a method for fabricating the same
US16/983,018 Active 2036-06-24 US11443984B2 (en) 2015-12-29 2020-08-03 Semiconductor device and a method for fabricating the same

Family Applications After (2)

Application Number Title Priority Date Filing Date
US16/049,305 Active 2036-12-14 US10734283B2 (en) 2015-12-29 2018-07-30 Semiconductor device and a method for fabricating the same
US16/983,018 Active 2036-06-24 US11443984B2 (en) 2015-12-29 2020-08-03 Semiconductor device and a method for fabricating the same

Country Status (4)

Country Link
US (3) US10163704B2 (en)
KR (1) KR101960573B1 (en)
CN (1) CN107017297B (en)
TW (1) TWI650869B (en)

Cited By (48)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20170148890A1 (en) * 2015-11-19 2017-05-25 International Business Machines Corporation Stable work function for narrow-pitch devices
US20170256568A1 (en) * 2016-03-04 2017-09-07 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and a method for fabricating the same
US20170278752A1 (en) * 2016-03-15 2017-09-28 Imec Vzw Self-aligned gate contact
US20180166335A1 (en) * 2016-11-17 2018-06-14 Globalfoundries Inc. Self-aligned middle of the line (mol) contacts
TWI643293B (en) * 2017-08-30 2018-12-01 台灣積體電路製造股份有限公司 Method for semiconductor fabrication
US10283408B2 (en) 2016-12-22 2019-05-07 Globalfoundries Inc. Middle of the line (MOL) contacts with two-dimensional self-alignment
DE102018107721A1 (en) * 2017-11-30 2019-06-06 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method
CN109860113A (en) * 2017-11-30 2019-06-07 台湾积体电路制造股份有限公司 Semiconductor devices and manufacturing method
US20190237363A1 (en) * 2018-01-29 2019-08-01 Globalfoundries Inc. Cap structure
US10510602B2 (en) 2017-08-31 2019-12-17 Mirocmaterials LLC Methods of producing self-aligned vias
KR20200010773A (en) * 2018-07-23 2020-01-31 삼성전자주식회사 A semiconductor device
US10553485B2 (en) 2017-06-24 2020-02-04 Micromaterials Llc Methods of producing fully self-aligned vias and contacts
US20200043919A1 (en) * 2018-07-31 2020-02-06 Taiwan Semiconductor Manufacturing Co., Ltd. Finfet devices and methods of forming the same
US20200044034A1 (en) * 2018-08-03 2020-02-06 Globalfoundries Inc. Ic structure with metal cap on cobalt layer and methods of forming same
US10559567B2 (en) * 2007-03-20 2020-02-11 Sony Corporation Semiconductor device and method of manufacturing the same
US10573555B2 (en) 2017-08-31 2020-02-25 Micromaterials Llc Methods of producing self-aligned grown via
US10593594B2 (en) 2017-12-15 2020-03-17 Micromaterials Llc Selectively etched self-aligned via processes
US10600688B2 (en) 2017-09-06 2020-03-24 Micromaterials Llc Methods of producing self-aligned vias
US20200126857A1 (en) * 2018-10-22 2020-04-23 Taiwan Semiconductor Manufacturing Co., Ltd. Using Mask Layers to Facilitate the Formation of Self-Aligned Contacts and Vias
US10636659B2 (en) 2017-04-25 2020-04-28 Applied Materials, Inc. Selective deposition for simplified process flow of pillar formation
KR20200047292A (en) * 2018-10-23 2020-05-07 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 Slot contacts and method forming same
US10699953B2 (en) 2018-06-08 2020-06-30 Micromaterials Llc Method for creating a fully self-aligned via
US10699952B2 (en) 2016-11-03 2020-06-30 Applied Materials, Inc. Deposition and treatment of films for patterning
US20200243385A1 (en) * 2019-01-29 2020-07-30 Taiwan Semiconductor Manufacturing Co., Ltd. Multi-etching process for forming via opening in semiconductor device structure
US10741435B2 (en) 2016-06-14 2020-08-11 Applied Materials, Inc. Oxidative volumetric expansion of metals and metal containing compounds
US10770349B2 (en) 2017-02-22 2020-09-08 Applied Materials, Inc. Critical dimension control for self-aligned contact patterning
US20200286782A1 (en) * 2013-10-30 2020-09-10 Taiwan Semiconductor Manufacturing Company, Ltd. Method of Semiconductor Integrated Circuit Fabrication
US10790191B2 (en) 2018-05-08 2020-09-29 Micromaterials Llc Selective removal process to create high aspect ratio fully self-aligned via
US10840139B2 (en) 2018-04-26 2020-11-17 Samsung Electronics Co., Ltd. Semiconductor device and method for fabricating the same
US10840186B2 (en) 2017-06-10 2020-11-17 Applied Materials, Inc. Methods of forming self-aligned vias and air gaps
US10892187B2 (en) 2018-05-16 2021-01-12 Micromaterials Llc Method for creating a fully self-aligned via
US10892183B2 (en) 2018-03-02 2021-01-12 Micromaterials Llc Methods for removing metal oxides
US10930503B2 (en) 2016-11-08 2021-02-23 Applied Materials, Inc. Geometric control of bottom-up pillars for patterning applications
US11011625B2 (en) * 2018-09-20 2021-05-18 Taiwan Semiconductor Manufacturing Co., Ltd. Liner for a bi-layer gate helmet and the fabrication thereof
US11062942B2 (en) 2017-12-07 2021-07-13 Micromaterials Llc Methods for controllable metal and barrier-liner recess
US20210305380A1 (en) * 2020-03-27 2021-09-30 Intel Corporation Device contacts in integrated circuit structures
US11164938B2 (en) 2019-03-26 2021-11-02 Micromaterials Llc DRAM capacitor module
CN113675231A (en) * 2020-05-14 2021-11-19 格芯新加坡私人有限公司 Memory device and method of forming a memory device
US20210398845A1 (en) * 2017-05-31 2021-12-23 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method of fabricating the same
US11264419B2 (en) * 2019-12-30 2022-03-01 Omnivision Technologies, Inc. Image sensor with fully depleted silicon on insulator substrate
US20220093757A1 (en) * 2020-09-22 2022-03-24 Taiwan Semiconductor Manufacturing Co., Ltd. Middle-of-line interconnect structure and manufacturing method
US20220336607A1 (en) * 2021-04-20 2022-10-20 Qualcomm Incorporated Transistor cell with self-aligned gate contact
US20220344486A1 (en) * 2021-04-23 2022-10-27 Taiwan Semiconductor Manufacturing Co., Ltd. Capping structures in semiconductor devices
US20220352347A1 (en) * 2021-04-30 2022-11-03 Qualcomm Incorporated Semiconductor having a source/drain contact with a single inner spacer
US20220359606A1 (en) * 2020-04-17 2022-11-10 Taiwan Semiconductor Manufacturing Company Limited Image sensor device and methods of forming the same
US20220367344A1 (en) * 2019-09-26 2022-11-17 Taiwan Semiconductor Manufacturing Co., Ltd. Contact Features and Methods of Fabricating the Same in Semiconductor Devices
US11522083B2 (en) 2019-10-18 2022-12-06 Taiwan Semiconductor Manufacturing Company, Ltd. Fin field-effect transistor device and method of forming the same
US20240128347A1 (en) * 2020-01-07 2024-04-18 Samsung Electronics Co., Ltd. Semiconductor device

Families Citing this family (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10679988B2 (en) * 2017-09-18 2020-06-09 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device including FinFETS having different channel heights and manufacturing method thereof
TWI630647B (en) * 2017-09-20 2018-07-21 華邦電子股份有限公司 Semiconductor device and manufacturing method thereof
CN109524302B (en) 2017-09-20 2020-12-15 华邦电子股份有限公司 Semiconductor assembly and its manufacturing method
KR102432866B1 (en) * 2017-11-29 2022-08-17 삼성전자주식회사 Semiconductor device and method for manufacturing the same
US10679996B2 (en) * 2017-12-29 2020-06-09 Micron Technology, Inc. Construction of integrated circuitry and a DRAM construction
US10559470B2 (en) * 2018-01-22 2020-02-11 Globalfoundries Inc. Capping structure
CN110970489B (en) * 2018-09-28 2023-05-23 台湾积体电路制造股份有限公司 Semiconductor device and method of forming semiconductor device
US11205597B2 (en) 2018-09-28 2021-12-21 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
CN112309861B (en) * 2019-07-30 2023-10-13 中芯国际集成电路制造(上海)有限公司 Semiconductor structure, forming method thereof and transistor
US11532561B2 (en) 2019-09-30 2022-12-20 Taiwan Semiconductor Manufacturing Co., Ltd. Different via configurations for different via interface requirements
US11424185B2 (en) 2019-12-30 2022-08-23 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
CN114068397A (en) * 2020-08-03 2022-02-18 广东汉岂工业技术研发有限公司 Semiconductor structure and manufacturing method thereof
KR20220033624A (en) 2020-09-09 2022-03-17 삼성전자주식회사 Semiconductor device and method for fabricating the same
US11705491B2 (en) 2020-09-29 2023-07-18 Taiwan Semiconductor Manufacturing Co., Ltd. Etch profile control of gate contact opening
US11588030B2 (en) * 2020-09-29 2023-02-21 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit structure and manufacturing method thereof
KR20220129150A (en) 2021-03-15 2022-09-23 삼성전자주식회사 Semiconductor device
CN113053807A (en) * 2021-03-17 2021-06-29 泉芯集成电路制造(济南)有限公司 Through hole structure preparation method, through hole structure and semiconductor device
KR20220158340A (en) * 2021-05-24 2022-12-01 삼성전자주식회사 Semiconductor devices including gate structure and method of forming the same

Citations (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080087966A1 (en) * 2006-10-16 2008-04-17 Sony Corporation Semiconductor device and method for manufacturing same
US20120091469A1 (en) * 2010-10-13 2012-04-19 Park Keum-Seok Semiconductor Devices Having Shallow Junctions
US20130200393A1 (en) * 2012-02-08 2013-08-08 Chieh-Te Chen Semiconductor structure and process thereof
US20130248950A1 (en) * 2012-03-20 2013-09-26 Samsung Electronics Co., Ltd. Semiconductor devices and method of manufacturing the same
US20130309856A1 (en) * 2012-05-15 2013-11-21 International Business Machines Corporation Etch resistant barrier for replacement gate integration
US20130320414A1 (en) * 2012-06-05 2013-12-05 International Business Machines Corporation Borderless contacts for metal gates through selective cap deposition
US20130328112A1 (en) * 2012-06-11 2013-12-12 Globalfoundries Inc. Semiconductor devices having improved gate height uniformity and methods for fabricating same
US20140203348A1 (en) * 2013-01-23 2014-07-24 Samsung Electronics Co., Ltd. Semiconductor devices and methods of fabricating the same
US9209273B1 (en) * 2014-07-23 2015-12-08 United Microelectronics Corp. Method of fabricating metal gate structure
US20160148846A1 (en) * 2014-11-21 2016-05-26 International Business Machines Corporation Semiconductor structure containing low-resistance source and drain contacts
US20160308004A1 (en) * 2015-04-14 2016-10-20 Do-Sun LEE Semiconductor devices including contact structures that partially overlap silicide layers
US20160315045A1 (en) * 2015-04-22 2016-10-27 Jae-Jik Baek Semiconductor devices including a contact structure and methods of manufacturing the same
US20160372567A1 (en) * 2015-06-18 2016-12-22 Samsung Electronics Co., Ltd. Semiconductor devices and methods of manufacturing the same

Family Cites Families (37)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6828219B2 (en) * 2002-03-22 2004-12-07 Winbond Electronics Corporation Stacked spacer structure and process
US7667271B2 (en) 2007-04-27 2010-02-23 Taiwan Semiconductor Manufacturing Company, Ltd. Fin field-effect transistors
US7910453B2 (en) 2008-07-14 2011-03-22 Taiwan Semiconductor Manufacturing Company, Ltd. Storage nitride encapsulation for non-planar sonos NAND flash charge retention
US8310013B2 (en) 2010-02-11 2012-11-13 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating a FinFET device
US8399931B2 (en) 2010-06-30 2013-03-19 Taiwan Semiconductor Manufacturing Company, Ltd. Layout for multiple-fin SRAM cell
US8729627B2 (en) 2010-05-14 2014-05-20 Taiwan Semiconductor Manufacturing Company, Ltd. Strained channel integrated circuit devices
US8481415B2 (en) * 2010-12-02 2013-07-09 International Business Machines Corporation Self-aligned contact combined with a replacement metal gate/high-K gate dielectric
US8816444B2 (en) 2011-04-29 2014-08-26 Taiwan Semiconductor Manufacturing Company, Ltd. System and methods for converting planar design to FinFET design
US10016587B2 (en) 2011-05-20 2018-07-10 Excelsior Medical Corporation Caps for needleless connectors
US8466027B2 (en) 2011-09-08 2013-06-18 Taiwan Semiconductor Manufacturing Company, Ltd. Silicide formation and associated devices
US8723272B2 (en) 2011-10-04 2014-05-13 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET device and method of manufacturing same
US8377779B1 (en) 2012-01-03 2013-02-19 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of manufacturing semiconductor devices and transistors
US8735993B2 (en) 2012-01-31 2014-05-27 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET body contact and method of making same
US8785285B2 (en) 2012-03-08 2014-07-22 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices and methods of manufacture thereof
US8716765B2 (en) 2012-03-23 2014-05-06 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure of semiconductor device
US8860148B2 (en) 2012-04-11 2014-10-14 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for FinFET integrated with capacitor
US8987126B2 (en) * 2012-05-09 2015-03-24 GlobalFoundries, Inc. Integrated circuit and method for fabricating the same having a replacement gate structure
US8736056B2 (en) 2012-07-31 2014-05-27 Taiwan Semiconductor Manufacturing Company, Ltd. Device for reducing contact resistance of a metal
US8823065B2 (en) 2012-11-08 2014-09-02 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure of semiconductor device
US9105490B2 (en) 2012-09-27 2015-08-11 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure of semiconductor device
US8772109B2 (en) 2012-10-24 2014-07-08 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and method for forming semiconductor contacts
US8772101B2 (en) 2012-11-08 2014-07-08 Globalfoundries Inc. Methods of forming replacement gate structures on semiconductor devices and the resulting device
US9443962B2 (en) * 2012-11-09 2016-09-13 Taiwan Semiconductor Manufacturing Company, Ltd. Recessing STI to increase fin height in fin-first process
US8778789B2 (en) * 2012-11-30 2014-07-15 GlobalFoundries, Inc. Methods for fabricating integrated circuits having low resistance metal gate structures
US9236300B2 (en) 2012-11-30 2016-01-12 Taiwan Semiconductor Manufacturing Company, Ltd. Contact plugs in SRAM cells and the method of forming the same
US9153498B2 (en) * 2013-07-22 2015-10-06 Globalfoundries Inc. Methods of forming semiconductor device with self-aligned contact elements and the resulting devices
US9269611B2 (en) 2014-01-21 2016-02-23 GlobalFoundries, Inc. Integrated circuits having gate cap protection and methods of forming the same
US9773696B2 (en) * 2014-01-24 2017-09-26 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and manufacturing method thereof
US9515172B2 (en) * 2014-01-28 2016-12-06 Samsung Electronics Co., Ltd. Semiconductor devices having isolation insulating layers and methods of manufacturing the same
US9466491B2 (en) * 2014-05-02 2016-10-11 Globalfoundries Inc. Methods of forming a semiconductor device with a spacer etch block cap and the resulting device
KR102191219B1 (en) * 2014-05-14 2020-12-16 삼성전자주식회사 Semiconductor device and method for manufacturing the same
TWI633669B (en) * 2014-12-26 2018-08-21 聯華電子股份有限公司 Semiconductor device and method of forming the same
KR102407994B1 (en) * 2015-03-23 2022-06-14 삼성전자주식회사 Semiconductor device and method for manufacturing the same
TWI650833B (en) * 2015-04-01 2019-02-11 聯華電子股份有限公司 Semiconductor device having metal gate and method for manufacturing semiconductor device having metal gate
KR102291062B1 (en) * 2015-06-18 2021-08-17 삼성전자주식회사 Semiconductor device and method for fabricating the same
US9780193B2 (en) * 2015-10-27 2017-10-03 United Microelectronics Corporation Device with reinforced metal gate spacer and method of fabricating
CN106684041B (en) * 2015-11-10 2020-12-08 联华电子股份有限公司 Semiconductor element and manufacturing method thereof

Patent Citations (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080087966A1 (en) * 2006-10-16 2008-04-17 Sony Corporation Semiconductor device and method for manufacturing same
US20120091469A1 (en) * 2010-10-13 2012-04-19 Park Keum-Seok Semiconductor Devices Having Shallow Junctions
US20130200393A1 (en) * 2012-02-08 2013-08-08 Chieh-Te Chen Semiconductor structure and process thereof
US20130248950A1 (en) * 2012-03-20 2013-09-26 Samsung Electronics Co., Ltd. Semiconductor devices and method of manufacturing the same
US20130309856A1 (en) * 2012-05-15 2013-11-21 International Business Machines Corporation Etch resistant barrier for replacement gate integration
US20130320414A1 (en) * 2012-06-05 2013-12-05 International Business Machines Corporation Borderless contacts for metal gates through selective cap deposition
US20130328112A1 (en) * 2012-06-11 2013-12-12 Globalfoundries Inc. Semiconductor devices having improved gate height uniformity and methods for fabricating same
US20140203348A1 (en) * 2013-01-23 2014-07-24 Samsung Electronics Co., Ltd. Semiconductor devices and methods of fabricating the same
US9209273B1 (en) * 2014-07-23 2015-12-08 United Microelectronics Corp. Method of fabricating metal gate structure
US20160148846A1 (en) * 2014-11-21 2016-05-26 International Business Machines Corporation Semiconductor structure containing low-resistance source and drain contacts
US20160308004A1 (en) * 2015-04-14 2016-10-20 Do-Sun LEE Semiconductor devices including contact structures that partially overlap silicide layers
US20160315045A1 (en) * 2015-04-22 2016-10-27 Jae-Jik Baek Semiconductor devices including a contact structure and methods of manufacturing the same
US20160372567A1 (en) * 2015-06-18 2016-12-22 Samsung Electronics Co., Ltd. Semiconductor devices and methods of manufacturing the same

Cited By (93)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10559567B2 (en) * 2007-03-20 2020-02-11 Sony Corporation Semiconductor device and method of manufacturing the same
US11664376B2 (en) 2007-03-20 2023-05-30 Sony Group Corporation Semiconductor device and method of manufacturing the same
US11011518B2 (en) 2007-03-20 2021-05-18 Sony Corporation Semiconductor device and method of manufacturing the same
US11735477B2 (en) * 2013-10-30 2023-08-22 Taiwan Semiconductor Manufacturing Company, Ltd. Method of semiconductor integrated circuit fabrication
US20200286782A1 (en) * 2013-10-30 2020-09-10 Taiwan Semiconductor Manufacturing Company, Ltd. Method of Semiconductor Integrated Circuit Fabrication
US20170148890A1 (en) * 2015-11-19 2017-05-25 International Business Machines Corporation Stable work function for narrow-pitch devices
US20170256568A1 (en) * 2016-03-04 2017-09-07 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and a method for fabricating the same
US10056407B2 (en) * 2016-03-04 2018-08-21 Taiwan Semiconductor Manufacturing Co., Ltd Semiconductor device and a method for fabricating the same
US20170278752A1 (en) * 2016-03-15 2017-09-28 Imec Vzw Self-aligned gate contact
US10847415B2 (en) * 2016-03-15 2020-11-24 Imec Vzw Self-aligned gate contact
US10741435B2 (en) 2016-06-14 2020-08-11 Applied Materials, Inc. Oxidative volumetric expansion of metals and metal containing compounds
US10699952B2 (en) 2016-11-03 2020-06-30 Applied Materials, Inc. Deposition and treatment of films for patterning
US10930503B2 (en) 2016-11-08 2021-02-23 Applied Materials, Inc. Geometric control of bottom-up pillars for patterning applications
US10074564B2 (en) * 2016-11-17 2018-09-11 Globalfoundries Inc. Self-aligned middle of the line (MOL) contacts
US20180166335A1 (en) * 2016-11-17 2018-06-14 Globalfoundries Inc. Self-aligned middle of the line (mol) contacts
US10283408B2 (en) 2016-12-22 2019-05-07 Globalfoundries Inc. Middle of the line (MOL) contacts with two-dimensional self-alignment
US10770349B2 (en) 2017-02-22 2020-09-08 Applied Materials, Inc. Critical dimension control for self-aligned contact patterning
US10636659B2 (en) 2017-04-25 2020-04-28 Applied Materials, Inc. Selective deposition for simplified process flow of pillar formation
US20220293459A1 (en) * 2017-05-31 2022-09-15 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method of fabricating the same
US12057344B2 (en) * 2017-05-31 2024-08-06 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method of fabricating the same
US11355387B2 (en) * 2017-05-31 2022-06-07 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method of fabricating the same
US20210398845A1 (en) * 2017-05-31 2021-12-23 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method of fabricating the same
US11769690B2 (en) * 2017-05-31 2023-09-26 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method of fabricating the same
US10840186B2 (en) 2017-06-10 2020-11-17 Applied Materials, Inc. Methods of forming self-aligned vias and air gaps
US10553485B2 (en) 2017-06-24 2020-02-04 Micromaterials Llc Methods of producing fully self-aligned vias and contacts
US10685880B2 (en) 2017-08-30 2020-06-16 Taiwan Semiconductor Manufacturing Co., Ltd. Methods for reducing contact depth variation in semiconductor fabrication
US11495494B2 (en) 2017-08-30 2022-11-08 Taiwan Semiconductor Manufacturing Co., Ltd. Methods for reducing contact depth variation in semiconductor fabrication
TWI643293B (en) * 2017-08-30 2018-12-01 台灣積體電路製造股份有限公司 Method for semiconductor fabrication
CN109427669A (en) * 2017-08-30 2019-03-05 台湾积体电路制造股份有限公司 The method for reducing contact change in depth in semiconductors manufacture
US11062945B2 (en) 2017-08-30 2021-07-13 Taiwan Semiconductor Manufacturing Co., Ltd. Methods for reducing contact depth variation in semiconductor fabrication
US10510602B2 (en) 2017-08-31 2019-12-17 Mirocmaterials LLC Methods of producing self-aligned vias
US10573555B2 (en) 2017-08-31 2020-02-25 Micromaterials Llc Methods of producing self-aligned grown via
US10600688B2 (en) 2017-09-06 2020-03-24 Micromaterials Llc Methods of producing self-aligned vias
DE102018107721B4 (en) 2017-11-30 2023-10-19 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing process
US20210111071A1 (en) * 2017-11-30 2021-04-15 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor Device and Method of Manufacture
US11942367B2 (en) * 2017-11-30 2024-03-26 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of manufacture
DE102018107721A1 (en) * 2017-11-30 2019-06-06 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method
CN109860113A (en) * 2017-11-30 2019-06-07 台湾积体电路制造股份有限公司 Semiconductor devices and manufacturing method
US10861745B2 (en) 2017-11-30 2020-12-08 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of manufacture
US11062942B2 (en) 2017-12-07 2021-07-13 Micromaterials Llc Methods for controllable metal and barrier-liner recess
US11705366B2 (en) 2017-12-07 2023-07-18 Micromaterials Llc Methods for controllable metal and barrier-liner recess
US10593594B2 (en) 2017-12-15 2020-03-17 Micromaterials Llc Selectively etched self-aligned via processes
CN110098174A (en) * 2018-01-29 2019-08-06 格芯公司 Cap structure
US10930549B2 (en) 2018-01-29 2021-02-23 Globalfoundries U.S. Inc. Cap structure
US20190237363A1 (en) * 2018-01-29 2019-08-01 Globalfoundries Inc. Cap structure
US10460986B2 (en) * 2018-01-29 2019-10-29 Globalfoundries Inc. Cap structure
TWI708389B (en) * 2018-01-29 2020-10-21 美商格芯(美國)集成電路科技有限公司 Cap structure
US10892183B2 (en) 2018-03-02 2021-01-12 Micromaterials Llc Methods for removing metal oxides
US10840139B2 (en) 2018-04-26 2020-11-17 Samsung Electronics Co., Ltd. Semiconductor device and method for fabricating the same
US10790191B2 (en) 2018-05-08 2020-09-29 Micromaterials Llc Selective removal process to create high aspect ratio fully self-aligned via
US11037825B2 (en) 2018-05-08 2021-06-15 Micromaterials Llc Selective removal process to create high aspect ratio fully self-aligned via
US10892187B2 (en) 2018-05-16 2021-01-12 Micromaterials Llc Method for creating a fully self-aligned via
US10699953B2 (en) 2018-06-08 2020-06-30 Micromaterials Llc Method for creating a fully self-aligned via
US10991620B2 (en) * 2018-07-23 2021-04-27 Samsung Electronics Co., Ltd. Semiconductor device
KR102520599B1 (en) 2018-07-23 2023-04-11 삼성전자주식회사 A semiconductor device
KR20200010773A (en) * 2018-07-23 2020-01-31 삼성전자주식회사 A semiconductor device
US20200043919A1 (en) * 2018-07-31 2020-02-06 Taiwan Semiconductor Manufacturing Co., Ltd. Finfet devices and methods of forming the same
US20200044034A1 (en) * 2018-08-03 2020-02-06 Globalfoundries Inc. Ic structure with metal cap on cobalt layer and methods of forming same
US10790363B2 (en) * 2018-08-03 2020-09-29 Globalfoundries Inc. IC structure with metal cap on cobalt layer and methods of forming same
US11996481B2 (en) 2018-09-20 2024-05-28 Taiwan Semiconductor Manufacturing Co., Ltd. Liner for a bi-layer gate helmet and the fabrication thereof
US11011625B2 (en) * 2018-09-20 2021-05-18 Taiwan Semiconductor Manufacturing Co., Ltd. Liner for a bi-layer gate helmet and the fabrication thereof
US20200126857A1 (en) * 2018-10-22 2020-04-23 Taiwan Semiconductor Manufacturing Co., Ltd. Using Mask Layers to Facilitate the Formation of Self-Aligned Contacts and Vias
US11139203B2 (en) * 2018-10-22 2021-10-05 Taiwan Semiconductor Manufacturing Co., Ltd. Using mask layers to facilitate the formation of self-aligned contacts and vias
KR102269804B1 (en) * 2018-10-23 2021-06-29 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 Slot contacts and method forming same
US12009265B2 (en) 2018-10-23 2024-06-11 Taiwan Semiconductor Manufacturing Company, Ltd. Slot contacts and method forming same
US10943829B2 (en) 2018-10-23 2021-03-09 Taiwan Semiconductor Manufacturing Company, Ltd. Slot contacts and method forming same
US11532518B2 (en) 2018-10-23 2022-12-20 Taiwan Semiconductor Manufacturing Company, Ltd. Slot contacts and method forming same
KR20200047292A (en) * 2018-10-23 2020-05-07 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 Slot contacts and method forming same
US11581222B2 (en) * 2019-01-29 2023-02-14 Taiwan Semiconductor Manufacturing Company, Ltd. Via in semiconductor device structure
US10777455B2 (en) * 2019-01-29 2020-09-15 Taiwan Semiconductor Manufacturing Co., Ltd. Multi-etching process for forming via opening in semiconductor device structure
US20200243385A1 (en) * 2019-01-29 2020-07-30 Taiwan Semiconductor Manufacturing Co., Ltd. Multi-etching process for forming via opening in semiconductor device structure
US11164938B2 (en) 2019-03-26 2021-11-02 Micromaterials Llc DRAM capacitor module
US11621224B2 (en) * 2019-09-26 2023-04-04 Taiwan Semiconductor Manufacturing Co. Ltd. Contact features and methods of fabricating the same in semiconductor devices
US12021025B2 (en) * 2019-09-26 2024-06-25 Taiwan Semiconductor Manufacturing Co., Ltd. Contact features and methods of fabricating the same in semiconductor devices
US20220367344A1 (en) * 2019-09-26 2022-11-17 Taiwan Semiconductor Manufacturing Co., Ltd. Contact Features and Methods of Fabricating the Same in Semiconductor Devices
US11522083B2 (en) 2019-10-18 2022-12-06 Taiwan Semiconductor Manufacturing Company, Ltd. Fin field-effect transistor device and method of forming the same
US11978801B2 (en) 2019-10-18 2024-05-07 Taiwan Semiconductor Manufacturing Company, Ltd. Fin field-effect transistor device and method of forming the same
US11264419B2 (en) * 2019-12-30 2022-03-01 Omnivision Technologies, Inc. Image sensor with fully depleted silicon on insulator substrate
US20240128347A1 (en) * 2020-01-07 2024-04-18 Samsung Electronics Co., Ltd. Semiconductor device
US20210305380A1 (en) * 2020-03-27 2021-09-30 Intel Corporation Device contacts in integrated circuit structures
US11973121B2 (en) * 2020-03-27 2024-04-30 Intel Corporation Device contacts in integrated circuit structures
US11652127B2 (en) * 2020-04-17 2023-05-16 Taiwan Semiconductor Manufacturing Company Limited Image sensor device and methods of forming the same
US20220359606A1 (en) * 2020-04-17 2022-11-10 Taiwan Semiconductor Manufacturing Company Limited Image sensor device and methods of forming the same
CN113675231A (en) * 2020-05-14 2021-11-19 格芯新加坡私人有限公司 Memory device and method of forming a memory device
US20230378291A1 (en) * 2020-09-22 2023-11-23 Taiwan Semiconductor Manufacturing Company, Ltd. Middle-of-line interconnect structure and manufacturing method
US20220093757A1 (en) * 2020-09-22 2022-03-24 Taiwan Semiconductor Manufacturing Co., Ltd. Middle-of-line interconnect structure and manufacturing method
US20220336607A1 (en) * 2021-04-20 2022-10-20 Qualcomm Incorporated Transistor cell with self-aligned gate contact
WO2022226457A1 (en) * 2021-04-20 2022-10-27 Qualcomm Incorporated Transistor cell with self-aligned gate contact
US20220344486A1 (en) * 2021-04-23 2022-10-27 Taiwan Semiconductor Manufacturing Co., Ltd. Capping structures in semiconductor devices
US11652152B2 (en) * 2021-04-23 2023-05-16 Taiwan Semiconductor Manufacturing Co., Ltd. Capping structures in semiconductor devices
US12094951B1 (en) * 2021-04-23 2024-09-17 Taiwan Semiconductor Manufacturing Company, Ltd. Capping structures in semiconductor devices
US11901434B2 (en) * 2021-04-30 2024-02-13 Qualcomm Incorporated Semiconductor having a source/drain contact with a single inner spacer
US20220352347A1 (en) * 2021-04-30 2022-11-03 Qualcomm Incorporated Semiconductor having a source/drain contact with a single inner spacer

Also Published As

Publication number Publication date
US20200411377A1 (en) 2020-12-31
US11443984B2 (en) 2022-09-13
KR20170078514A (en) 2017-07-07
US10734283B2 (en) 2020-08-04
TWI650869B (en) 2019-02-11
KR101960573B1 (en) 2019-03-20
CN107017297A (en) 2017-08-04
TW201724519A (en) 2017-07-01
US10163704B2 (en) 2018-12-25
US20180337092A1 (en) 2018-11-22
CN107017297B (en) 2020-05-01

Similar Documents

Publication Publication Date Title
US11443984B2 (en) Semiconductor device and a method for fabricating the same
US11521970B2 (en) Semiconductor device and a method for fabricating the same
US12009399B2 (en) Semiconductor device suppressing rounded shapes of source/drain contact layers
US20210366779A1 (en) Semiconductor device and a method for fabricating the same
US11127742B2 (en) Semiconductor device and a method for fabricating the same
US10164034B2 (en) Semiconductor device and a method for fabricating the same
US10529824B2 (en) Semiconductor device and method for fabricating the same
US10170414B2 (en) Semiconductor device and a method for fabricating the same
US10056407B2 (en) Semiconductor device and a method for fabricating the same
US11935787B2 (en) Semiconductor device and a method for fabricating the same

Legal Events

Date Code Title Description
AS Assignment

Owner name: TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD., TAIW

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:CHEN, HUI-CHI;SHEN, HSIANG-KU;YEH, JEN-YA DAVID;SIGNING DATES FROM 20160519 TO 20160524;REEL/FRAME:038899/0272

AS Assignment

Owner name: TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD., TAIW

Free format text: CORRECTIVE ASSIGNMENT TO CORRECT THE THIRD ASSIGNOR'S NAME PREVIOUSLY RECORDED AT REEL: 038899 FRAME: 0272. ASSIGNOR(S) HEREBY CONFIRMS THE ASSIGNMENT;ASSIGNORS:CHEN, HUI-CHI;SHEN, HSIANG-KU;YEH, JENG-YA DAVID;SIGNING DATES FROM 20160519 TO 20160524;REEL/FRAME:039637/0101

STCF Information on status: patent grant

Free format text: PATENTED CASE

MAFP Maintenance fee payment

Free format text: PAYMENT OF MAINTENANCE FEE, 4TH YEAR, LARGE ENTITY (ORIGINAL EVENT CODE: M1551); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

Year of fee payment: 4