US20150311208A1 - Semiconductor device - Google Patents

Semiconductor device Download PDF

Info

Publication number
US20150311208A1
US20150311208A1 US14/796,382 US201514796382A US2015311208A1 US 20150311208 A1 US20150311208 A1 US 20150311208A1 US 201514796382 A US201514796382 A US 201514796382A US 2015311208 A1 US2015311208 A1 US 2015311208A1
Authority
US
United States
Prior art keywords
trench
film
work function
metal gate
function control
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US14/796,382
Inventor
Ju-youn Kim
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Individual
Original Assignee
Individual
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Individual filed Critical Individual
Priority to US14/796,382 priority Critical patent/US20150311208A1/en
Publication of US20150311208A1 publication Critical patent/US20150311208A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/495Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a simple metal, e.g. W, Mo
    • H01L29/4958Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a simple metal, e.g. W, Mo with a multiple layer structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0924Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02697Forming conducting materials on a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28088Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being a composite, e.g. TiN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/823842Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes gate conductors with different gate conductor materials or different gate conductor implants, e.g. dual gate structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/0203Particular design considerations for integrated circuits
    • H01L27/0207Geometrical layout of the components, e.g. computer aided design; custom LSI, semi-custom LSI, standard cell technique
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • H01L29/0847Source or drain regions of field-effect devices of field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42364Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the insulating layer, e.g. thickness or uniformity
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42372Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the conducting layer, e.g. the length, the sectional shape or the lay-out
    • H01L29/42376Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the conducting layer, e.g. the length, the sectional shape or the lay-out characterised by the length or the sectional shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/495Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a simple metal, e.g. W, Mo
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4966Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/518Insulating materials associated therewith the insulating material containing nitrogen, e.g. nitride, oxynitride, nitrogen-doped material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B10/00Static random access memory [SRAM] devices
    • H10B10/12Static random access memory [SRAM] devices comprising a MOSFET load element
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • H01L29/165Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain

Definitions

  • the present inventive concept relates to semiconductor devices and more particularly to metal oxide semiconductor transistors.
  • MOS metal oxide semiconductor
  • the silicon oxide film may experience physical limitations in its electrical property. Therefore, in order to replace the conventional silicon oxide film, research into a high-k film having a high dielectric constant is actively being conducted.
  • the high-k film may reduce leakage current between a gate electrode and a channel region while maintaining a small thickness of an equivalent oxide film.
  • Polysilicon mainly used as a gate material may have higher resistance than most metals. Accordingly, the polysilicon gate electrode may be replaced with a metal gate electrode.
  • a semiconductor device including an interlayer dielectric film formed on a substrate and including a trench, a gate insulating film formed in the trench, a first work function control film formed on the gate insulating film of the trench along bottom and sidewalls of the trench, a first metal gate pattern formed on the first work function control film of the trench and filling a portion of the trench, and a second metal gate pattern formed on the first metal gate pattern of the trench, the second metal gate pattern different from the first metal gate pattern.
  • the first work control film may include a first inclined surface having an acute angle with respect to the sidewall of the trench.
  • a top surface of the first metal gate pattern may be positioned below the first inclined surface of the work function control film.
  • the first metal gate pattern may have a thickness in a range between 10 ⁇ to 100 ⁇ .
  • the first work function control film may be an N type work function control film.
  • the first metal gate pattern may be at least one element selected from the group consisting of aluminum (Al), tungsten (W) and titanium (Ti).
  • the second metal gate pattern may be another at least one element selected from the group consisting of Al, W and Ti.
  • the semiconductor device may include a first adhesive film disposed between the first work function control film and the first metal gate pattern and between the first work function control film and the second metal gate pattern.
  • the first adhesive film may include a first inclined surface having an acute angle with respect to the sidewall of the trench.
  • the work function control film may include a second inclined surface having an acute angle with respect to the sidewall of the trench.
  • the first inclined surface of the first adhesive film and the second inclined surface of the first work function control film may be continuous.
  • the first adhesive film may be made of titanium nitride (TiN).
  • the first adhesive film may be made of titanium (Ti) or titanium nitride (TiN).
  • the semiconductor device may include a second an adhesive film disposed between the second metal gate pattern and the second work function control film and between the second metal gate pattern and the first metal gate pattern.
  • the second metal gate pattern is made of tungsten (W)
  • the second adhesive film may be made of titanium nitride (TiN).
  • the second metal gate pattern is made of aluminum (Al)
  • the second adhesive film may be made of titanium (Ti) or titanium nitride (TiN).
  • the semiconductor device may be a fin type transistor.
  • a semiconductor device including an interlayer dielectric film formed on a substrate and including a first trench and a second trench spaced apart from the first trench; an NMOS transistor having a first replacement metal gate formed in the first trench; and a PMOS transistor having a second replacement metal gate formed in the second trench.
  • the first replacement metal gate may include a first N type work function control film formed along bottom and sidewalls of the first trench; a first metal gate pattern formed on the first N type work function control film of the first trench and filling a portion of the first trench; and a second metal gate pattern formed on the first metal gate pattern of the first trench, the second metal gate pattern different from the first metal gate pattern.
  • the second replacement metal gate may include a P type work function control film formed along bottom and sidewalls of the second trench; a second N type work function control film formed on the P type work function control film; a third metal gate pattern formed on the second N type work function control film in the second trench and filling a portion of the second trench; and a fourth metal gate pattern formed on the third metal gate pattern in the second trench, the fourth metal gate pattern different from the third metal gate pattern.
  • the first N type work function control film may include a first inclined surface having an acute angle with respect to the sidewall of the first trench.
  • a semiconductor device including an interlayer dielectric film on a substrate and including a trench, a gate insulating film on bottom and sidewall surfaces of the trench, an N type work function control film on the gate insulating film, a first metal gate pattern on the N type work function control film and filling a portion of the trench, and a second metal gate pattern on the first metal gate pattern.
  • the N type work function control film may include a first chamfered surface at an opening of the trench defining an acute angle with respect to the sidewall surfaces of the trench.
  • a composition of the second metal gate pattern may be different than a composition of the first metal gate pattern.
  • the semiconductor device may include an adhesive film between the second metal gate pattern and the N type work function control film and between the second metal gate pattern and the first metal gate pattern.
  • the adhesive film may include a second chamfered surface defining an acute angle with respect to the sidewall of the trench.
  • the second chamfered surface of the adhesive film may be on the first chamfered surface of the N type work function control film.
  • the semiconductor device may include an adhesive film between the first metal gate pattern and the N type work function control film and between the second metal gate pattern and the N type work function control film.
  • the adhesive film may include a second chamfered surface defining an acute angle with respect to the sidewall of the trench.
  • the first chamfered surface of the N type work function control film and the second chamfered surface of the adhesive film may be continuous.
  • the semiconductor may include a P type work function control film formed between the gate insulating film and the N type work function control film.
  • the P type work function control film may include a third chamfered surface defining an acute angle with respect to the sidewall of the trench.
  • the third chamfered surface of the P type work function control film and the first chamfered surface of the N type work function control film may be continuous.
  • the semiconductor device may be a fin type transistor.
  • FIG. 1 is a cross-sectional view of a semiconductor device according to an exemplary embodiment of the present inventive concept
  • FIG. 2 is an enlarged view of a region II of FIG. 1 ;
  • FIG. 3 is a cross-sectional view of a semiconductor device according to another example embodiment of the present inventive concept
  • FIG. 4 is a cross-sectional view of a semiconductor device according to another example embodiment of the present inventive concept.
  • FIG. 5 is a cross-sectional view of a semiconductor device according to another example embodiment of the present inventive concept.
  • FIG. 6 is a cross-sectional view of a semiconductor device according to another example embodiment of the present inventive concept.
  • FIG. 7 is a cross-sectional view of a semiconductor device according to another example embodiment of the present inventive concept.
  • FIG. 8 is a cross-sectional view of a semiconductor device according to another example embodiment of the present inventive concept.
  • FIGS. 9 to 11 illustrate a semiconductor device according to another example embodiment of the present inventive concept
  • FIGS. 12 and 13 are a circuit view and a layout view illustrating a semiconductor device according to another example embodiment of the present inventive concept
  • FIG. 14 is a block diagram of an electronic system including a semiconductor device according to some embodiments of the present inventive concept
  • FIGS. 15 and 16 illustrate an exemplary semiconductor system to which semiconductor devices according to some embodiments of the present inventive concept can be employed.
  • FIGS. 17 to 24 illustrate intermediate process steps for explaining a fabricating method of the semiconductor device illustrated in FIG. 8 .
  • spatially relative terms such as “beneath,” “below,” “lower,” “above,” “upper” and the like, may be used herein for ease of description to describe one element or feature's relationship to another element(s) or feature(s) as illustrated in the figures. It will be understood that the spatially relative terms are intended to encompass different orientations of the device in use or operation in addition to the orientation depicted in the figures. For example, if the device in the figures is turned over, elements described as “below” or “beneath” other elements or features would then be oriented “above” the other elements or features. Thus, the exemplary term “below” can encompass both an orientation of above and below. The device may be otherwise oriented (rotated 90 degrees or at other orientations) and the spatially relative descriptors used herein interpreted accordingly.
  • first, second, etc. may be used herein to describe various elements, these elements should not be limited by these terms. These terms are only used to distinguish one element from another. For example, a first signal could be termed a second signal, and, similarly, a second signal could be termed a first signal without departing from the teachings of the disclosure.
  • FIG. 1 is a cross-sectional view of a semiconductor device according to an exemplary embodiment of the present inventive concept
  • FIG. 2 is an enlarged view of a region II of FIG. 1
  • a gate of an N type transistor is exemplified, but aspects of the present inventive concept are not limited thereto.
  • the semiconductor device 1 may include a substrate 100 , an interlayer dielectric film 110 including a trench 112 , a gate insulating film 130 , an N type work function control film 170 , a first adhesive film 181 , a first metal gate pattern 191 , and a second metal gate pattern 192 .
  • An isolation film such as a shallow trench isolation (STI) film may be formed in the substrate 100 to define an active region.
  • the substrate 100 may be made of one or more semiconductor materials selected from the group consisting of, for example, Si, Ge, SiGe, GaP, GaAs, SiC, SiGeC, InAs and InP.
  • the substrate 100 may be a silicon on insulator (SOI) substrate.
  • the interlayer dielectric film 110 may be formed on the substrate 100 and may include the trench 112 .
  • the interlayer dielectric film 110 may be formed by stacking two or more insulating layers. Sidewalls of the trench 112 may contact the spacer 120 and a bottom surface of the trench 112 may contact the substrate 100 , but aspects of the present inventive concept are not limited thereto.
  • the spacer 120 may be formed of at least one of a nitride film and an oxynitride film.
  • the gate insulating film 130 may be conformally formed along the sidewalls and bottom surface of the trench 112 .
  • the gate insulating film 130 may include a high-k dielectric material having a higher dielectric constant than a silicon oxide film.
  • the gate insulating film 130 may include a material selected from the group consisting of HfO 2 , ZrO 2 , Ta 2 O 5 , TiO 2 , SrTiO 5 and (Ba,Sr)TiO 5 .
  • the gate insulating film 130 may be formed to appropriate thicknesses according to the kind of a target device to be formed. For example, when the gate insulating film 130 includes HfO 2 , it may be formed to a thickness of less than or equal to approximately 50 ⁇ (specifically in a range of approximately 5 to 50 ⁇ ).
  • An etch stop film may be formed on the gate insulating film 130 .
  • the etch stop film may include, for example, at least one of TiN and TaN.
  • the etch stop film may be a stack of TiN and TaN films.
  • the etch stop film may be used in removing a portion of the N type work function control film.
  • the N type work function control film 170 may be formed on the gate insulating film 130 and/or the etch stop film in the trench 112 .
  • the N type work function control film 170 may also be conformally formed along the sidewalls and bottom surface of the trench 112 .
  • the N type work function control film 170 may control operating characteristics of an N type transistor by controlling a work function of the N type transistor.
  • the N type work function control film 170 may be made of a material selected from the group consisting of TiAl, TiAlC, TiAlN, TaC, TiC, and HfSi, but aspects of the present inventive concept are not limited thereto.
  • the N type work function control film 170 may be a TiAlC film.
  • the first adhesive film 181 may be formed on the N type work function control film 170 in the trench 112 .
  • the first adhesive film 181 may include at least one of TiN and Ti.
  • the first adhesive film 181 may include a TiN film and a Ti film sequentially stacked.
  • the first adhesive film 181 may increase adhesion of a first metal gate pattern 191 .
  • the first metal gate pattern 191 includes Al
  • the first adhesive film 181 may be a single film made of Ti or TiN.
  • the first metal gate pattern 191 includes W
  • the first adhesive film 181 may be a single film made of TiN.
  • the first metal gate pattern 191 may be formed on the first adhesive film 181 in the trench 112 (or on the N type work function control film 170 ) to fill a portion of the trench 112 .
  • the first metal gate pattern 190 may include at least one selected from the group consisting of aluminum (Al), tungsten (W) and titanium (Ti), but aspects of the present inventive concept are not limited thereto.
  • the second metal gate pattern 192 may be formed on the first metal gate pattern 191 in the trench 112 .
  • the second metal gate pattern 192 may include at least one selected from the group consisting of Al, W and Ti, but aspects of the present inventive concept are not limited thereto.
  • the second metal gate pattern 192 may be a material different from that of the first metal gate pattern 191 .
  • the first metal gate pattern 191 includes Al
  • the second metal gate pattern 192 may be made of W.
  • metal gate patterns 191 and 192 are exemplified, but aspects of the present inventive concept are not limited thereto. Three or more metal gate patterns may also be used.
  • the metal gate pattern includes W
  • the height of the trench 112 and heights of various material layers (i.e., the gate insulating film, the N type work function control film, etc.) stacked in the trench 112 may be controlled.
  • the threshold voltage of the N type transistor may be controlled according to the change in the height of the first metal gate pattern 191 (or the change in the height of the second metal gate pattern 192 ).
  • resistance of a gate electrode can be controlled by using two or more stacked metal gate patterns 191 and 192 .
  • one of the first metal gate pattern 191 and the second metal gate pattern 192 may be made of Al having relatively low resistance.
  • the first metal gate pattern 191 may be positioned below the first inclined surface 170 _ 1 or the second inclined surface 181 _ 1 , which may be for the purpose of securing a sufficient space to be filled with the second metal gate pattern 192 .
  • a height H 1 of the underlying first metal gate pattern 191 may be in a range between 10 ⁇ to 100 ⁇ .
  • the N type work function control film 170 may be conformally formed along the sidewalls and bottom surface of the trench 112 .
  • the N type work function control film 170 includes a first inclined surface 170 _ 1 having an acute angle ⁇ 1 with respect to the sidewall of the trench 112 .
  • the first adhesive film 181 includes a second inclined surface 181 _ 11 having an acute angle ⁇ 2 with respect to the sidewall of the trench 112 .
  • the acute angle ⁇ 1 or 02 may mean that an angle measured clockwise with respect to the sidewall of the trench 112 does not exceed 90 degrees.
  • the N type work function control film 170 may be chamfered.
  • the acute angle ⁇ 1 of the first inclined surface 170 _ 1 may be substantially the same as the acute angle ⁇ 2 of the second inclined surface 181 _ 1 , but aspects of the present inventive concept are not limited thereto.
  • the acute angle ⁇ 1 of the first inclined surface 170 _ 1 and the acute angle ⁇ 2 of the second inclined surface 181 _ 1 may be different from each other.
  • the acute angle ⁇ 2 of the second inclined surface 181 _ 1 may be greater than the acute angle ⁇ 1 of the first inclined surface 170 _ 1
  • the acute angle ⁇ 2 of the second inclined surface 181 _ 1 may be smaller than the acute angle ⁇ 1 of the first inclined surface 170 _ 1 .
  • the first inclined surface 170 _ 1 of the N type work function control film 170 may be continuously formed, but aspects of the present inventive concept are not limited thereto. An end point of the first inclined surface 170 _ 1 and a start point of the second inclined surface 181 _ 1 may not be equal to each other.
  • a first depth L 1 ranging from a top surface of the interlayer dielectric film 110 to the N type work function control film 170 may be different from a second depth L 2 ranging from the top surface of the interlayer dielectric film 110 to the first adhesive film 181 .
  • the first depth L 1 may be smaller than the second depth L 2 .
  • a width of the N type work function control film 170 may be reduced as the N type work function control film 170 gets away from a bottom surface of the trench 112 .
  • a width of the first adhesive film 181 may be reduced as the first adhesive film 181 gets away from the bottom surface of the trench 112 .
  • Shapes of the N type work function control film 170 and the first adhesive film 181 may improve gap filling characteristics of the first metal gate pattern 191 and the second metal gate pattern 192 .
  • a semiconductor device As the size of a semiconductor device is reduced, sizes of various devices (e.g., transistors) may also be reduced. Therefore, when the size of the trench 112 is reduced, it may be difficult to form multiple functional layers (e.g., a gate insulating film, an etch stop film, a work function control film, an adhesive film, a metal gate patterns, etc.) in the trench 112 .
  • a gate insulating film e.g., an etch stop film, a work function control film, an adhesive film, a metal gate patterns, etc.
  • the semiconductor device 1 when the first inclined surface 170 _ 1 is formed at the end of the N type work function control film 170 and the second inclined surface 181 _ 1 is formed at the end of the first adhesive film 181 , an entrance of the trench 112 may be wide.
  • the trench 112 may be filled with a metallic material, which may facilitate forming of the first and second metal gate patterns 191 and 192 .
  • the metallic material is capable of filling a deep portion of the trench 112 , a good gap filling characteristic of the metallic material can be exhibited, and the reliability of the semiconductor device 1 may be improved.
  • FIG. 3 is a cross-sectional view of a semiconductor device according to another example embodiment of the present inventive concept.
  • a second adhesive film 182 may be disposed between a second metal gate pattern 192 and an N type work function control film 170 and between the second metal gate pattern 192 and a first metal gate pattern 191 .
  • the second adhesive film 182 may be conformally formed along sidewalls of a first inclined surface 130 _ 1 of the N type work function control film 170 and a top surface of the first metal gate pattern 191 .
  • the second adhesive film 182 may include at least one of TiN and Ti. Alternatively, the second adhesive film 182 may include a TiN film and a Ti film sequentially stacked. The second adhesive film 182 may increase adhesion of the second metal gate pattern 192 to be formed later. For example, when the second metal gate pattern 192 includes Al, the second adhesive film 182 may be a single film made of Ti or TiN. When the second metal gate pattern 192 includes W, the second adhesive film 182 may be a single film made of TiN.
  • FIG. 4 is a cross-sectional view of a semiconductor device according to another example embodiment of the present inventive concept.
  • the semiconductor device 3 may include both of a first adhesive film 181 and a second adhesive film 182 .
  • the first adhesive film 181 , a first metal gate pattern 191 , the second adhesive film 182 and a second metal gate pattern 192 may include Ti or TiN, Al, TiN and W, respectively.
  • the first adhesive film 181 , the first metal gate pattern 191 , the second adhesive film 182 and the second metal gate pattern 192 may include TiN, W, Ti or TiN and Al, respectively.
  • FIG. 5 is a cross-sectional view of a semiconductor device according to another example embodiment of the present inventive concept.
  • a first metal gate pattern 191 and a second metal gate pattern 192 may be interchanged in position.
  • the second metal gate pattern 192 may fill only a portion of a trench 212 .
  • the second metal gate pattern 192 may be positioned below the first inclined surface 170 _ 1 or the second inclined surface 181 _ 1 .
  • the first metal gate pattern 191 includes Al
  • the second metal gate pattern 192 may be made of W.
  • FIG. 6 is a cross-sectional view of a semiconductor device according to another example embodiment of the present inventive concept. In FIG. 6 , a gate of a P type transistor is illustrated.
  • the semiconductor device 5 may include a substrate 200 , an interlayer dielectric film 210 including a trench 212 , a gate insulating film 230 , a P type work function control film 250 , an N type work function control film 270 , a first adhesive film 281 , a first metal gate pattern 291 , and a second metal gate pattern 292 .
  • the gate insulating film 230 may be conformally formed along sidewalls and bottom surface of the trench 212 .
  • An etch stop film may be formed on the gate insulating film 230 .
  • the P type work function control film 250 may be formed on the gate insulating film 230 (or etch stop film) in the trench 212 . As shown in FIG. 6 , the P type work function control film 250 may also be conformally formed along the sidewalls and bottom surface of the trench 212 . The P type work function control film 250 may control operating characteristics of a P type transistor by controlling a work function of the P type transistor.
  • P type work function control film 250 may be a TiN film, but aspects of the present inventive concept are not limited thereto.
  • the N type work function control film 270 may be formed on the P type work function control film 250 in the trench 212 . If operating characteristics of the P type transistor are not severely impeded, the N type work function control film 270 may be not removed but may be disposed in the P type transistor, which may reduce a number of photolithography steps.
  • the first adhesive film 281 may be formed on the N type work function control film 270 in the trench 212 .
  • each of the P type work function control film 250 , the N type work function control film 270 and the first adhesive film 281 may include an inclined surface.
  • the inclined surfaces of the P type work function control film 250 , the N type work function control film 270 and the first adhesive film 281 may be interconnected to one another, but aspects of the present inventive concept are not limited thereto.
  • the first metal gate pattern 291 may be formed on the first adhesive film 281 in the trench 212 to fill a portion of the trench 212 .
  • the second metal gate pattern 192 may be formed on the first metal gate pattern 191 in the trench 212 .
  • FIG. 7 is a cross-sectional view of a semiconductor device according to another example embodiment of the present inventive concept.
  • the semiconductor device 6 may further include a first adhesive film 281 and a second adhesive film 282 .
  • the second adhesive film 282 may be disposed between a second metal gate pattern 292 and a P type work function control film 250 , between a second metal gate pattern 292 and an N type work function control film 270 and between a second metal gate pattern 292 and a first metal gate pattern 291 .
  • Semiconductor devices may not include a first adhesive film 281 but may include only a second adhesive film 282 .
  • the first metal gate pattern 291 and the second metal gate pattern 292 may be interchanged in position.
  • FIG. 8 is a cross-sectional view of a semiconductor device according to another example embodiment of the present inventive concept.
  • substrates 100 and 200 are defined in a first region I and a second region II, respectively.
  • At least one of the N type transistors shown in FIGS. 1 to 5 may be formed in the first region I.
  • At least one of the P type transistors 5 and 6 shown in FIGS. 6 and 7 may be formed in the second region II.
  • the N type transistor shown in FIG. 1 may be formed in the first region I and the P type transistor shown in FIG. 6 may be simultaneously formed in the second region II, but aspects of the present inventive concept are not limited thereto.
  • FIGS. 9 to 11 illustrate a semiconductor device according to another example embodiment of the present inventive concept.
  • FIG. 9 is a perspective view illustrating the semiconductor device
  • FIG. 10 is a cross-sectional view taken along the line A-A′ of FIG. 9
  • FIG. 11 is a cross-sectional view taken along the line B′-B′ of FIG. 9 .
  • FIGS. 9 to 11 illustrate a gate of the N type transistor shown in FIG. 1 , which is applied to a fin type transistor (FinFET).
  • FinFET fin type transistor
  • the semiconductor device 8 may include a fin F 1 , a gate electrode 122 , and a source/drain 261 .
  • the fin F 1 may extend lengthwise in a direction Y 1 .
  • the fin F 1 may be a portion of a substrate 100 , and may include an epitaxial layer grown from the substrate 200 .
  • An isolation film 101 may cover lateral surfaces of the fin F 1 .
  • the gate electrode 122 may be formed on the fin F 1 to intersect the fin F 1 .
  • the gate electrode 122 may extend in a direction Xl.
  • the gate electrode 122 may include an N type work function control film 170 , a first adhesive film 181 , a first metal gate pattern 191 , and a second metal gate pattern 192 .
  • the source/drain 261 may be disposed at opposite sides of the gate electrode 122 .
  • the source/drain 261 may be an elevated source/drain.
  • a top surface of the source/drain 261 may be higher than a bottom surface of the isolation film 101 .
  • the source/drain 261 and the gate electrode 122 may be insulated from each other by spacer 120 .
  • the source/drain 261 may include a tensile stress material.
  • the source/drain 261 may include the same material as the substrate 100 or the tensile stress material.
  • the source/drain 261 may include Si or a material having a smaller lattice constant than Si (e.g., SiC).
  • the gates of the N type transistors shown in FIGS. 3 to 5 may similarly be applied to fin type transistors.
  • the source/drain 261 may include a compressive stress material.
  • the compressive stress material may be a material having a larger lattice constant than silicon (Si), for example, SiGe.
  • the compressive stress material may improve the mobility of carriers of a channel region by applying compressive stress to the fin F 1 .
  • FIGS. 12 and 13 are a circuit view and a layout view illustrating a semiconductor device according to another example of the present inventive concept.
  • the semiconductor device 9 may include a pair of inverters INV 1 and INV 2 connected in parallel between a power supply node Vcc and a ground node Vss, and a first pass transistor PS 1 and a second pass transistor PS 2 connected to output nodes of the respective inverters INV 1 and INV 2 .
  • the first pass transistor PS 1 and the second pass transistor PS 2 may be connected to a bit line BL and a complementary bit line BL/, respectively. Gates of the first pass transistor PS 1 and the second pass transistor PS 2 may be connected to a word line WL.
  • the first inverter INV 1 includes a first pull-up transistor PU 1 and a first pull-down transistor PD 1 connected in series to each other
  • the second inverter INV 2 includes a second pull-up transistor PU 2 and a second pull-down transistor PD 2 connected in series to each other.
  • the first pull-up transistor PU 1 and the second pull-up transistor PU 2 may be PMOS transistors
  • the first pull-down transistor PD 1 and the second pull-down transistor PD 2 may be NMOS transistors.
  • an input node of the first inverter INV 1 may be connected to an output node of the second inverter INV 2 and an input node of the second inverter INV 2 may be connected to an output node of the first inverter INV 1 .
  • a first active region 310 , a second active region 320 , a third active region 330 and a fourth active region 340 which may be spaced apart from one another, may extend lengthwise in a direction (e.g., in an up-and-down direction of FIG. 13 ).
  • the second active region 320 and the third active region 330 may extend in smaller lengths than the first active region 310 and the fourth active region 340 .
  • a first gate electrode 351 , a second gate electrode 352 , a third gate electrode 353 , and a fourth gate electrode 354 may be formed to extend in the other direction (for example, in a left-and-right direction of FIG. 13 ) to intersect the first active region 310 to the fourth active region 340 .
  • the first gate electrode 351 may completely intersect the first active region 310 and the second active region 320 while partially overlapping a terminal of the third active region 330 .
  • the third gate electrode 353 may completely intersect the fourth active region 340 and the third active region 330 while partially overlapping a terminal of the second active region 320 .
  • the second gate electrode 352 and the fourth gate electrode 354 may be formed to intersect the first active region 310 and the fourth active region 340 , respectively.
  • the first pull-up transistor PU 1 may be defined in vicinity of an intersection of the first gate electrode 351 and the second active region 320
  • the first pull-down transistor PD 1 may be defined in vicinity of an intersection of the first gate electrode 351 and the first fin F 1
  • the first pass transistor PS 1 may be defined in vicinity of an intersection of the second gate electrode 352 and the first active region 310 .
  • the second pull-up transistor PU 2 may be defined in vicinity of an intersection of the third gate electrode 353 and the third active region 330
  • the second pull-down transistor PD 2 may be defined in vicinity of an intersection of the third gate electrode 353 and the fourth active region 340
  • the second pass transistor PS 2 may be defined in vicinity of an intersection of the fourth gate electrode 354 and the fourth active region 340 .
  • Sources/drains may be formed at opposite sides of the respective intersections of the first to fourth gate electrodes 351 - 354 and the first to fourth active regions 310 , 320 , 330 and 340 .
  • a plurality of contacts 350 may be formed.
  • a shared contact 361 may concurrently connect the second active region 320 , a third gate line 353 and an interconnection 371 .
  • the shared contact 361 may also concurrently connect the third active region 330 , a first gate line 351 and an interconnection 372 .
  • the first pull-up transistor PU 1 and the second pull-up transistor PU 2 may have configurations described above with regard to at least one of the gates shown in FIGS. 6 and 7 and the first pull-down transistor PD 1 , the first pass transistor PS 1 , the second pull-down transistor PD 2 and the second pass transistor PS 2 may have configurations described above with regard to at least one of the gates shown in FIGS. 1 to 5 .
  • FIG. 14 is a block diagram of an electronic system including a semiconductor device according to some embodiments of the present inventive concept.
  • the electronic system 1100 may include a controller 1110 , an input/output device (I/O) 1120 , a memory device 1130 , an interface 1140 and a bus 1150 .
  • the controller 1110 , the I/O 1120 , the memory device 1130 , and/or the interface 1140 may be connected to each other through the bus 1150 .
  • the bus 1150 corresponds to a path through which data moves.
  • the controller 1110 may include at least one of a microprocessor, a digital signal processor, a microcontroller, and logic elements capable of functions similar to those of these elements.
  • the I/O 1120 may include a key pad, a key board, a display device, and so on.
  • the memory device 1130 may store data and/or commands.
  • the interface 1140 may perform functions of transmitting data to a communication network or receiving data from the communication network.
  • the interface 1140 may be wired or wireless.
  • the interface 1140 may include an antenna or a wired/wireless transceiver, and so on.
  • the electronic system 1100 may further include high-speed DRAM and/or SRAM as the operating memory for improving the operation of the controller 1110 .
  • Fin electric field effect transistors may be provided in the memory device 1130 or may be provided some components of the controller 1110 or the I/O 1120 .
  • the electronic system 1100 may be applied to a personal digital assistant (PDA), a portable computer, a web tablet, a wireless phone, a mobile phone, a digital music player, a memory card, or any type of electronic device capable of transmitting and/or receiving information in a wireless environment.
  • PDA personal digital assistant
  • portable computer a portable computer
  • web tablet a wireless phone
  • mobile phone a mobile phone
  • digital music player a digital music player
  • memory card or any type of electronic device capable of transmitting and/or receiving information in a wireless environment.
  • FIGS. 15 and 16 illustrate an exemplary semiconductor system to which semiconductor devices according to some embodiments of the present inventive concept can be employed.
  • FIG. 15 illustrates an example in which a semiconductor device according to an embodiment of the present inventive concept is applied to a tablet PC
  • FIG. 16 illustrates an example in which a semiconductor device according to an embodiment of the present inventive concept is applied to a notebook computer.
  • At least one of the semiconductor devices 1 to 9 according to some embodiments of the present inventive concept can be employed to a tablet PC, a notebook computer, and the like. It is obvious to one skilled in the art that the semiconductor devices according to some embodiments of the present inventive concept may also be applied to other IC devices not illustrated herein.
  • FIGS. 17 to 24 illustrate intermediate process steps for explaining a method of fabricating the semiconductor device 7 illustrated in FIG. 8 .
  • a substrate 100 having a first region I and a second region II defined therein is provided.
  • a sacrificial gate pattern 119 may be formed on the first region I, and a spacer 120 may be formed on sidewalls of the sacrificial gate pattern 119 .
  • the interlayer dielectric film 110 may surround the sacrificial gate pattern 119 and the spacer 120 , and a top surface of the sacrificial gate pattern 119 may be exposed.
  • a sacrificial gate pattern 219 may be formed on the second region II, and a spacer 220 may be formed on sidewalls of the sacrificial gate pattern 219 .
  • the interlayer dielectric film 210 may surround the sacrificial gate pattern 219 and the spacer 220 , and a top surface of the sacrificial gate pattern 219 may be exposed.
  • Each of the sacrificial gate patterns 119 and 219 may include, for example, polysilicon, but aspects of the present inventive concept are not limited thereto.
  • the sacrificial gate patterns 119 and 219 may be removed, thereby completing the interlayer dielectric film 110 including the trench 112 in the first region I, and completing the interlayer dielectric film 210 including the trench 212 in the second region II.
  • a gate insulating film 130 a may be formed in the trench 112 and a gate insulating film 230 a may be formed in the trench 212 .
  • the gate insulating film 130 a may be conformally formed along the top surface of the interlayer dielectric film 110 and the sidewalls and bottom surface of the trench 112 .
  • the gate insulating film 230 a may be conformally formed along the top surface of the interlayer dielectric film 210 and the sidewalls and bottom surface of the trench 212 .
  • the gate insulating film 130 a and the gate insulating film 230 a may be high-k films.
  • P type work function control films 150 a and 250 a may be formed on the gate insulating films 130 a and 230 a.
  • the P type work function control films 150 a and 250 a may be conformally formed along the top surface of the interlayer dielectric film 110 and the sidewalls and bottom surface of the trench 112 , and the top surface of the interlayer dielectric film 210 and the sidewalls and bottom surface of the trench 212 , respectively.
  • the P type work function control films 150 a and 250 a may include, for example, TiN.
  • the P type work function control film 150 a formed on the first region I may be removed, and the P type work function control film 250 a formed on the second region II may be made to remain.
  • the P type work function control film 250 a may remain on the gate insulating film 230 a in the trench 212 .
  • an N type work function control film 170 a may be formed on the gate insulating film 130 a in the trench 112 and an N type work function control film 270 a may be formed on the P type work function control film 250 a in the trench 212 .
  • the N type work function control films 170 a and 270 a may be conformally formed along the top surface of the interlayer dielectric film 110 and the sidewalls and bottom surface of the trench 112 , and the top surface of the interlayer dielectric film 210 and the sidewalls and bottom surface of the trench 212 , respectively.
  • a first adhesive film 181 a may be conformally formed on the N type work function control film 170 a in the first region I, and a first adhesive film 281 a may be conformally formed on the N type work function control film 270 a in the second region II.
  • a first metal gate material film 191 a may be formed on the first adhesive film 181 a in the first region I to sufficiently cover the trench 112
  • a first metal gate material film 291 a may be formed on the first adhesive film 281 a in the second region II to sufficiently cover the trench 212 .
  • portions of the first metal gate material film 191 a , the first adhesive film 181 a , and the N type work function control film 170 a formed in the first region I may be removed, and portions of the first metal gate material film 291 a , the first adhesive film 281 a , the N type work function control film 270 a and the P type work function control film 250 a formed in the second region II may be removed.
  • each of the first adhesive film 181 and the N type work function control film 170 may have an acute angle with respect to the sidewall of the trench 112 .
  • each of the second adhesive film 281 , the N type work function control film 270 and the P type work function control film 250 may have an acute angle with respect to the sidewall of the trench 212 .
  • RIE reactive ion etching
  • corner portions of the first adhesive film 181 a and the N type work function control film 170 a on which electric fields concentrate, may be more etched.
  • corner portions of the first adhesive film 281 a , the N type work function control film 270 a and the P type work function control film 250 a on which electric fields concentrate, may be much more etched.
  • the corner portions of the first adhesive film 181 a and the N type work function control film 170 a which are positioned in the entrance of the trench 112 in the first region I, may be etched.
  • the corner portions of the first adhesive film 281 a , the N type work function control film 270 a and the P type work function control film 250 a which are positioned in the entrance of the trench 212 in the second region II, may be etched.
  • the completed N type work function control film 270 and the completed P type work function control film 250 may be chamfered.
  • portions of the first adhesive film 181 a and the N type work function control film 170 a which are closer to the first metal gate material film 191 a are etched more than portions of the first adhesive film 181 a and the N type work function control film 170 a which are closer to the gate insulating film 130 a , producing the completed first adhesive film 181 and the completed N type work function control film 170 each with a chamfered edge at an opening of the trench 112 defining an acute angle with respect to the sidewall of the trench 112 .
  • portions of the first adhesive film 281 a , the N type work function control film 170 a , and the P type work function control film 250 a which are closer to the first metal gate material film 291 a are etched more than portions of the first adhesive film 281 a , the N type work function control film 270 a , and the P type work function control film 250 a which are closer to the gate insulating film 230 a , producing the completed first adhesive film 281 , the completed N type work function control film 270 , and the completed P type work function control film 250 each with a chamfered edge at an opening of the trench 212 defining an acute angle with respect to the sidewall of the trench 212 .
  • first metal gate pattern 191 may remain in the trench 112 .
  • the first metal gate pattern 191 may be positioned below the inclined surfaces of the first adhesive film 181 and the N type work function control film 170 .
  • first metal gate pattern 291 may remain in the trench 212 .
  • the first metal gate pattern 291 may be positioned below the inclined surfaces of the first adhesive film 281 , the N type work function control film 270 and the P type work function control film 250 .
  • a second metal gate material film 192 a may be formed to fill the trench 112 and a second metal gate material film 292 a may be formed to fill the trench 212 .
  • a planarization process may be performed to expose top surfaces of the interlayer dielectric films 110 and 210 .
  • a first replacement metal gate of an N type transistor may be completed in the first region I and a second replacement metal gate of a P type transistor may be completed in the second region II.

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Composite Materials (AREA)
  • Materials Engineering (AREA)
  • General Engineering & Computer Science (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

Provided are a semiconductor device and a fabricating method of the semiconductor device. The semiconductor device may include an interlayer dielectric film formed on a substrate and including a trench, a gate insulating film formed in the trench, a first work function control film formed on the gate insulating film of the trench along bottom and sidewalls of the trench, a first metal gate pattern formed on the first work function control film of the trench and filling a portion of the trench, and a second metal gate pattern formed on the first metal gate pattern of the trench, the second metal gate pattern different from the first metal gate pattern.

Description

    CROSS-REFERENCE TO RELATED APPLICATION
  • This application is a continuation of U.S. patent application Ser. No. 14/132,306, filed Dec. 18, 2013, which claims priority under 35 U.S.C. §119(a) to Korean Patent Application No. 10-2013-0038107 filed on Apr. 8, 2013, in the Korean Intellectual Property Office, the disclosures of which are hereby incorporated herein by reference in their entirety.
  • FIELD
  • The present inventive concept relates to semiconductor devices and more particularly to metal oxide semiconductor transistors.
  • BACKGROUND
  • As the feature size of a metal oxide semiconductor (MOS) transistor has been reduced, the length of a gate and the length of a channel formed therebelow are also gradually decreasing. Accordingly, various studies are under way to increase capacitance between the gate and the channel and to improve operating characteristics of the MOS transistor.
  • As a thickness of a silicon oxide film mainly used as a gate insulating film is gradually reduced, the silicon oxide film may experience physical limitations in its electrical property. Therefore, in order to replace the conventional silicon oxide film, research into a high-k film having a high dielectric constant is actively being conducted. The high-k film may reduce leakage current between a gate electrode and a channel region while maintaining a small thickness of an equivalent oxide film.
  • Polysilicon mainly used as a gate material may have higher resistance than most metals. Accordingly, the polysilicon gate electrode may be replaced with a metal gate electrode.
  • SUMMARY
  • According to some embodiments of the inventive concept, there is provided a semiconductor device including an interlayer dielectric film formed on a substrate and including a trench, a gate insulating film formed in the trench, a first work function control film formed on the gate insulating film of the trench along bottom and sidewalls of the trench, a first metal gate pattern formed on the first work function control film of the trench and filling a portion of the trench, and a second metal gate pattern formed on the first metal gate pattern of the trench, the second metal gate pattern different from the first metal gate pattern.
  • The first work control film may include a first inclined surface having an acute angle with respect to the sidewall of the trench. A top surface of the first metal gate pattern may be positioned below the first inclined surface of the work function control film.
  • The first metal gate pattern may have a thickness in a range between 10 Å to 100 Å.
  • The first work function control film may be an N type work function control film. The first metal gate pattern may be at least one element selected from the group consisting of aluminum (Al), tungsten (W) and titanium (Ti). The second metal gate pattern may be another at least one element selected from the group consisting of Al, W and Ti.
  • The semiconductor device may include a first adhesive film disposed between the first work function control film and the first metal gate pattern and between the first work function control film and the second metal gate pattern. The first adhesive film may include a first inclined surface having an acute angle with respect to the sidewall of the trench. The work function control film may include a second inclined surface having an acute angle with respect to the sidewall of the trench. The first inclined surface of the first adhesive film and the second inclined surface of the first work function control film may be continuous.
  • When the first metal gate pattern is made of tungsten (W), the first adhesive film may be made of titanium nitride (TiN). When the first metal gate pattern is made of aluminum (Al), the first adhesive film may be made of titanium (Ti) or titanium nitride (TiN).
  • The semiconductor device may include a second an adhesive film disposed between the second metal gate pattern and the second work function control film and between the second metal gate pattern and the first metal gate pattern. When the second metal gate pattern is made of tungsten (W), the second adhesive film may be made of titanium nitride (TiN). When the second metal gate pattern is made of aluminum (Al), the second adhesive film may be made of titanium (Ti) or titanium nitride (TiN).
  • The semiconductor device may be a fin type transistor.
  • According to other embodiments of the inventive concept, there is provided a semiconductor device including an interlayer dielectric film formed on a substrate and including a first trench and a second trench spaced apart from the first trench; an NMOS transistor having a first replacement metal gate formed in the first trench; and a PMOS transistor having a second replacement metal gate formed in the second trench. The first replacement metal gate may include a first N type work function control film formed along bottom and sidewalls of the first trench; a first metal gate pattern formed on the first N type work function control film of the first trench and filling a portion of the first trench; and a second metal gate pattern formed on the first metal gate pattern of the first trench, the second metal gate pattern different from the first metal gate pattern. The second replacement metal gate may include a P type work function control film formed along bottom and sidewalls of the second trench; a second N type work function control film formed on the P type work function control film; a third metal gate pattern formed on the second N type work function control film in the second trench and filling a portion of the second trench; and a fourth metal gate pattern formed on the third metal gate pattern in the second trench, the fourth metal gate pattern different from the third metal gate pattern. The first N type work function control film may include a first inclined surface having an acute angle with respect to the sidewall of the first trench.
  • According to other embodiments of the inventive concept, there is provided a semiconductor device including an interlayer dielectric film on a substrate and including a trench, a gate insulating film on bottom and sidewall surfaces of the trench, an N type work function control film on the gate insulating film, a first metal gate pattern on the N type work function control film and filling a portion of the trench, and a second metal gate pattern on the first metal gate pattern. The N type work function control film may include a first chamfered surface at an opening of the trench defining an acute angle with respect to the sidewall surfaces of the trench. A composition of the second metal gate pattern may be different than a composition of the first metal gate pattern.
  • The semiconductor device may include an adhesive film between the second metal gate pattern and the N type work function control film and between the second metal gate pattern and the first metal gate pattern. The adhesive film may include a second chamfered surface defining an acute angle with respect to the sidewall of the trench. The second chamfered surface of the adhesive film may be on the first chamfered surface of the N type work function control film.
  • The semiconductor device may include an adhesive film between the first metal gate pattern and the N type work function control film and between the second metal gate pattern and the N type work function control film. The adhesive film may include a second chamfered surface defining an acute angle with respect to the sidewall of the trench. The first chamfered surface of the N type work function control film and the second chamfered surface of the adhesive film may be continuous.
  • The semiconductor may include a P type work function control film formed between the gate insulating film and the N type work function control film. The P type work function control film may include a third chamfered surface defining an acute angle with respect to the sidewall of the trench. The third chamfered surface of the P type work function control film and the first chamfered surface of the N type work function control film may be continuous.
  • The semiconductor device may be a fin type transistor.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • FIG. 1 is a cross-sectional view of a semiconductor device according to an exemplary embodiment of the present inventive concept;
  • FIG. 2 is an enlarged view of a region II of FIG. 1;
  • FIG. 3 is a cross-sectional view of a semiconductor device according to another example embodiment of the present inventive concept;
  • FIG. 4 is a cross-sectional view of a semiconductor device according to another example embodiment of the present inventive concept;
  • FIG. 5 is a cross-sectional view of a semiconductor device according to another example embodiment of the present inventive concept;
  • FIG. 6 is a cross-sectional view of a semiconductor device according to another example embodiment of the present inventive concept;
  • FIG. 7 is a cross-sectional view of a semiconductor device according to another example embodiment of the present inventive concept;
  • FIG. 8 is a cross-sectional view of a semiconductor device according to another example embodiment of the present inventive concept;
  • FIGS. 9 to 11 illustrate a semiconductor device according to another example embodiment of the present inventive concept;
  • FIGS. 12 and 13 are a circuit view and a layout view illustrating a semiconductor device according to another example embodiment of the present inventive concept;
  • FIG. 14 is a block diagram of an electronic system including a semiconductor device according to some embodiments of the present inventive concept;
  • FIGS. 15 and 16 illustrate an exemplary semiconductor system to which semiconductor devices according to some embodiments of the present inventive concept can be employed; and
  • FIGS. 17 to 24 illustrate intermediate process steps for explaining a fabricating method of the semiconductor device illustrated in FIG. 8.
  • DETAILED DESCRIPTION OF THE EMBODIMENTS
  • Embodiments of the inventive concept are described more fully with reference to the accompanying drawings. The inventive concept may, however, be embodied in various different forms and should not be construed as limited to the embodiments set forth herein. Rather, these embodiments are provided as examples so that this disclosure will be thorough and complete, and will fully convey the scope of the invention to those skilled in the art. The same reference numbers indicate the same components throughout the specification. In the attached figures, the thickness of layers and regions may be exaggerated for clarity.
  • It will be understood that when an element is referred to as being “connected” or “coupled” to another element, it can be directly connected or coupled to the other element or intervening elements may be present. In contrast, when an element is referred to as being “directly connected” or “directly coupled” to another element, there are no intervening elements present. It will also be understood that when a layer is referred to as being “on” another layer or substrate, it can be directly on the other layer or substrate, or intervening layers may also be present. In contrast, when an element is referred to as being “directly on” another element, there are no intervening elements present. As used herein, the term “and/or” includes any and all combinations of one or more of the associated listed items and may be abbreviated as “/”.
  • Spatially relative terms, such as “beneath,” “below,” “lower,” “above,” “upper” and the like, may be used herein for ease of description to describe one element or feature's relationship to another element(s) or feature(s) as illustrated in the figures. It will be understood that the spatially relative terms are intended to encompass different orientations of the device in use or operation in addition to the orientation depicted in the figures. For example, if the device in the figures is turned over, elements described as “below” or “beneath” other elements or features would then be oriented “above” the other elements or features. Thus, the exemplary term “below” can encompass both an orientation of above and below. The device may be otherwise oriented (rotated 90 degrees or at other orientations) and the spatially relative descriptors used herein interpreted accordingly.
  • It will be understood that, although the terms first, second, etc. may be used herein to describe various elements, these elements should not be limited by these terms. These terms are only used to distinguish one element from another. For example, a first signal could be termed a second signal, and, similarly, a second signal could be termed a first signal without departing from the teachings of the disclosure.
  • The terminology used herein is for the purpose of describing particular embodiments only and is not intended to be limiting to the invention. As used herein, the singular forms “a” and “an” and “the” are intended to cover both the singular and the plural, unless otherwise indicated herein or clearly contradicted by context. The terms “comprising,” “having,” “including,” and “containing” are to be construed as open-ended terms (i.e., meaning “including, but not limited to,”) unless otherwise noted.
  • Unless defined otherwise, all technical and scientific terms used herein have the same meaning as commonly understood by one of ordinary skill in the art to which this invention belongs. It is noted that the use of any and all examples, or exemplary terms provided herein is intended merely to better illuminate the invention and is not a limitation on the scope of the invention unless otherwise specified. Further, unless defined otherwise, all terms defined in commonly used dictionaries should be interpreted as having a meaning that is consistent with their meaning in the context of the relevant art and/or the present application, and will not be interpreted in an idealized or overly formal sense unless expressly so defined herein.
  • The present invention will be described with reference to perspective views, cross-sectional views, and/or plan views, in which preferred embodiments of the invention are shown. Thus, the profile of an exemplary view may be modified according to manufacturing techniques and/or allowances. That is, the embodiments of the invention are not intended to limit the scope of the present invention but cover all changes and modifications that can be caused due to a change in manufacturing process. Thus, regions shown in the drawings are illustrated in schematic form and the shapes of the regions are presented simply by way of illustration and not as a limitation.
  • FIG. 1 is a cross-sectional view of a semiconductor device according to an exemplary embodiment of the present inventive concept, and FIG. 2 is an enlarged view of a region II of FIG. 1. In FIG. 1, a gate of an N type transistor is exemplified, but aspects of the present inventive concept are not limited thereto.
  • Referring to FIG. 1, the semiconductor device 1 may include a substrate 100, an interlayer dielectric film 110 including a trench 112, a gate insulating film 130, an N type work function control film 170, a first adhesive film 181, a first metal gate pattern 191, and a second metal gate pattern 192.
  • An isolation film such as a shallow trench isolation (STI) film may be formed in the substrate 100 to define an active region. The substrate 100 may be made of one or more semiconductor materials selected from the group consisting of, for example, Si, Ge, SiGe, GaP, GaAs, SiC, SiGeC, InAs and InP. Alternatively, the substrate 100 may be a silicon on insulator (SOI) substrate.
  • The interlayer dielectric film 110 may be formed on the substrate 100 and may include the trench 112. The interlayer dielectric film 110 may be formed by stacking two or more insulating layers. Sidewalls of the trench 112 may contact the spacer 120 and a bottom surface of the trench 112 may contact the substrate 100, but aspects of the present inventive concept are not limited thereto. The spacer 120 may be formed of at least one of a nitride film and an oxynitride film.
  • The gate insulating film 130 may be conformally formed along the sidewalls and bottom surface of the trench 112. The gate insulating film 130 may include a high-k dielectric material having a higher dielectric constant than a silicon oxide film. For example, the gate insulating film 130 may include a material selected from the group consisting of HfO2, ZrO2, Ta2O5, TiO2, SrTiO5 and (Ba,Sr)TiO5. The gate insulating film 130 may be formed to appropriate thicknesses according to the kind of a target device to be formed. For example, when the gate insulating film 130 includes HfO2, it may be formed to a thickness of less than or equal to approximately 50 Å (specifically in a range of approximately 5 to 50 Å).
  • An etch stop film may be formed on the gate insulating film 130. The etch stop film may include, for example, at least one of TiN and TaN. For example, the etch stop film may be a stack of TiN and TaN films. The etch stop film may be used in removing a portion of the N type work function control film.
  • The N type work function control film 170 may be formed on the gate insulating film 130 and/or the etch stop film in the trench 112. The N type work function control film 170 may also be conformally formed along the sidewalls and bottom surface of the trench 112. The N type work function control film 170 may control operating characteristics of an N type transistor by controlling a work function of the N type transistor. The N type work function control film 170 may be made of a material selected from the group consisting of TiAl, TiAlC, TiAlN, TaC, TiC, and HfSi, but aspects of the present inventive concept are not limited thereto. For example, the N type work function control film 170 may be a TiAlC film.
  • The first adhesive film 181 may be formed on the N type work function control film 170 in the trench 112. The first adhesive film 181 may include at least one of TiN and Ti. Alternatively, the first adhesive film 181 may include a TiN film and a Ti film sequentially stacked. The first adhesive film 181 may increase adhesion of a first metal gate pattern 191. For example, when the first metal gate pattern 191 includes Al, the first adhesive film 181 may be a single film made of Ti or TiN. When the first metal gate pattern 191 includes W, the first adhesive film 181 may be a single film made of TiN. The first metal gate pattern 191 may be formed on the first adhesive film 181 in the trench 112 (or on the N type work function control film 170) to fill a portion of the trench 112. The first metal gate pattern 190 may include at least one selected from the group consisting of aluminum (Al), tungsten (W) and titanium (Ti), but aspects of the present inventive concept are not limited thereto.
  • The second metal gate pattern 192 may be formed on the first metal gate pattern 191 in the trench 112. The second metal gate pattern 192 may include at least one selected from the group consisting of Al, W and Ti, but aspects of the present inventive concept are not limited thereto. However, the second metal gate pattern 192 may be a material different from that of the first metal gate pattern 191. For example, when the first metal gate pattern 191 includes Al, the second metal gate pattern 192 may be made of W.
  • In FIG. 1, two layers of metal gate patterns 191 and 192 are exemplified, but aspects of the present inventive concept are not limited thereto. Three or more metal gate patterns may also be used.
  • For example, in a case of a single layer of a metal gate pattern (for example, when the metal gate pattern includes W), there may be a considerable variation in the threshold voltage of the N type transistor according to the height of the metal gate pattern. The height of the trench 112 and heights of various material layers (i.e., the gate insulating film, the N type work function control film, etc.) stacked in the trench 112 may be controlled.
  • When two or more stacked metal gate patterns 191 and 192 are used, there may be a small variation in the threshold voltage of the N type transistor according to the overall height of the metal gate patterns 191 and 192. Characteristic stability and process stability of the N type transistor can be achieved.
  • Even if the overall height of the first metal gate pattern 191 and the second metal gate pattern 192 is constant, the threshold voltage of the N type transistor may be controlled according to the change in the height of the first metal gate pattern 191 (or the change in the height of the second metal gate pattern 192).
  • In addition, resistance of a gate electrode can be controlled by using two or more stacked metal gate patterns 191 and 192. For example, one of the first metal gate pattern 191 and the second metal gate pattern 192 may be made of Al having relatively low resistance.
  • The first metal gate pattern 191 may be positioned below the first inclined surface 170_1 or the second inclined surface 181_1, which may be for the purpose of securing a sufficient space to be filled with the second metal gate pattern 192. For example, a height H1 of the underlying first metal gate pattern 191 may be in a range between 10 Å to 100 Å.
  • As shown in FIGS. 1 and 2, the N type work function control film 170 may be conformally formed along the sidewalls and bottom surface of the trench 112. In particular, the N type work function control film 170 includes a first inclined surface 170_1 having an acute angle θ1 with respect to the sidewall of the trench 112. In addition, the first adhesive film 181 includes a second inclined surface 181_11 having an acute angle θ2 with respect to the sidewall of the trench 112.
  • Here, the acute angle θ1 or 02 may mean that an angle measured clockwise with respect to the sidewall of the trench 112 does not exceed 90 degrees. The N type work function control film 170 may be chamfered.
  • The acute angle θ1 of the first inclined surface 170_1 may be substantially the same as the acute angle θ2 of the second inclined surface 181_1, but aspects of the present inventive concept are not limited thereto. The acute angle θ1 of the first inclined surface 170_1 and the acute angle θ2 of the second inclined surface 181_1 may be different from each other. For example, the acute angle θ2 of the second inclined surface 181_1 may be greater than the acute angle θ1 of the first inclined surface 170_1, or the acute angle θ2 of the second inclined surface 181_1 may be smaller than the acute angle θ1 of the first inclined surface 170_1.
  • The first inclined surface 170_1 of the N type work function control film 170 may be continuously formed, but aspects of the present inventive concept are not limited thereto. An end point of the first inclined surface 170_1 and a start point of the second inclined surface 181_1 may not be equal to each other.
  • A first depth L1 ranging from a top surface of the interlayer dielectric film 110 to the N type work function control film 170 may be different from a second depth L2 ranging from the top surface of the interlayer dielectric film 110 to the first adhesive film 181. For example, the first depth L1 may be smaller than the second depth L2.
  • In addition, when the first inclined surface 170_1 is formed at an end of the N type work function control film 170, a width of the N type work function control film 170 may be reduced as the N type work function control film 170 gets away from a bottom surface of the trench 112. Likewise, when the second inclined surface 181_1 is formed at an end of the first adhesive film 181, a width of the first adhesive film 181 may be reduced as the first adhesive film 181 gets away from the bottom surface of the trench 112.
  • Shapes of the N type work function control film 170 and the first adhesive film 181 may improve gap filling characteristics of the first metal gate pattern 191 and the second metal gate pattern 192.
  • In detail, as the size of a semiconductor device is reduced, sizes of various devices (e.g., transistors) may also be reduced. Therefore, when the size of the trench 112 is reduced, it may be difficult to form multiple functional layers (e.g., a gate insulating film, an etch stop film, a work function control film, an adhesive film, a metal gate patterns, etc.) in the trench 112.
  • In the semiconductor device 1, when the first inclined surface 170_1 is formed at the end of the N type work function control film 170 and the second inclined surface 181_1 is formed at the end of the first adhesive film 181, an entrance of the trench 112 may be wide. The trench 112 may be filled with a metallic material, which may facilitate forming of the first and second metal gate patterns 191 and 192. When the metallic material is capable of filling a deep portion of the trench 112, a good gap filling characteristic of the metallic material can be exhibited, and the reliability of the semiconductor device 1 may be improved.
  • FIG. 3 is a cross-sectional view of a semiconductor device according to another example embodiment of the present inventive concept. Referring to FIG. 3, a second adhesive film 182 may be disposed between a second metal gate pattern 192 and an N type work function control film 170 and between the second metal gate pattern 192 and a first metal gate pattern 191. The second adhesive film 182 may be conformally formed along sidewalls of a first inclined surface 130_1 of the N type work function control film 170 and a top surface of the first metal gate pattern 191.
  • The second adhesive film 182 may include at least one of TiN and Ti. Alternatively, the second adhesive film 182 may include a TiN film and a Ti film sequentially stacked. The second adhesive film 182 may increase adhesion of the second metal gate pattern 192 to be formed later. For example, when the second metal gate pattern 192 includes Al, the second adhesive film 182 may be a single film made of Ti or TiN. When the second metal gate pattern 192 includes W, the second adhesive film 182 may be a single film made of TiN.
  • FIG. 4 is a cross-sectional view of a semiconductor device according to another example embodiment of the present inventive concept. Referring to FIG. 4, the semiconductor device 3 may include both of a first adhesive film 181 and a second adhesive film 182.
  • For example, the first adhesive film 181, a first metal gate pattern 191, the second adhesive film 182 and a second metal gate pattern 192 may include Ti or TiN, Al, TiN and W, respectively. Alternatively, the first adhesive film 181, the first metal gate pattern 191, the second adhesive film 182 and the second metal gate pattern 192 may include TiN, W, Ti or TiN and Al, respectively.
  • FIG. 5 is a cross-sectional view of a semiconductor device according to another example embodiment of the present inventive concept. Referring to FIG. 5, in the semiconductor device 4, a first metal gate pattern 191 and a second metal gate pattern 192 may be interchanged in position. The second metal gate pattern 192 may fill only a portion of a trench 212. In addition, the second metal gate pattern 192 may be positioned below the first inclined surface 170_1 or the second inclined surface 181_1. For example, when the first metal gate pattern 191 includes Al, the second metal gate pattern 192 may be made of W.
  • FIG. 6 is a cross-sectional view of a semiconductor device according to another example embodiment of the present inventive concept. In FIG. 6, a gate of a P type transistor is illustrated.
  • Referring to FIG. 6, the semiconductor device 5 may include a substrate 200, an interlayer dielectric film 210 including a trench 212, a gate insulating film 230, a P type work function control film 250, an N type work function control film 270, a first adhesive film 281, a first metal gate pattern 291, and a second metal gate pattern 292.
  • The gate insulating film 230 may be conformally formed along sidewalls and bottom surface of the trench 212.
  • An etch stop film may be formed on the gate insulating film 230.
  • The P type work function control film 250 may be formed on the gate insulating film 230 (or etch stop film) in the trench 212. As shown in FIG. 6, the P type work function control film 250 may also be conformally formed along the sidewalls and bottom surface of the trench 212. The P type work function control film 250 may control operating characteristics of a P type transistor by controlling a work function of the P type transistor. For example, P type work function control film 250 may be a TiN film, but aspects of the present inventive concept are not limited thereto.
  • The N type work function control film 270 may be formed on the P type work function control film 250 in the trench 212. If operating characteristics of the P type transistor are not severely impeded, the N type work function control film 270 may be not removed but may be disposed in the P type transistor, which may reduce a number of photolithography steps.
  • The first adhesive film 281 may be formed on the N type work function control film 270 in the trench 212.
  • As described above, each of the P type work function control film 250, the N type work function control film 270 and the first adhesive film 281 may include an inclined surface. In addition, the inclined surfaces of the P type work function control film 250, the N type work function control film 270 and the first adhesive film 281 may be interconnected to one another, but aspects of the present inventive concept are not limited thereto.
  • The first metal gate pattern 291 may be formed on the first adhesive film 281 in the trench 212 to fill a portion of the trench 212.
  • The second metal gate pattern 192 may be formed on the first metal gate pattern 191 in the trench 212.
  • FIG. 7 is a cross-sectional view of a semiconductor device according to another example embodiment of the present inventive concept. Referring to FIG. 7, the semiconductor device 6 may further include a first adhesive film 281 and a second adhesive film 282. The second adhesive film 282 may be disposed between a second metal gate pattern 292 and a P type work function control film 250, between a second metal gate pattern 292 and an N type work function control film 270 and between a second metal gate pattern 292 and a first metal gate pattern 291.
  • Semiconductor devices according to some embodiments of the present inventive concept may not include a first adhesive film 281 but may include only a second adhesive film 282. In addition, the first metal gate pattern 291 and the second metal gate pattern 292 may be interchanged in position.
  • FIG. 8 is a cross-sectional view of a semiconductor device according to another example embodiment of the present inventive concept.
  • Referring to FIG. 8, in the semiconductor device 7, substrates 100 and 200 are defined in a first region I and a second region II, respectively.
  • At least one of the N type transistors shown in FIGS. 1 to 5 may be formed in the first region I. At least one of the P type transistors 5 and 6 shown in FIGS. 6 and 7 may be formed in the second region II. For example, the N type transistor shown in FIG. 1 may be formed in the first region I and the P type transistor shown in FIG. 6 may be simultaneously formed in the second region II, but aspects of the present inventive concept are not limited thereto.
  • FIGS. 9 to 11 illustrate a semiconductor device according to another example embodiment of the present inventive concept. FIG. 9 is a perspective view illustrating the semiconductor device, FIG. 10 is a cross-sectional view taken along the line A-A′ of FIG. 9, and FIG. 11 is a cross-sectional view taken along the line B′-B′ of FIG. 9. FIGS. 9 to 11 illustrate a gate of the N type transistor shown in FIG. 1, which is applied to a fin type transistor (FinFET).
  • Referring to FIGS. 9 to 11, the semiconductor device 8 may include a fin F1, a gate electrode 122, and a source/drain 261.
  • The fin F1 may extend lengthwise in a direction Y1. The fin F1 may be a portion of a substrate 100, and may include an epitaxial layer grown from the substrate 200. An isolation film 101 may cover lateral surfaces of the fin F1.
  • The gate electrode 122 may be formed on the fin F1 to intersect the fin F1. The gate electrode 122 may extend in a direction Xl.
  • The gate electrode 122 may include an N type work function control film 170, a first adhesive film 181, a first metal gate pattern 191, and a second metal gate pattern 192.
  • The source/drain 261 may be disposed at opposite sides of the gate electrode 122. The source/drain 261 may be an elevated source/drain. A top surface of the source/drain 261 may be higher than a bottom surface of the isolation film 101. In addition, the source/drain 261 and the gate electrode 122 may be insulated from each other by spacer 120.
  • In a case where the semiconductor device 8 is an N type transistor, the source/drain 261 may include a tensile stress material. The source/drain 261 may include the same material as the substrate 100 or the tensile stress material. For example, when the substrate 200 includes Si, the source/drain 261 may include Si or a material having a smaller lattice constant than Si (e.g., SiC).
  • The gates of the N type transistors shown in FIGS. 3 to 5 may similarly be applied to fin type transistors.
  • Alternatively, in a case where a gate of a P type transistor (e.g., the gate shown in FIG. 6) is applied to a fin type transistor, the source/drain 261 may include a compressive stress material. For example, the compressive stress material may be a material having a larger lattice constant than silicon (Si), for example, SiGe. The compressive stress material may improve the mobility of carriers of a channel region by applying compressive stress to the fin F1.
  • FIGS. 12 and 13 are a circuit view and a layout view illustrating a semiconductor device according to another example of the present inventive concept.
  • Referring to FIGS. 12 and 13, the semiconductor device 9 may include a pair of inverters INV1 and INV2 connected in parallel between a power supply node Vcc and a ground node Vss, and a first pass transistor PS1 and a second pass transistor PS2 connected to output nodes of the respective inverters INV1 and INV2. The first pass transistor PS1 and the second pass transistor PS2 may be connected to a bit line BL and a complementary bit line BL/, respectively. Gates of the first pass transistor PS1 and the second pass transistor PS2 may be connected to a word line WL.
  • The first inverter INV1 includes a first pull-up transistor PU1 and a first pull-down transistor PD1 connected in series to each other, and the second inverter INV2 includes a second pull-up transistor PU2 and a second pull-down transistor PD2 connected in series to each other. The first pull-up transistor PU1 and the second pull-up transistor PU2 may be PMOS transistors, and the first pull-down transistor PD1 and the second pull-down transistor PD2 may be NMOS transistors.
  • In order to constitute a latch circuit, an input node of the first inverter INV1 may be connected to an output node of the second inverter INV2 and an input node of the second inverter INV2 may be connected to an output node of the first inverter INV1.
  • Referring to FIGS. 12 and 13, a first active region 310, a second active region 320, a third active region 330 and a fourth active region 340, which may be spaced apart from one another, may extend lengthwise in a direction (e.g., in an up-and-down direction of FIG. 13). The second active region 320 and the third active region 330 may extend in smaller lengths than the first active region 310 and the fourth active region 340.
  • In addition, a first gate electrode 351, a second gate electrode 352, a third gate electrode 353, and a fourth gate electrode 354 may be formed to extend in the other direction (for example, in a left-and-right direction of FIG. 13) to intersect the first active region 310 to the fourth active region 340. The first gate electrode 351 may completely intersect the first active region 310 and the second active region 320 while partially overlapping a terminal of the third active region 330. The third gate electrode 353 may completely intersect the fourth active region 340 and the third active region 330 while partially overlapping a terminal of the second active region 320. The second gate electrode 352 and the fourth gate electrode 354 may be formed to intersect the first active region 310 and the fourth active region 340, respectively.
  • The first pull-up transistor PU1 may be defined in vicinity of an intersection of the first gate electrode 351 and the second active region 320, the first pull-down transistor PD1 may be defined in vicinity of an intersection of the first gate electrode 351 and the first fin F1, and the first pass transistor PS1 may be defined in vicinity of an intersection of the second gate electrode 352 and the first active region 310. The second pull-up transistor PU2 may be defined in vicinity of an intersection of the third gate electrode 353 and the third active region 330, the second pull-down transistor PD2 may be defined in vicinity of an intersection of the third gate electrode 353 and the fourth active region 340, and the second pass transistor PS2 may be defined in vicinity of an intersection of the fourth gate electrode 354 and the fourth active region 340.
  • Sources/drains may be formed at opposite sides of the respective intersections of the first to fourth gate electrodes 351-354 and the first to fourth active regions 310, 320, 330 and 340.
  • In addition, a plurality of contacts 350 may be formed.
  • A shared contact 361 may concurrently connect the second active region 320, a third gate line 353 and an interconnection 371. The shared contact 361 may also concurrently connect the third active region 330, a first gate line 351 and an interconnection 372.
  • For example, the first pull-up transistor PU1 and the second pull-up transistor PU2 may have configurations described above with regard to at least one of the gates shown in FIGS. 6 and 7 and the first pull-down transistor PD1, the first pass transistor PS1, the second pull-down transistor PD2 and the second pass transistor PS2 may have configurations described above with regard to at least one of the gates shown in FIGS. 1 to 5.
  • FIG. 14 is a block diagram of an electronic system including a semiconductor device according to some embodiments of the present inventive concept.
  • Referring to FIG. 14, the electronic system 1100 may include a controller 1110, an input/output device (I/O) 1120, a memory device 1130, an interface 1140 and a bus 1150. The controller 1110, the I/O 1120, the memory device 1130, and/or the interface 1140 may be connected to each other through the bus 1150. The bus 1150 corresponds to a path through which data moves.
  • The controller 1110 may include at least one of a microprocessor, a digital signal processor, a microcontroller, and logic elements capable of functions similar to those of these elements. The I/O 1120 may include a key pad, a key board, a display device, and so on. The memory device 1130 may store data and/or commands. The interface 1140 may perform functions of transmitting data to a communication network or receiving data from the communication network. The interface 1140 may be wired or wireless. For example, the interface 1140 may include an antenna or a wired/wireless transceiver, and so on. The electronic system 1100 may further include high-speed DRAM and/or SRAM as the operating memory for improving the operation of the controller 1110.
  • Fin electric field effect transistors according to some embodiments of the present inventive concept may be provided in the memory device 1130 or may be provided some components of the controller 1110 or the I/O 1120.
  • The electronic system 1100 may be applied to a personal digital assistant (PDA), a portable computer, a web tablet, a wireless phone, a mobile phone, a digital music player, a memory card, or any type of electronic device capable of transmitting and/or receiving information in a wireless environment.
  • FIGS. 15 and 16 illustrate an exemplary semiconductor system to which semiconductor devices according to some embodiments of the present inventive concept can be employed. FIG. 15 illustrates an example in which a semiconductor device according to an embodiment of the present inventive concept is applied to a tablet PC, and FIG. 16 illustrates an example in which a semiconductor device according to an embodiment of the present inventive concept is applied to a notebook computer. At least one of the semiconductor devices 1 to 9 according to some embodiments of the present inventive concept can be employed to a tablet PC, a notebook computer, and the like. It is obvious to one skilled in the art that the semiconductor devices according to some embodiments of the present inventive concept may also be applied to other IC devices not illustrated herein.
  • A method of fabricating a semiconductor device will be described with reference to FIGS. 17 to 24 together with FIG. 8. FIGS. 17 to 24 illustrate intermediate process steps for explaining a method of fabricating the semiconductor device 7 illustrated in FIG. 8.
  • Referring first to FIG. 17, a substrate 100 having a first region I and a second region II defined therein is provided.
  • A sacrificial gate pattern 119 may be formed on the first region I, and a spacer 120 may be formed on sidewalls of the sacrificial gate pattern 119. The interlayer dielectric film 110 may surround the sacrificial gate pattern 119 and the spacer 120, and a top surface of the sacrificial gate pattern 119 may be exposed.
  • A sacrificial gate pattern 219 may be formed on the second region II, and a spacer 220 may be formed on sidewalls of the sacrificial gate pattern 219. The interlayer dielectric film 210 may surround the sacrificial gate pattern 219 and the spacer 220, and a top surface of the sacrificial gate pattern 219 may be exposed.
  • Each of the sacrificial gate patterns 119 and 219 may include, for example, polysilicon, but aspects of the present inventive concept are not limited thereto.
  • Referring to FIG. 18, the sacrificial gate patterns 119 and 219 may be removed, thereby completing the interlayer dielectric film 110 including the trench 112 in the first region I, and completing the interlayer dielectric film 210 including the trench 212 in the second region II.
  • Next, a gate insulating film 130 a may be formed in the trench 112 and a gate insulating film 230 a may be formed in the trench 212. In detail, the gate insulating film 130 a may be conformally formed along the top surface of the interlayer dielectric film 110 and the sidewalls and bottom surface of the trench 112. The gate insulating film 230 a may be conformally formed along the top surface of the interlayer dielectric film 210 and the sidewalls and bottom surface of the trench 212. The gate insulating film 130 a and the gate insulating film 230 a may be high-k films.
  • Referring to FIG. 19, P type work function control films 150 a and 250 a may be formed on the gate insulating films 130 a and 230 a.
  • As shown in FIG. 19, the P type work function control films 150 a and 250 a may be conformally formed along the top surface of the interlayer dielectric film 110 and the sidewalls and bottom surface of the trench 112, and the top surface of the interlayer dielectric film 210 and the sidewalls and bottom surface of the trench 212, respectively.
  • The P type work function control films 150 a and 250 a may include, for example, TiN.
  • Referring to FIG. 20, the P type work function control film 150 a formed on the first region I may be removed, and the P type work function control film 250 a formed on the second region II may be made to remain. The P type work function control film 250 a may remain on the gate insulating film 230 a in the trench 212.
  • Referring to FIG. 21, an N type work function control film 170 a may be formed on the gate insulating film 130 a in the trench 112 and an N type work function control film 270 a may be formed on the P type work function control film 250 a in the trench 212.
  • As shown in FIG. 21, the N type work function control films 170 a and 270 a may be conformally formed along the top surface of the interlayer dielectric film 110 and the sidewalls and bottom surface of the trench 112, and the top surface of the interlayer dielectric film 210 and the sidewalls and bottom surface of the trench 212, respectively.
  • Referring to FIG. 22, a first adhesive film 181 a may be conformally formed on the N type work function control film 170 a in the first region I, and a first adhesive film 281 a may be conformally formed on the N type work function control film 270 a in the second region II.
  • Subsequently, a first metal gate material film 191 a may be formed on the first adhesive film 181 a in the first region I to sufficiently cover the trench 112, and a first metal gate material film 291 a may be formed on the first adhesive film 281 a in the second region II to sufficiently cover the trench 212.
  • Referring to FIG. 23, portions of the first metal gate material film 191 a, the first adhesive film 181 a, and the N type work function control film 170 a formed in the first region I may be removed, and portions of the first metal gate material film 291 a, the first adhesive film 281 a, the N type work function control film 270 a and the P type work function control film 250 a formed in the second region II may be removed. As the result, in the first region I, each of the first adhesive film 181 and the N type work function control film 170 may have an acute angle with respect to the sidewall of the trench 112. In the second region II, each of the second adhesive film 281, the N type work function control film 270 and the P type work function control film 250 may have an acute angle with respect to the sidewall of the trench 212.
  • Here, reactive ion etching (RIE), for example, may be used. In detail, corner portions of the first adhesive film 181 a and the N type work function control film 170 a, on which electric fields concentrate, may be more etched. Likewise, corner portions of the first adhesive film 281 a, the N type work function control film 270 a and the P type work function control film 250 a, on which electric fields concentrate, may be much more etched. The corner portions of the first adhesive film 181 a and the N type work function control film 170 a, which are positioned in the entrance of the trench 112 in the first region I, may be etched. Likewise, the corner portions of the first adhesive film 281 a, the N type work function control film 270 a and the P type work function control film 250 a, which are positioned in the entrance of the trench 212 in the second region II, may be etched. In such a manner, as shown in FIG. 23, the completed N type work function control film 270 and the completed P type work function control film 250 may be chamfered.
  • In other words, in the first region I, portions of the first adhesive film 181 a and the N type work function control film 170 a which are closer to the first metal gate material film 191 a are etched more than portions of the first adhesive film 181 a and the N type work function control film 170 a which are closer to the gate insulating film 130 a, producing the completed first adhesive film 181 and the completed N type work function control film 170 each with a chamfered edge at an opening of the trench 112 defining an acute angle with respect to the sidewall of the trench 112. Similarly, in the second region II, portions of the first adhesive film 281 a, the N type work function control film 170 a, and the P type work function control film 250 a which are closer to the first metal gate material film 291 a are etched more than portions of the first adhesive film 281 a, the N type work function control film 270 a, and the P type work function control film 250 a which are closer to the gate insulating film 230 a, producing the completed first adhesive film 281, the completed N type work function control film 270, and the completed P type work function control film 250 each with a chamfered edge at an opening of the trench 212 defining an acute angle with respect to the sidewall of the trench 212.
  • In the first region I, a portion of the first metal gate pattern 191 may remain in the trench 112. The first metal gate pattern 191 may be positioned below the inclined surfaces of the first adhesive film 181 and the N type work function control film 170.
  • In the second region II, a portion of the first metal gate pattern 291 may remain in the trench 212. The first metal gate pattern 291 may be positioned below the inclined surfaces of the first adhesive film 281, the N type work function control film 270 and the P type work function control film 250.
  • Referring to FIG. 24, a second metal gate material film 192 a may be formed to fill the trench 112 and a second metal gate material film 292 a may be formed to fill the trench 212.
  • Referring again to FIG. 8, a planarization process may be performed to expose top surfaces of the interlayer dielectric films 110 and 210. Through the planarization process, a first replacement metal gate of an N type transistor may be completed in the first region I and a second replacement metal gate of a P type transistor may be completed in the second region II.
  • While the present inventive concept has been particularly shown and described with reference to exemplary embodiments thereof, it will be understood by those of ordinary skill in the art that various changes in form and details may be made therein without departing from the spirit and scope of the present inventive concept as defined by the following claims. It is therefore desired that the present embodiments be considered in all respects as illustrative and not restrictive, reference being made to the appended claims rather than the foregoing description to indicate the scope of the inventive concept.

Claims (20)

What is claimed is:
1. A semiconductor device comprising:
a substrate;
a first interlayer dielectric film disposed in a first region of the substrate and including a first trench;
a second interlayer dielectric film disposed in a second region of the substrate and including a second trench;
a first gate insulating film formed along sidewalls and a bottom surface of the first trench;
a second gate insulating film formed along sidewalls and a bottom surface of the second trench;
at least one first work function control layer disposed in the first trench and on the first gate insulating film;
at least one second work function control layer disposed in the second trench and on the second gate insulating film;
a first adhesive film disposed in the first trench and on the at least one first work function control layer;
a second adhesive film disposed in the second trench and on the at least one second work function control layer;
a first metal gate pattern and a second metal gate pattern filling the first trench, the second metal gate pattern being disposed on the first metal gate pattern, the first metal gate pattern being disposed on the first adhesive film; and
a third metal gate pattern and a fourth metal gate pattern filling the second trench, the fourth metal gate pattern being disposed on the third metal gate pattern, the third metal gate pattern being disposed on the second adhesive film,
wherein a shortest distance between a surface of the second metal gate pattern and the first adhesive film is less than a shortest distance between a surface of the fourth metal gate pattern and the second adhesive film.
2. The semiconductor device of claim 1, wherein an N type transistor is formed in the first region of the substrate, and a P type transistor is formed in the second region of the substrate.
3. The semiconductor device of claim 1, wherein the at least one second work function control layer includes a P type work function control film and an N type work function control film formed on the P type work function control film.
4. The semiconductor device of claim 3, wherein the P type work function control film and the second adhesive film are made of the same material.
5. The semiconductor device of claim 3, wherein the P type work function control film and the second adhesive film are made of titanium nitride (TiN).
6. The semiconductor device of claim 1, wherein the at least one first work function control layer includes an N type work function control film.
7. The semiconductor device of claim 6, wherein the N type work function control film is made of TiAlC.
8. The semiconductor device of claim 1, wherein each of the first gate insulating film and the second gate insulting film includes a high-k dielectric material.
9. The semiconductor device of claim 1, wherein the first metal gate pattern includes Al, and the second metal gate pattern includes W.
10. The semiconductor device of claim 1, wherein each of the at least one first work function control layer and the first adhesive film includes a chamfered surface, and
each of the at least one second work function control layer and the second adhesive film includes a chamfered surface.
11. The semiconductor device of claim 1, wherein a width of the first metal gate pattern is greater than a width of the third metal gate pattern
12. A semiconductor device comprising:
a substrate;
a first interlayer dielectric film disposed in a first region of the substrate and including a first trench;
a second interlayer dielectric film disposed in a second region of the substrate and including a second trench;
a first gate insulating film formed along sidewalls and a bottom surface of the first trench;
a second gate insulating film formed along sidewalls and a bottom surface of the second trench;
at least one first work function control layer disposed in the first trench and on the first gate insulating film;
at least one second work function control layer disposed in the second trench and on the second gate insulating film;
a first adhesive film disposed in the first trench and on the at least one first work function control layer;
a second adhesive film disposed in the second trench and on the at least one second work function control layer;
a first metal gate pattern disposed on the first adhesive film and filling the first trench; and
a second metal gate pattern disposed on the second adhesive film and filling the second trench,
wherein a width of a bottom portion of the first metal gate pattern is greater than a width of a bottom portion of the second metal gate pattern.
13. The semiconductor device of claim 12, wherein a shortest distance between a surface of the first metal gate pattern and the first adhesive film is less than a shortest distance between a surface of the second metal gate pattern and the second adhesive film.
14. The semiconductor device of claim 12, wherein the first metal gate pattern includes a first layer and a second layer.
15. The semiconductor device of claim 12, wherein an N type transistor is formed in the first region of the substrate, and a P type transistor is formed in the second region of the substrate.
16. The semiconductor device of claim 12, wherein the semiconductor device is a fin type transistor.
17. A semiconductor device comprising:
a substrate including a fin;
a gate electrode formed on the fin; and
an elevated source/drain disposed at two sides of the gate electrode,
wherein the gate electrode includes:
a first interlayer dielectric film disposed in a first region of the substrate and including a first trench;
a second interlayer dielectric film disposed in a second region of the substrate and including a second trench;
a first gate insulating film formed along sidewalls and a bottom surface of the first trench;
a second gate insulating film formed along sidewalls and a bottom surface of the second trench;
a first adhesive film disposed in the first trench; and
a second adhesive film disposed in the second trench,
wherein a shortest distance between the fin and the first adhesive film is less than a shortest distance between the fin and the second adhesive film.
18. The semiconductor device of claim 17, wherein the gate electrode includes at least one first work function control layer disposed in the first trench and on the first gate insulating film and at least one second work function control layer disposed in the second trench and on the second gate insulating film.
19. The semiconductor device of claim 17, further comprising a spacer for insulating the elevated source/drain from the gate electrode.
20. The semiconductor device of claim 17, further comprising a first metal gate pattern that is disposed on the first adhesive film and fills the first trench, and a second metal gate pattern that is disposed on the second adhesive film and fills the second trench.
US14/796,382 2013-04-08 2015-07-10 Semiconductor device Abandoned US20150311208A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US14/796,382 US20150311208A1 (en) 2013-04-08 2015-07-10 Semiconductor device

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
KR10-2013-0038107 2013-04-08
KR1020130038107A KR20140121634A (en) 2013-04-08 2013-04-08 Semiconductor device and fabricating method thereof
US14/132,306 US9087886B2 (en) 2013-04-08 2013-12-18 Semiconductor device
US14/796,382 US20150311208A1 (en) 2013-04-08 2015-07-10 Semiconductor device

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US14/132,306 Continuation US9087886B2 (en) 2013-04-08 2013-12-18 Semiconductor device

Publications (1)

Publication Number Publication Date
US20150311208A1 true US20150311208A1 (en) 2015-10-29

Family

ID=51653864

Family Applications (2)

Application Number Title Priority Date Filing Date
US14/132,306 Active US9087886B2 (en) 2013-04-08 2013-12-18 Semiconductor device
US14/796,382 Abandoned US20150311208A1 (en) 2013-04-08 2015-07-10 Semiconductor device

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US14/132,306 Active US9087886B2 (en) 2013-04-08 2013-12-18 Semiconductor device

Country Status (2)

Country Link
US (2) US9087886B2 (en)
KR (1) KR20140121634A (en)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20150115375A1 (en) * 2013-10-24 2015-04-30 Samsung Electronics Co., Ltd. Semiconductor devices and methods of manufacturing the same
US20170186746A1 (en) * 2015-12-29 2017-06-29 Samsung Electronics Co., Ltd. Semiconductor device and method for manufacturing the same
WO2017171843A1 (en) * 2016-04-01 2017-10-05 Intel Corporation Transistor gate trench engineering to decrease capacitance and resistance
US9941376B2 (en) 2015-04-30 2018-04-10 Taiwan Semiconductor Manufacturing Company, Ltd. Metal gate scheme for device and methods of forming
US20190393077A1 (en) * 2018-06-25 2019-12-26 Globalfoundries Inc. Method of forming semiconductor material in trenches having different widths, and related structures
US20210375698A1 (en) * 2020-05-26 2021-12-02 Taiwan Semiconductor Manufacturing Co., Ltd. Gate structures for semiconductor devices

Families Citing this family (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10056462B2 (en) * 2014-08-13 2018-08-21 Taiwan Semiconductor Manufacturing Company Ltd. Metal gate structure and manufacturing method thereof
KR102265718B1 (en) * 2014-08-29 2021-06-16 인텔 코포레이션 Technique for filling high aspect ratio, narrow structures with multiple metal layers and associated configurations
TWI653762B (en) * 2014-10-08 2019-03-11 聯華電子股份有限公司 Semiconductor device having metal gate and method for manufacturing the same
KR102231205B1 (en) * 2014-11-19 2021-03-25 삼성전자주식회사 Semiconductor device and method for fabricating the same
KR102263765B1 (en) 2015-04-08 2021-06-09 에스케이하이닉스 주식회사 semiconductor element, method of fabricating the semiconductor, and semiconductor device including the same
US10411113B2 (en) 2015-05-22 2019-09-10 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of semiconductor device structure
KR20160148795A (en) * 2015-06-16 2016-12-27 삼성전자주식회사 Semiconductor device and method for manufacturing the same
US10861701B2 (en) * 2015-06-29 2020-12-08 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
TWI653673B (en) 2015-08-27 2019-03-11 聯華電子股份有限公司 Semiconductor structure and manufacturing method thereof
KR20170047953A (en) * 2015-10-26 2017-05-08 삼성전자주식회사 Semiconductor device and method of fabricating the same
US9748350B2 (en) * 2015-10-30 2017-08-29 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure with enlarged gate electrode structure and method for forming the same
US9673331B2 (en) 2015-11-02 2017-06-06 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and formation method of semiconductor device structure
US9780092B2 (en) 2016-02-19 2017-10-03 Taiwan Semiconductor Manufacturing Company Limited Semiconductor device having a filling conductor comprising a plug portion and a cap portion and manufacturing method thereof
US10043886B2 (en) * 2016-08-03 2018-08-07 Taiwan Semiconductor Manufacturing Company, Ltd. Metal gate formation through etch back process
US9929250B1 (en) 2016-09-27 2018-03-27 International Business Machines Corporation Semiconductor device including optimized gate stack profile
US10937783B2 (en) * 2016-11-29 2021-03-02 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
KR102303300B1 (en) * 2017-08-04 2021-09-16 삼성전자주식회사 Semiconductor device
KR102560369B1 (en) * 2018-05-18 2023-07-28 삼성전자주식회사 Semiconductor devices
US10276391B1 (en) * 2018-06-13 2019-04-30 Globalfoundries Inc. Self-aligned gate caps with an inverted profile
US11152222B2 (en) * 2019-08-06 2021-10-19 Taiwan Semiconductor Manufacturing Company, Ltd. Dishing prevention structure embedded in a gate electrode
US11430652B2 (en) * 2019-09-16 2022-08-30 Taiwan Semiconductor Manufacturing Company, Ltd. Controlling threshold voltages through blocking layers

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20120217590A1 (en) * 2011-02-28 2012-08-30 Globalfoundries Inc. Filling Narrow Openings Using Ion Beam Etch
US20130248985A1 (en) * 2012-03-26 2013-09-26 Globalfoundries Inc. Methods of forming replacement gate structures with a recessed channel

Family Cites Families (43)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6690040B2 (en) 2001-09-10 2004-02-10 Agere Systems Inc. Vertical replacement-gate junction field-effect transistor
JP2003347420A (en) 2002-05-23 2003-12-05 Nec Electronics Corp Semiconductor device and method of manufacturing the same
US6861712B2 (en) * 2003-01-15 2005-03-01 Sharp Laboratories Of America, Inc. MOSFET threshold voltage tuning with metal gate stack control
US7078282B2 (en) 2003-12-30 2006-07-18 Intel Corporation Replacement gate flow facilitating high yield and incorporation of etch stop layers and/or stressed films
US7208361B2 (en) 2004-03-24 2007-04-24 Intel Corporation Replacement gate process for making a semiconductor device that includes a metal gate electrode
US20050272191A1 (en) 2004-06-03 2005-12-08 Uday Shah Replacement gate process for making a semiconductor device that includes a metal gate electrode
KR101100752B1 (en) 2004-06-14 2011-12-30 매그나칩 반도체 유한회사 A method for manufacturing a semiconductor device
US7378286B2 (en) 2004-08-20 2008-05-27 Sharp Laboratories Of America, Inc. Semiconductive metal oxide thin film ferroelectric memory transistor
JP4950599B2 (en) 2006-09-01 2012-06-13 株式会社東芝 Manufacturing method of semiconductor device
US20080076216A1 (en) 2006-09-25 2008-03-27 Sangwoo Pae Method to fabricate high-k/metal gate transistors using a double capping layer process
US7517746B2 (en) * 2007-04-24 2009-04-14 United Microelectronics Corp. Metal oxide semiconductor transistor with Y shape metal gate and fabricating method thereof
US7795097B2 (en) 2007-11-20 2010-09-14 Texas Instruments Incorporated Semiconductor device manufactured by removing sidewalls during replacement gate integration scheme
US8735235B2 (en) * 2008-08-20 2014-05-27 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit metal gate structure and method of fabrication
US8237227B2 (en) * 2008-08-29 2012-08-07 Taiwan Semiconductor Manufacturing Company, Ltd. Dummy gate structure for gate last process
US8030718B2 (en) * 2008-09-12 2011-10-04 Taiwan Semiconductor Manufacturing Company, Ltd. Local charge and work function engineering on MOSFET
US7838356B2 (en) 2008-12-31 2010-11-23 Texas Instruments Incorporated Gate dielectric first replacement gate processes and integrated circuits therefrom
US8062966B2 (en) 2008-12-31 2011-11-22 Texas Instruments Incorporated Method for integration of replacement gate in CMOS flow
US8143131B2 (en) * 2009-03-31 2012-03-27 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating spacers in a strained semiconductor device
US8680629B2 (en) * 2009-06-03 2014-03-25 International Business Machines Corporation Control of flatband voltages and threshold voltages in high-k metal gate stacks and structures for CMOS devices
US8890260B2 (en) 2009-09-04 2014-11-18 Taiwan Semiconductor Manufacturing Company, Ltd. Polysilicon design for replacement gate technology
DE102009047891B4 (en) * 2009-09-30 2012-02-23 GLOBALFOUNDRIES Dresden Module One Ltd. Liability Company & Co. KG A method of fabricating a transistor with improved fill conditions in an exchange gate process by corner rounding prior to complete removal of a dummy material
US20110147831A1 (en) 2009-12-23 2011-06-23 Steigerwald Joseph M Method for replacement metal gate fill
US8513107B2 (en) 2010-01-26 2013-08-20 Taiwan Semiconductor Manufacturing Co., Ltd. Replacement gate FinFET devices and methods for forming the same
US8349678B2 (en) 2010-02-08 2013-01-08 Taiwan Semiconductor Manufacturing Company, Ltd. Laterally diffused metal oxide semiconductor transistor with partially unsilicided source/drain
KR101777662B1 (en) 2010-10-06 2017-09-14 삼성전자 주식회사 Method for forming gate of semiconductor device
US20120119302A1 (en) 2010-11-11 2012-05-17 International Business Machines Corporation Trench Silicide Contact With Low Interface Resistance
US8450169B2 (en) * 2010-11-29 2013-05-28 International Business Machines Corporation Replacement metal gate structures providing independent control on work function and gate leakage current
US8569810B2 (en) 2010-12-07 2013-10-29 International Business Machines Corporation Metal semiconductor alloy contact with low resistance
US8551847B2 (en) 2011-03-24 2013-10-08 United Microelectronics Corp. Method for forming metal gate
US8435845B2 (en) 2011-04-06 2013-05-07 International Business Machines Corporation Junction field effect transistor with an epitaxially grown gate structure
US8841733B2 (en) * 2011-05-17 2014-09-23 United Microelectronics Corp. Semiconductor device and method of fabricating the same
US20120306026A1 (en) * 2011-05-31 2012-12-06 International Business Machines Corporation Replacement gate electrode with a tungsten diffusion barrier layer
US8536038B2 (en) * 2011-06-21 2013-09-17 United Microelectronics Corp. Manufacturing method for metal gate using ion implantation
US8642424B2 (en) * 2011-07-12 2014-02-04 International Business Machines Corporation Replacement metal gate structure and methods of manufacture
US9755039B2 (en) * 2011-07-28 2017-09-05 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device having a metal gate electrode stack
US8461049B2 (en) * 2011-10-11 2013-06-11 United Microelectronics Corp. Method for fabricating semiconductor device
US8772168B2 (en) * 2012-01-19 2014-07-08 Globalfoundries Singapore Pte. Ltd. Formation of the dielectric cap layer for a replacement gate structure
US8796128B2 (en) * 2012-02-07 2014-08-05 International Business Machines Corporation Dual metal fill and dual threshold voltage for replacement gate metal devices
US9142649B2 (en) * 2012-04-23 2015-09-22 United Microelectronics Corp. Semiconductor structure with metal gate and method of fabricating the same
WO2014012091A1 (en) * 2012-07-13 2014-01-16 Acco Brands Corproation Security apparatus with blocking element
US8530317B1 (en) * 2012-08-16 2013-09-10 Taiwan Semiconductor Manufacturing Co., Ltd. Corner rounding to improve metal fill in replacement gate process
US8895434B2 (en) * 2012-11-14 2014-11-25 International Business Machines Corporation Replacement metal gate structure for CMOS device
US9064857B2 (en) * 2012-12-19 2015-06-23 Taiwan Semiconductor Manufacturing Company, Ltd. N metal for FinFET

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20120217590A1 (en) * 2011-02-28 2012-08-30 Globalfoundries Inc. Filling Narrow Openings Using Ion Beam Etch
US20130248985A1 (en) * 2012-03-26 2013-09-26 Globalfoundries Inc. Methods of forming replacement gate structures with a recessed channel

Cited By (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9508820B2 (en) * 2013-10-24 2016-11-29 Samsung Electronics Company, Ltd. Semiconductor devices and methods of manufacturing the same
US20150115375A1 (en) * 2013-10-24 2015-04-30 Samsung Electronics Co., Ltd. Semiconductor devices and methods of manufacturing the same
US10522640B2 (en) 2015-04-30 2019-12-31 Taiwan Semiconductor Manufacturing Company, Ltd. Metal gate scheme for device and methods of forming
US9941376B2 (en) 2015-04-30 2018-04-10 Taiwan Semiconductor Manufacturing Company, Ltd. Metal gate scheme for device and methods of forming
US10998415B2 (en) 2015-04-30 2021-05-04 Taiwan Semiconductor Manufacturing Company, Ltd. Metal gate scheme for device and methods of forming
US20170186746A1 (en) * 2015-12-29 2017-06-29 Samsung Electronics Co., Ltd. Semiconductor device and method for manufacturing the same
US10043803B2 (en) * 2015-12-29 2018-08-07 Samsung Electronics Co., Ltd. Semiconductor device having gate electrodes with stacked metal layers
US10468411B2 (en) 2015-12-29 2019-11-05 Samsung Electronics Co., Ltd. Semiconductor device having a multi-portion gate electrode
WO2017171843A1 (en) * 2016-04-01 2017-10-05 Intel Corporation Transistor gate trench engineering to decrease capacitance and resistance
US10784360B2 (en) 2016-04-01 2020-09-22 Intel Corporation Transistor gate trench engineering to decrease capacitance and resistance
US10714376B2 (en) * 2018-06-25 2020-07-14 Globalfoundries Inc. Method of forming semiconductor material in trenches having different widths, and related structures
US20190393077A1 (en) * 2018-06-25 2019-12-26 Globalfoundries Inc. Method of forming semiconductor material in trenches having different widths, and related structures
US20210375698A1 (en) * 2020-05-26 2021-12-02 Taiwan Semiconductor Manufacturing Co., Ltd. Gate structures for semiconductor devices
US11295989B2 (en) * 2020-05-26 2022-04-05 Taiwan Semiconductor Manufacturing Co., Ltd. Gate structures for semiconductor devices

Also Published As

Publication number Publication date
KR20140121634A (en) 2014-10-16
US20140299939A1 (en) 2014-10-09
US9087886B2 (en) 2015-07-21

Similar Documents

Publication Publication Date Title
US9087886B2 (en) Semiconductor device
US9627380B2 (en) Semiconductor devices having work function adjusting films with chamfered top surfaces
US10497788B2 (en) Semiconductor devices and fabricating methods thereof
US9263521B2 (en) Integrated circuit devices including finFETs and methods of forming the same
US9153692B2 (en) Semiconductor device having a stress film on a side surface of a fin
US10276694B2 (en) Semiconductor device and method of fabricating the same
US20170053921A1 (en) Semiconductor device and method for fabricating the same
US20150357427A1 (en) Integrated Circuit Device with Metal Gates Including Diffusion Barrier Layers and Fabricating Methods Thereof
US9536881B2 (en) Semiconductor devices having fin shaped channels
US20130285143A1 (en) Integrated Circuit Devices Including Stress Proximity Effects and Methods of Fabricating the Same
US9461148B2 (en) Semiconductor device and method of fabricating the same
US9012281B2 (en) Semiconductor device fabrication methods
US9209177B2 (en) Semiconductor devices including gates and dummy gates of different materials
US20140346617A1 (en) Semiconductor device and method for fabricating the same
US9773869B2 (en) Semiconductor device and method of fabricating the same
US20150333075A1 (en) Semiconductor Device
US20140203335A1 (en) Semiconductor Devices and Methods for Fabricating the Same
US9806194B2 (en) FinFET with fin having different Ge doped region
KR20150088172A (en) Semiconductor device and method for fabricating the same

Legal Events

Date Code Title Description
STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION