US20150179652A1 - Patterned structure of semiconductor device - Google Patents

Patterned structure of semiconductor device Download PDF

Info

Publication number
US20150179652A1
US20150179652A1 US14/639,994 US201514639994A US2015179652A1 US 20150179652 A1 US20150179652 A1 US 20150179652A1 US 201514639994 A US201514639994 A US 201514639994A US 2015179652 A1 US2015179652 A1 US 2015179652A1
Authority
US
United States
Prior art keywords
patterned structure
spacers
width
spacer
region
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US14/639,994
Inventor
Chih-Jung Wang
Tong-Yu Chen
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
United Microelectronics Corp
Original Assignee
United Microelectronics Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by United Microelectronics Corp filed Critical United Microelectronics Corp
Priority to US14/639,994 priority Critical patent/US20150179652A1/en
Assigned to UNITED MICROELECTRONICS CORP. reassignment UNITED MICROELECTRONICS CORP. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: CHEN, TONG-YU, WANG, CHIH-JUNG
Publication of US20150179652A1 publication Critical patent/US20150179652A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B10/00Static random access memory [SRAM] devices
    • H01L27/11
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0338Process specially adapted to improve the resolution of the mask
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28123Lithography-related aspects, e.g. sub-lithography lengths; Isolation-related aspects, e.g. to solve problems arising at the crossing with the side of the device isolation; Planarisation aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/84Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being other than a semiconductor body, e.g. being an insulating body
    • H01L21/845Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being other than a semiconductor body, e.g. being an insulating body including field-effect transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET

Definitions

  • the present invention relates generally to the field of patterned structures of semiconductor devices, and more particularly, to a patterned structure with sub-lithographic features.
  • Fin FET fin field effect transistor technology
  • SIT may include the following steps. First, a plurality of dummy patterns is formed on a substrate, wherein the dimension of the dummy patterns is larger than the sub-lithographic features. Next, spacers are formed on the sidewalls of the dummy patterns through a deposition and an etching process. Since the dimension of the spacers may have the sub-lithographic features, patterns of the spacers may be transfer into the substrate by using the spacers as mask. However, this method has it limits and drawbacks, such as all of the spacers can only have the same width. This phenomenon will restrict the applicability of the SIT technique. For example, in static random access memory (SRAM), the layout of spacers is used to define the shape and width of carrier channels. Since the ratio between certain channels will influence the value of static noise margin (SNM) of the SRAM, the value of SNM fails to be increased successively in the conventional SIT technique with all of the spacers have the same widths.
  • SRAM static random access memory
  • the main object of the invention is to provide a patterned structure of a semiconductor device that can solve the problems of the conventional techniques.
  • a patterned structure of a semiconductor device includes a substrate, at least a first patterned structure, and at least a second patterned structure.
  • the first patterned structure is a single-layered structure
  • the second patterned structure is a multi-layered structure.
  • the width of the second patterned structure is greater than the width of the first patterned structure.
  • the present invention provides a patterned structure.
  • the applicability of the pattern transfer technique can be further improved due to the width of each of the patterned structures being not only under the sub-lithographic feature but also different from one another.
  • FIGS. 1-10 are schematic diagrams showing a method for fabricating a patterned structure of a semiconductor device according to several embodiments of the invention, wherein
  • FIGS. 1-6 are schematic, top view and cross-sectional diagrams showing a method for fabricating a patterned structure of a semiconductor device according to one embodiment of the invention
  • FIG. 7 is schematic top view and cross-sectional diagram showing a method for fabricating a patterned structure of a semiconductor device according to another embodiment of the invention.
  • FIGS. 8-10 are schematic top view and cross-sectional diagrams showing a method for fabricating a patterned structure of a semiconductor device according to still another embodiment of the invention.
  • FIG. 11 (A) is a flow chart illustrating a method for fabricating a patterned structure of a semiconductor device according to one embodiment of the present invention.
  • FIG. 11 (B) is a flow chart illustrating a method for fabricating a patterned structure of a semiconductor device according to another one embodiment of the present invention.
  • FIG. 11 (C) is a flow chart illustrating a method for fabricating a patterned structure of a semiconductor device according to still another one embodiment of the present invention.
  • FIGS. 1-6 are schematic, top view and cross-sectional diagrams showing a method for fabricating a patterned structure of a semiconductor device according to one embodiment of the invention; and FIG. 11 (A) is a corresponding flow chart.
  • a step 110 is carried out.
  • a substrate 12 such as a bulk silicon substrate or a silicon-on-insulator substrate, is provided, which can be divided into two regions, i.e. the first region 1 and the second region 2 .
  • a plurality of sacrificial patterns 18 is then formed within the first region 1 and the second region 2 through a regular deposition, photolithography and etching process. Because of the limited capability of the processing machine, a first width W 1 of each of the sacrificial patterns 18 is substantially larger than the minimum exposure limit of the corresponding photolithography process.
  • a cap layer 14 may be optionally formed between the substrate 12 and the sacrificial patterns 18 before the formation of the sacrificial patterns 18 .
  • the cap layer 14 can serve not only as a mask in the following pattern transfer process but also be used as a protective layer to protect the substrate 12 from unwanted damages.
  • the phrase like “minimum exposure limit of the corresponding photolithographic process”throughout the specification should be regarded as a dimension under which it is impossible to obtain a “sub-lithographic feature” by regular lithographic and etching processes, that is to say, the size of the minimum exposure limit of the corresponding photolithography process is larger than the sub-lithographic feature.
  • a step 112 is carried out.
  • the material layer 22 may be selected from a material having an etching rate different from that of the sacrificial patterns 18 and cap layer 14 under a same etching recipe, such as silicon nitride, silicon oxide, silicon oxynitride, silicon carbide or the like. Please refer to FIGS. 2 (B) and (C), wherein the FIG. 2 (B) is a top view of FIG. 2 (C).
  • the material layer 22 can be blanketly etched (etched without mask) into a first spacer 26 on the sidewalls 20 of each of the sacrificial patterns 18 by performing a step 114 .
  • each first spacer 26 has a second width W 2 , wherein the second width W 2 is preferably smaller than the first width W 1 and is preferably under the sub-lithographic feature size. It should be noted that, according to this embodiment, under a same etching recipe, specific etching rate among the sacrificial patterns 18 , the cap layer 14 , the substrate 12 , the material layer 22 and the first spacer are required.
  • the etching rate of the material layer 22 is higher than that of the sacrificial layer 18 and the cap layer 14 under a same etching recipe; the etching rate of the sacrificial layer 18 is higher than that of the first spacer 26 under another same etching recipe; under still another same etching recipe, the etching rate of the cap layer 14 is higher than that of the first spacer 26 .
  • other choices of the etching rates among these materials may still be possible.
  • the etching rate of the cap layer is higher than that of the first spacer 26 and the sacrificial layer 18 .
  • a mask 28 within the first region 1 is formed by carrying out a step 116 , wherein the mask 28 covers each of the sacrificial patterns 18 and each first spacer 26 .
  • the mask 28 may be selected from photoresist or other polymer with similar properties, or it may be an etching stop layer composed of silicon compounds, but is not limited thereto.
  • a step 118 is then carried out, which includes a regular etching process, such as a plasma etching process, to trim each of the first spacer 26 exposed from the mask 28 into a second spacer 30 .
  • each of the second spacers 30 has a third width W 3 which is thinner than the second width W 2 . As shown in FIGS.
  • a step 120 is finally carried out to remove the mask 28 completely so that each of the sacrificial patterns 18 and the first spacers 26 can be exposed.
  • the width of the second spacers 30 is thinner than the width of the first spacers 26 .
  • both of the second width W 2 and the third width W 3 are substantially smaller than the sub-lithographic feature size.
  • the sacrificial patterns 18 within the first region 1 and the second region 2 are removed completely while patterns of the first spacers 26 and the second spacers 30 are transferred to the substrate 12 through a pattern transfer process, like a sidewall image transfer (SIT).
  • a pattern transfer process may include a plurality of etching processes and a corresponding preferred embodiment is described as follows.
  • the sacrificial patterns 18 are removed completely by using a regular etching process, such as dry etching or wet etching, so that only the first spacers 26 and the second spacers 30 are on the cap layer 14 .
  • first spacers 26 and the second spacers 30 are etched away. Then, by using the first spacers 26 and the second spacers 30 as masks, one or more than one anisotropic etching processes are carried out to sequentially etch down to the cap layer 14 and/or to the substrate 12 . At this time, the patterns defined by the first spacers 26 and the second spacers 30 can be transferred to the cap layer 14 and/or the substrate 12 .
  • the width of each of the first spacers 26 and the second spacers 30 may be trimmed away slightly, therefore, the first patterned structure and the second patterned structure 48 are thinner than the corresponding second width W 2 and the corresponding third width W 3 .
  • the width of the first patterned structure 46 and the second patterned structure 48 is preferably identical to the corresponding second width W 2 and the corresponding third width W 3
  • first spacers 26 , the second spacers 30 , a first mask pattern 40 and a second mask pattern 42 are removed completely to expose the first patterned structures 46 and the second patterned structures 48 .
  • portions of the first patterned structures 46 and the second patterned structures 48 are cut off.
  • a gate formation process is then carried to fabricate several gate structures 60 , 62 and 66 overlaying the respective patterned structures 46 and 48 so that a SRAM structure with six FET is obtained ( 6 T-SRAM). Since the gate formation process is not a new feature in the present invention, its description is therefore omitted for the sake of clarity.
  • patterns of the first spacer 26 and the second spacer 30 are directly transferred into the substrate 12 , that is to say, it can be seen as a positive image transfer.
  • the patterned structures in the substrate 12 may be a negative image of the patterns of the spacers.
  • the method for fabricating the patterned structures with the negative image will be described in detail.
  • the sacrificial patterns 18 are removed by applying a suitable etching process.
  • At least a deposition and a planarization process are carried out to form a layer of filler; in this case, the filler (not shown) can replace the sacrificial patterns 18 and cover the space exposed from the first spacers 26 and the second spacers 30 .
  • a portion of the first spacers 26 and the second spacers 30 may be exposed from the filler during the planarization process.
  • the first spacers 26 and the second spacers 30 are removed concurrently or separately so that a plurality of trench patterns (not shown) with different widths is formed in the filler layer.
  • a pattern transfer process is further carried out to transfer the trench patterns into the cap layer 14 and/or the substrate 12 by using the trench patterns as masks.
  • the pattern transfer process may include one or more than one anisotropic etching processes. At this time, the negative image defined by the trench patterns is obtained.
  • the present invention further includes a second embodiment.
  • a fabrication method according to this embodiment is almost similar and complementary to the first embodiment shown in the FIGS. 1-6 .
  • the first spacers 26 within the first region 1 and the second region 2 are not formed simultaneously.
  • FIG. 7 accompanied with FIG. 11 (B).
  • the step shown in FIG. 7 is subsequent to the step shown in FIG. 2 (B).
  • a mask 28 is formed by carrying out the step 128 , wherein the mask 28 can cover the sacrificial patterns 18 and the material layer 22 within the first region 1 .
  • the mask 28 may be selected from photoresist or another polymer with similar properties, or it may be an etching stop layer composed of silicon compounds. Then, a step 130 is carried out. The material layer 22 exposed from the mask 28 can be etched into a first spacer 26 on the sidewalls 20 of each sacrificial pattern 18 within the second region 2 . At this time, each of the sacrificial patterns 18 within the first region 1 is still covered by the material layer 22 so that there is no first spacer 26 within the first region 1 . The mask layer 28 is removed by performing a step 132 .
  • a step 134 is carried out, which includes a regular etching process, such as a plasma etching process, to simultaneously trim each of the first spacers 26 into the second spacer 30 and etch the material layer 22 overlaying the sacrificial patterns 18 into a first spacer 26 .
  • the first spacer 26 is on the sidewall 20 of each of the sacrificial patterns 18 within the first region 1 , as shown in FIG. 4 .
  • each of the second spacers 30 has a third width W 3 which is thinner than the second width W 2 . Additionally, both of the second width W 2 and third width W 3 have sub-lithographic features.
  • the main feature of the embodiment is that the mask 28 is removed first before forming the first spacers 26 and the second spacer 30 within the first region 1 and the second region 2 respectively.
  • the second embodiment can also be integrated into another semiconductor fabricating processes.
  • the following pattern transfer process is like the process described in the first embodiment and patterned structures may also be positive images or negative images corresponding to the spacer patterns, and the detailed description will therefore be omitted for the sake of clarity.
  • the present invention further includes a third embodiment.
  • FIGS. 8-9 accompanied with the flow chart shown in FIG. 11 .
  • a fabrication method shown in the FIGS. 8-9 is almost similar and complementary to the first embodiment shown in the FIGS. 1-6 .
  • the first spacer 26 within the second region 2 is removed before forming a second spacer 27 within the first region 1 and the second region 2 respectively.
  • FIG. 8 A step shown in FIG. 8 is subsequent to the step shown in FIG. 2 .
  • the mask 28 is formed by carrying out the step 116 , wherein the mask 28 can cover the sacrificial patterns 18 within the first region.
  • the mask 28 may be selected from photoresist or other polymer with similar property, or it may be an etching stop layer composed of silicon compounds.
  • a step 122 is then carried out. All the first spacers 26 within the second region 2 are removed completely through a regular etching process, such as a wet etching or a dry etching, so that the sidewalls of each of the sacrificial patterns 18 is not covered by any layer.
  • a step 124 is performed to remove the mask 28 .
  • a second spacer 27 is formed separately around the sidewalls of each of the sacrificial patterns 18 through a deposition and an etching process. At this time, only the second spacer 27 exist on the sidewalls 20 of each of the sacrificial patterns 18 within the second region 2 , while a first stacked-spacer 31 , which comprises the first spacer 26 and the second spacer 27 , is on the sidewalls 20 of each of the sacrificial patterns 18 within the first region 1 .
  • a fourth width W 4 of the second spacer 27 and/or the width of the first stacked-spacer 31 have the sub-lithographic features, and the fourth width W 4 is thinner than the width of the first stacked-spacer 31 .
  • the embodiment can also be integrated into other related semiconductor fabricating processes.
  • the following pattern transfer process is like the process described in the first embodiment and patterned structures may also be positive images or negative images corresponding to the spacer patterns, and the detailed description will therefore be omitted for the sake of clarity.
  • the substrate 12 is only defined with two regions, i.e. the first region 1 and the second region 2 , and there are only the first spacers 26 and the second spacers 27 and 30 formed on the substrate 12 .
  • the substrate 12 may however be defined with more than two regions and formed with more than two spacers.
  • FIG. 10 accompanied with the corresponding FIGS. 1-2 , 8 - 9 .
  • a step shown in FIG. 10 is subsequent to the step shown in FIG. 9 , as similar to the step in FIG.
  • a mask (not shown) is formed to cover the sacrificial patterns 18 within the first region 1 and the second region 2 , followed by performing a second etching process to completely remove the second spacer 27 within the third region 3 .
  • a deposition and an etching process are further carried out so that a third spacer 29 is formed around the sidewalls 20 of each of the sacrificial patterns 18 within the first region 1 , the second region 2 and the third region 3 .
  • each of the third spacers 29 has a fifth width W 5 .
  • a second stacked-spacer 33 which comprises the first spacer 26 , the second spacer 27 and the third spacer 29 , is on the sidewalls 20 of each of the sacrificial patterns 18 within the first region 1 .
  • the third spacers 29 , the second stacked-spacer 33 and the first stacked-spacer 31 have the sub-lithographic features. Therefore, by applying the concept to the various embodiments, a stacked-spacer with more than two layers can be formed around the sidewalls 20 of each of the sacrificial patterns 18 . This way the applicability of the spacer structures within the sub-lithographic feature size can be further increased.
  • the SRAM structure is provided in each embodiment. According to different requirements, the SRAM structure may however be equally replaced with another semiconductor device, such as a device in a logic circuitry. Furthermore, the method for fabricating the patterned structures can be applied to the process for fabricating contact plugs or interconnections so that the physical size of the contact plugs or the interconnections may have the sub-lithographic feature.
  • the present invention provides a patterned structure of a semiconductor device and a fabricating method thereof, wherein at least a first patterned structure 46 and at least a second patterned structure 48 are disposed on the substrate 12 .
  • the first patterned structure 46 extends parallel to the second patterned structure 48 .
  • the first patterned structure 46 and the second patterned structure 48 have a second width W 2 (also called first line width) and a third width W 3 (also called second line width) respectively, and the second width W 2 is twice as wide as the third width W 3 , and the third width W 3 has a sub-lithographic feature.
  • the present invention provides the patterned structure 46 and 48 with different widths and with the sub-lithographic feature by utilizing the SIT technique accompanied with suitable etching processes. Therefore, the SNM of the DRAM can be increased successively.
  • references to “one embodiment” or “an embodiment” means that a particular feature, structure or characteristic described therein is included in at least one embodiment.
  • the appearances of the phrase “in one embodiment” or ‘in an embodiment” appearing in various places throughout the specification are not necessarily all referring to the same embodiment.

Abstract

A patterned structure of a semiconductor device includes a substrate, at least a first patterned structure, and at least a second patterned structure. The first patterned structure is a single-layered structure, and the second patterned structure is a multi-layered structure. The width of the second patterned structure is greater than the width of the first patterned structure.

Description

    CROSS REFERENCE TO RELATED APPLICATIONS
  • The application is a division of U.S. application Ser. No. 13/417,299, filed on Mar. 11, 2012, the disclosure of which is hereby incorporated herein by reference in its entirety.
  • BACKGROUND OF THE INVENTION
  • 1. Field of the Invention
  • The present invention relates generally to the field of patterned structures of semiconductor devices, and more particularly, to a patterned structure with sub-lithographic features.
  • 2. Description of the Prior Art
  • With the trend in the industry being towards scaling down the size of metal oxide semiconductor transistors (MOS), three-dimensional or non-planar transistor technology, such as fin field effect transistor technology (Fin FET) has been developed to replace planar MOS transistors. In current techniques, in order to meet the sub-lithographic features, a regular photolithography and an etching process accompanied with a pull back process are provided to form fin structures in the Fin FET. Additionally, semiconductor device manufacturers also utilize a pattern transfer technique, such as sidewall image transfer (SIT) to form required fin structures.
  • In general, SIT may include the following steps. First, a plurality of dummy patterns is formed on a substrate, wherein the dimension of the dummy patterns is larger than the sub-lithographic features. Next, spacers are formed on the sidewalls of the dummy patterns through a deposition and an etching process. Since the dimension of the spacers may have the sub-lithographic features, patterns of the spacers may be transfer into the substrate by using the spacers as mask. However, this method has it limits and drawbacks, such as all of the spacers can only have the same width. This phenomenon will restrict the applicability of the SIT technique. For example, in static random access memory (SRAM), the layout of spacers is used to define the shape and width of carrier channels. Since the ratio between certain channels will influence the value of static noise margin (SNM) of the SRAM, the value of SNM fails to be increased successively in the conventional SIT technique with all of the spacers have the same widths.
  • In order to overcome the above-mentioned drawbacks, there is a need to provide patterned structures and a novel fabrication method thereof so that the patterned structures with sub-lithographic features can have variable width through a simple and convenient way.
  • SUMMARY OF THE INVENTION
  • The main object of the invention is to provide a patterned structure of a semiconductor device that can solve the problems of the conventional techniques.
  • According to one embodiment of the present invention, a patterned structure of a semiconductor device is disclosed and includes a substrate, at least a first patterned structure, and at least a second patterned structure. The first patterned structure is a single-layered structure, and the second patterned structure is a multi-layered structure. The width of the second patterned structure is greater than the width of the first patterned structure.
  • In summary, the present invention provides a patterned structure. The applicability of the pattern transfer technique can be further improved due to the width of each of the patterned structures being not only under the sub-lithographic feature but also different from one another.
  • These and other objectives of the present invention will no doubt become obvious to those of ordinary skill in the art after reading the following detailed description of the preferred embodiment that is illustrated in the various figures and drawings.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • The accompanying drawings are included to provide a further understanding of the embodiments, and are incorporated in and constitute apart of this specification. The drawings illustrate some of the embodiments and, together with the description, serve to explain their principles. In the drawings:
  • FIGS. 1-10 are schematic diagrams showing a method for fabricating a patterned structure of a semiconductor device according to several embodiments of the invention, wherein
  • FIGS. 1-6 are schematic, top view and cross-sectional diagrams showing a method for fabricating a patterned structure of a semiconductor device according to one embodiment of the invention;
  • FIG. 7 is schematic top view and cross-sectional diagram showing a method for fabricating a patterned structure of a semiconductor device according to another embodiment of the invention; and
  • FIGS. 8-10 are schematic top view and cross-sectional diagrams showing a method for fabricating a patterned structure of a semiconductor device according to still another embodiment of the invention.
  • FIG. 11 (A) is a flow chart illustrating a method for fabricating a patterned structure of a semiconductor device according to one embodiment of the present invention.
  • FIG. 11 (B) is a flow chart illustrating a method for fabricating a patterned structure of a semiconductor device according to another one embodiment of the present invention.
  • FIG. 11 (C) is a flow chart illustrating a method for fabricating a patterned structure of a semiconductor device according to still another one embodiment of the present invention.
  • It should be noted that all the figures are diagrammatic. Relative dimensions and proportions of parts of the drawings have been shown exaggerated or reduced in size, for the sake of clarity and convenience in the drawings. The same reference signs are generally used to refer to corresponding or similar features in modified and different embodiments.
  • DETAILED DESCRIPTION
  • In the following description, numerous specific details are given to provide a thorough understanding of the invention. It will, however, be apparent to one skilled in the art that the invention may be practiced without these specific details. Furthermore, some well-known system configurations and process steps are not disclosed in detail, as these should be well-known to those skilled in the art.
  • Likewise, the drawings showing embodiments of the apparatus are not to scale and some dimensions are exaggerated for clarity of presentation. Also, where multiple embodiments are disclosed and described as having some features in common, like or similar features will usually be described with same reference numerals for ease of illustration and description thereof.
  • Please refer to FIGS. 1-6 accompanied with FIG. 11 (A). FIGS. 1-6 are schematic, top view and cross-sectional diagrams showing a method for fabricating a patterned structure of a semiconductor device according to one embodiment of the invention; and FIG. 11 (A) is a corresponding flow chart. As shown in FIGS. 1 (A) and (B), wherein FIG. 1 (B) is a cross-sectional diagram taken along a line AA′ in FIG. 1 (A), a step 110 is carried out. First, a substrate 12, such as a bulk silicon substrate or a silicon-on-insulator substrate, is provided, which can be divided into two regions, i.e. the first region 1 and the second region 2. A plurality of sacrificial patterns 18, such as doped or undoped polysilicon, is then formed within the first region 1 and the second region 2 through a regular deposition, photolithography and etching process. Because of the limited capability of the processing machine, a first width W1 of each of the sacrificial patterns 18 is substantially larger than the minimum exposure limit of the corresponding photolithography process. In addition, a cap layer 14 may be optionally formed between the substrate 12 and the sacrificial patterns 18 before the formation of the sacrificial patterns 18. The cap layer 14 can serve not only as a mask in the following pattern transfer process but also be used as a protective layer to protect the substrate 12 from unwanted damages. It is worth noting that, the phrase like “minimum exposure limit of the corresponding photolithographic process”throughout the specification should be regarded as a dimension under which it is impossible to obtain a “sub-lithographic feature” by regular lithographic and etching processes, that is to say, the size of the minimum exposure limit of the corresponding photolithography process is larger than the sub-lithographic feature.
  • Then, a step 112 is carried out. As shown in FIG. 2, at least a material layer 22 is formed to cover each of the sacrificial patterns 18 conformally. The material layer 22 may be selected from a material having an etching rate different from that of the sacrificial patterns 18 and cap layer 14 under a same etching recipe, such as silicon nitride, silicon oxide, silicon oxynitride, silicon carbide or the like. Please refer to FIGS. 2 (B) and (C), wherein the FIG. 2 (B) is a top view of FIG. 2 (C). The material layer 22 can be blanketly etched (etched without mask) into a first spacer 26 on the sidewalls 20 of each of the sacrificial patterns 18 by performing a step 114. At this time, each first spacer 26 has a second width W2, wherein the second width W2 is preferably smaller than the first width W1 and is preferably under the sub-lithographic feature size. It should be noted that, according to this embodiment, under a same etching recipe, specific etching rate among the sacrificial patterns 18, the cap layer 14, the substrate 12, the material layer 22 and the first spacer are required. For example, the etching rate of the material layer 22 is higher than that of the sacrificial layer 18 and the cap layer 14 under a same etching recipe; the etching rate of the sacrificial layer 18 is higher than that of the first spacer 26 under another same etching recipe; under still another same etching recipe, the etching rate of the cap layer 14 is higher than that of the first spacer 26. Additionally, other choices of the etching rates among these materials may still be possible. For example, under a same etching recipe, the etching rate of the cap layer is higher than that of the first spacer 26 and the sacrificial layer 18.
  • Please refer to FIGS. 3 (A) and (B). A mask 28 within the first region 1 is formed by carrying out a step 116, wherein the mask 28 covers each of the sacrificial patterns 18 and each first spacer 26. The mask 28 may be selected from photoresist or other polymer with similar properties, or it may be an etching stop layer composed of silicon compounds, but is not limited thereto. A step 118 is then carried out, which includes a regular etching process, such as a plasma etching process, to trim each of the first spacer 26 exposed from the mask 28 into a second spacer 30. At this time, each of the second spacers 30 has a third width W3 which is thinner than the second width W2. As shown in FIGS. 4 (A) and (B), a step 120 is finally carried out to remove the mask 28 completely so that each of the sacrificial patterns 18 and the first spacers 26 can be exposed. Through the above-described trimming process, the width of the second spacers 30 is thinner than the width of the first spacers 26. Additionally, both of the second width W2 and the third width W3 are substantially smaller than the sub-lithographic feature size.
  • As shown in FIGS. 5 (A) and (B), the sacrificial patterns 18 within the first region 1 and the second region 2 are removed completely while patterns of the first spacers 26 and the second spacers 30 are transferred to the substrate 12 through a pattern transfer process, like a sidewall image transfer (SIT). It should be noted that the pattern transfer process may include a plurality of etching processes and a corresponding preferred embodiment is described as follows. First, the sacrificial patterns 18 are removed completely by using a regular etching process, such as dry etching or wet etching, so that only the first spacers 26 and the second spacers 30 are on the cap layer 14. In this etching process, since the etching rate of the sacrificial layer 18 is higher than that of the first spacer 26 and the second spacer 30, only slight or even no first spacers 26 and second spacers 30 are etched away. Then, by using the first spacers 26 and the second spacers 30 as masks, one or more than one anisotropic etching processes are carried out to sequentially etch down to the cap layer 14 and/or to the substrate 12. At this time, the patterns defined by the first spacers 26 and the second spacers 30 can be transferred to the cap layer 14 and/or the substrate 12.
  • It is worth noting that, in the above pattern transfer process, the width of each of the first spacers 26 and the second spacers 30 may be trimmed away slightly, therefore, the first patterned structure and the second patterned structure 48 are thinner than the corresponding second width W2 and the corresponding third width W3. However, the width of the first patterned structure 46 and the second patterned structure 48 is preferably identical to the corresponding second width W2 and the corresponding third width W3
  • Finally, other related semiconductor fabricating processes can be further carried out. As shown in FIG. 6, the first spacers 26, the second spacers 30, a first mask pattern 40 and a second mask pattern 42 are removed completely to expose the first patterned structures 46 and the second patterned structures 48. Then, portions of the first patterned structures 46 and the second patterned structures 48 are cut off. A gate formation process is then carried to fabricate several gate structures 60, 62 and 66 overlaying the respective patterned structures 46 and 48 so that a SRAM structure with six FET is obtained (6T-SRAM). Since the gate formation process is not a new feature in the present invention, its description is therefore omitted for the sake of clarity.
  • In the preceding paragraph, patterns of the first spacer 26 and the second spacer 30 are directly transferred into the substrate 12, that is to say, it can be seen as a positive image transfer. The patterned structures in the substrate 12, however, may be a negative image of the patterns of the spacers. In the following paragraph, the method for fabricating the patterned structures with the negative image will be described in detail. First, after the step 120 illustrated in the FIG. 4 is completed, the sacrificial patterns 18 are removed by applying a suitable etching process. Then, at least a deposition and a planarization process are carried out to form a layer of filler; in this case, the filler (not shown) can replace the sacrificial patterns 18 and cover the space exposed from the first spacers 26 and the second spacers 30. In addition, a portion of the first spacers 26 and the second spacers 30 may be exposed from the filler during the planarization process. Then, the first spacers 26 and the second spacers 30 are removed concurrently or separately so that a plurality of trench patterns (not shown) with different widths is formed in the filler layer. A pattern transfer process is further carried out to transfer the trench patterns into the cap layer 14 and/or the substrate 12 by using the trench patterns as masks. Similarly, the pattern transfer process may include one or more than one anisotropic etching processes. At this time, the negative image defined by the trench patterns is obtained.
  • In addition, the present invention further includes a second embodiment. A fabrication method according to this embodiment is almost similar and complementary to the first embodiment shown in the FIGS. 1-6. However, in this embodiment, the first spacers 26 within the first region 1 and the second region 2 are not formed simultaneously. In the following paragraph, only difference parts between these two embodiments are described for the sake of brevity and the similar parts can be understood by reference to corresponding FIGS. 1-6. Please refer to FIG. 7 accompanied with FIG. 11 (B). The step shown in FIG. 7 is subsequent to the step shown in FIG. 2 (B). First, a mask 28 is formed by carrying out the step 128, wherein the mask 28 can cover the sacrificial patterns 18 and the material layer 22 within the first region 1. Similarly, the mask 28 may be selected from photoresist or another polymer with similar properties, or it may be an etching stop layer composed of silicon compounds. Then, a step 130 is carried out. The material layer 22 exposed from the mask 28 can be etched into a first spacer 26 on the sidewalls 20 of each sacrificial pattern 18 within the second region 2. At this time, each of the sacrificial patterns 18 within the first region 1 is still covered by the material layer 22 so that there is no first spacer 26 within the first region 1. The mask layer 28 is removed by performing a step 132. Finally, a step 134 is carried out, which includes a regular etching process, such as a plasma etching process, to simultaneously trim each of the first spacers 26 into the second spacer 30 and etch the material layer 22 overlaying the sacrificial patterns 18 into a first spacer 26. In this case, the first spacer 26 is on the sidewall 20 of each of the sacrificial patterns 18 within the first region 1, as shown in FIG. 4. Similarly, each of the second spacers 30 has a third width W3 which is thinner than the second width W2. Additionally, both of the second width W2 and third width W3 have sub-lithographic features. Unlike in the first embodiment, the main feature of the embodiment is that the mask 28 is removed first before forming the first spacers 26 and the second spacer 30 within the first region 1 and the second region 2 respectively. Similarly, the second embodiment can also be integrated into another semiconductor fabricating processes. The following pattern transfer process is like the process described in the first embodiment and patterned structures may also be positive images or negative images corresponding to the spacer patterns, and the detailed description will therefore be omitted for the sake of clarity.
  • The present invention further includes a third embodiment. Please refer to FIGS. 8-9 accompanied with the flow chart shown in FIG. 11. A fabrication method shown in the FIGS. 8-9 is almost similar and complementary to the first embodiment shown in the FIGS. 1-6. In this embodiment, however, the first spacer 26 within the second region 2 is removed before forming a second spacer 27 within the first region 1 and the second region 2 respectively. In the following paragraph, only different parts between these two embodiments are described for the sake of brevity, since the similar parts can be understood by reference to corresponding FIGS. 1-6. Please refer to FIG. 8. A step shown in FIG. 8 is subsequent to the step shown in FIG. 2. First, the mask 28 is formed by carrying out the step 116, wherein the mask 28 can cover the sacrificial patterns 18 within the first region. As said before, the mask 28 may be selected from photoresist or other polymer with similar property, or it may be an etching stop layer composed of silicon compounds. A step 122 is then carried out. All the first spacers 26 within the second region 2 are removed completely through a regular etching process, such as a wet etching or a dry etching, so that the sidewalls of each of the sacrificial patterns 18 is not covered by any layer. As shown in FIG. 9, a step 124 is performed to remove the mask 28. Then, through performing a step 126, a second spacer 27 is formed separately around the sidewalls of each of the sacrificial patterns 18 through a deposition and an etching process. At this time, only the second spacer 27 exist on the sidewalls 20 of each of the sacrificial patterns 18 within the second region 2, while a first stacked-spacer 31, which comprises the first spacer 26 and the second spacer 27, is on the sidewalls 20 of each of the sacrificial patterns 18 within the first region 1. In addition, a fourth width W4 of the second spacer 27 and/or the width of the first stacked-spacer 31 have the sub-lithographic features, and the fourth width W4 is thinner than the width of the first stacked-spacer 31. Similarly, the embodiment can also be integrated into other related semiconductor fabricating processes. The following pattern transfer process is like the process described in the first embodiment and patterned structures may also be positive images or negative images corresponding to the spacer patterns, and the detailed description will therefore be omitted for the sake of clarity.
  • In the previous embodiments, the substrate 12 is only defined with two regions, i.e. the first region 1 and the second region 2, and there are only the first spacers 26 and the second spacers 27 and 30 formed on the substrate 12. According to different requirements, the substrate 12 may however be defined with more than two regions and formed with more than two spacers. This concept will be detailed in the following paragraph, but the concept may be equally applied to the corresponding first embodiment and the corresponding second embodiment without departing from the scope and the spirit of the invention. Please refer to FIG. 10 accompanied with the corresponding FIGS. 1-2, 8-9. A step shown in FIG. 10 is subsequent to the step shown in FIG. 9, as similar to the step in FIG. 1, when the sacrificial patterns 18 are formed within the first region 1 and the second region 2, at least one sacrificial pattern 18 is formed within the third region 3. Then, as shown in FIG. 10 and similarly to the step in FIG. 2, when the first spacers 26 are formed within the first region 1 and the second region 2, at least one first spacer 26 is formed on the sidewalls 20 of each of the sacrificial patterns 18 within the third region 3. As shown in FIG. 10 and similarly to the step in FIG. 8, when the first etching process is performed, the first spacers 26 within the second region 2 and the third region 3 are removed separately. As shown in FIG. 10 and similarly to the step shown in FIG. 2, when the second spacer 27 is formed within the second region 2, at least one second spacer 30 is formed on the sidewall 20 of each of the sacrificial patterns 18 within the third region 3. Then, as shown in FIG. 10, a mask (not shown) is formed to cover the sacrificial patterns 18 within the first region 1 and the second region 2, followed by performing a second etching process to completely remove the second spacer 27 within the third region 3. A deposition and an etching process are further carried out so that a third spacer 29 is formed around the sidewalls 20 of each of the sacrificial patterns 18 within the first region 1, the second region 2 and the third region 3. At this time, each of the third spacers 29 has a fifth width W5. A second stacked-spacer 33, which comprises the first spacer 26, the second spacer 27 and the third spacer 29, is on the sidewalls 20 of each of the sacrificial patterns 18 within the first region 1. Preferably, the third spacers 29, the second stacked-spacer 33 and the first stacked-spacer 31 have the sub-lithographic features. Therefore, by applying the concept to the various embodiments, a stacked-spacer with more than two layers can be formed around the sidewalls 20 of each of the sacrificial patterns 18. This way the applicability of the spacer structures within the sub-lithographic feature size can be further increased.
  • In order to provide a better understanding, only the SRAM structure is provided in each embodiment. According to different requirements, the SRAM structure may however be equally replaced with another semiconductor device, such as a device in a logic circuitry. Furthermore, the method for fabricating the patterned structures can be applied to the process for fabricating contact plugs or interconnections so that the physical size of the contact plugs or the interconnections may have the sub-lithographic feature.
  • In summary, the present invention provides a patterned structure of a semiconductor device and a fabricating method thereof, wherein at least a first patterned structure 46 and at least a second patterned structure 48 are disposed on the substrate 12. The first patterned structure 46 extends parallel to the second patterned structure 48. In addition, the first patterned structure 46 and the second patterned structure 48 have a second width W2 (also called first line width) and a third width W3 (also called second line width) respectively, and the second width W2 is twice as wide as the third width W3, and the third width W3 has a sub-lithographic feature. The present invention provides the patterned structure 46 and 48 with different widths and with the sub-lithographic feature by utilizing the SIT technique accompanied with suitable etching processes. Therefore, the SNM of the DRAM can be increased successively.
  • Although the disclosure has been illustrated by references to specific embodiments, it will be apparent that the disclosure is not limited thereto as various changes and modifications may be made thereto without departing from the scope of the present invention. References to “one embodiment” or “an embodiment” means that a particular feature, structure or characteristic described therein is included in at least one embodiment. Thus, the appearances of the phrase “in one embodiment” or ‘in an embodiment” appearing in various places throughout the specification are not necessarily all referring to the same embodiment.
  • Those skilled in the art will readily observe that numerous modifications and alterations of the device and method may be made while retaining the teachings of the invention. Accordingly, the above disclosure should be construed as limited only by the metes and bounds of the appended claims.

Claims (6)

What is claimed is:
1. A patterned structure of a semiconductor device, comprising:
a substrate;
at least a first patterned structure disposed on the substrate, wherein the first patterned structure is a single-layered structure; and
at least a second patterned structure disposed on the substrate, wherein the second patterned structure is a multi-layered structure, and a width of the second patterned structure is greater than a width of the first patterned structure.
2. The patterned structure of claim 1, wherein the first patterned structure and the second patterned structure respectively consist of spacers.
3. The patterned structure of claim 1, wherein the first patterned structure has a sub-lithographic feature.
4. The patterned structure of claim 1, wherein the first patterned structure is parallel to the second patterned structure.
5. The patterned structure of claim 1, further comprising a third patterned structure, wherein the third patterned structure is a multi-layered structure, and a width of the third patterned structure is greater than the width of the second patterned structure.
6. The patterned structure of claim 1, wherein the semiconductor device is a static random access memory (SRAM) comprising a plurality of transistors.
US14/639,994 2012-03-11 2015-03-05 Patterned structure of semiconductor device Abandoned US20150179652A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US14/639,994 US20150179652A1 (en) 2012-03-11 2015-03-05 Patterned structure of semiconductor device

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US13/417,299 US9006107B2 (en) 2012-03-11 2012-03-11 Patterned structure of semiconductor device and fabricating method thereof
US14/639,994 US20150179652A1 (en) 2012-03-11 2015-03-05 Patterned structure of semiconductor device

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US13/417,299 Division US9006107B2 (en) 2012-03-11 2012-03-11 Patterned structure of semiconductor device and fabricating method thereof

Publications (1)

Publication Number Publication Date
US20150179652A1 true US20150179652A1 (en) 2015-06-25

Family

ID=49113354

Family Applications (2)

Application Number Title Priority Date Filing Date
US13/417,299 Active 2032-06-30 US9006107B2 (en) 2012-03-11 2012-03-11 Patterned structure of semiconductor device and fabricating method thereof
US14/639,994 Abandoned US20150179652A1 (en) 2012-03-11 2015-03-05 Patterned structure of semiconductor device

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US13/417,299 Active 2032-06-30 US9006107B2 (en) 2012-03-11 2012-03-11 Patterned structure of semiconductor device and fabricating method thereof

Country Status (1)

Country Link
US (2) US9006107B2 (en)

Cited By (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9786545B1 (en) 2016-09-21 2017-10-10 Globalfoundries Inc. Method of forming ANA regions in an integrated circuit
US9812351B1 (en) 2016-12-15 2017-11-07 Globalfoundries Inc. Interconnection cells having variable width metal lines and fully-self aligned continuity cuts
US9818640B1 (en) 2016-09-21 2017-11-14 Globalfoundries Inc. Apparatus and method of forming self-aligned cuts in a non-mandrel line of an array of metal lines
US9818623B2 (en) 2016-03-22 2017-11-14 Globalfoundries Inc. Method of forming a pattern for interconnection lines and associated continuity blocks in an integrated circuit
US9818641B1 (en) * 2016-09-21 2017-11-14 Globalfoundries Inc. Apparatus and method of forming self-aligned cuts in mandrel and a non-mandrel lines of an array of metal lines
US9852986B1 (en) 2016-11-28 2017-12-26 Globalfoundries Inc. Method of patterning pillars to form variable continuity cuts in interconnection lines of an integrated circuit
US9887127B1 (en) 2016-12-15 2018-02-06 Globalfoundries Inc. Interconnection lines having variable widths and partially self-aligned continuity cuts
US10002786B1 (en) 2016-12-15 2018-06-19 Globalfoundries Inc. Interconnection cells having variable width metal lines and fully-self aligned variable length continuity cuts
US10043703B2 (en) 2016-12-15 2018-08-07 Globalfoundries Inc. Apparatus and method for forming interconnection lines having variable pitch and variable widths

Families Citing this family (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20140363963A1 (en) * 2013-06-07 2014-12-11 Kabushiki Kaisha Toshiba Method of manufacturing semiconductor device
US9190291B2 (en) * 2013-07-03 2015-11-17 United Microelectronics Corp. Fin-shaped structure forming process
US9274413B2 (en) * 2013-09-11 2016-03-01 United Microelectronics Corp. Method for forming layout pattern
US9129814B2 (en) * 2013-11-25 2015-09-08 Taiwan Semiconductor Manufacturing Company, Ltd. Method for integrated circuit patterning
US9525041B2 (en) 2015-02-12 2016-12-20 United Microelectronics Corp. Semiconductor process for forming gates with different pitches and different dimensions
US9530646B2 (en) 2015-02-24 2016-12-27 United Microelectronics Corp. Method of forming a semiconductor structure
US9530772B1 (en) 2015-08-06 2016-12-27 International Business Machines Corporation Methods of manufacturing devices including gates with multiple lengths
CN106486371B (en) * 2015-08-28 2021-06-01 联华电子股份有限公司 Method for manufacturing semiconductor element
US10497565B2 (en) * 2017-11-21 2019-12-03 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming semiconductor device structure
US10396084B1 (en) 2018-04-04 2019-08-27 Globalfoundries Inc. Semiconductor devices including self-aligned active regions for planar transistor architecture
CN110828460B (en) * 2018-08-14 2022-07-19 中芯国际集成电路制造(北京)有限公司 Semiconductor device and method of forming the same
KR102516879B1 (en) 2018-08-17 2023-03-31 삼성전자주식회사 Semiconductor devices with various line widths and method for manufacturing the same
CN111403269B (en) * 2019-01-02 2023-03-24 联华电子股份有限公司 Method for manufacturing patterned structure
CN112951724A (en) * 2019-12-10 2021-06-11 中芯国际集成电路制造(上海)有限公司 Semiconductor structure and forming method thereof
CN113497042A (en) * 2020-03-20 2021-10-12 中芯国际集成电路制造(上海)有限公司 Semiconductor structure and forming method thereof

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080135948A1 (en) * 2006-04-21 2008-06-12 International Business Machines Corporation Device patterned with Sub-Lithographic Features with Variable Widths

Family Cites Families (42)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6043138A (en) 1996-09-16 2000-03-28 Advanced Micro Devices, Inc. Multi-step polysilicon deposition process for boron penetration inhibition
US6967351B2 (en) 2001-12-04 2005-11-22 International Business Machines Corporation Finfet SRAM cell using low mobility plane for cell stability and method for forming
US6492216B1 (en) 2002-02-07 2002-12-10 Taiwan Semiconductor Manufacturing Company Method of forming a transistor with a strained channel
JP4307022B2 (en) 2002-07-05 2009-08-05 富士通マイクロエレクトロニクス株式会社 Semiconductor device design method, semiconductor device design program, and semiconductor device design apparatus
US6762483B1 (en) 2003-01-23 2004-07-13 Advanced Micro Devices, Inc. Narrow fin FinFET
JP4536333B2 (en) 2003-04-03 2010-09-01 忠弘 大見 Semiconductor device and manufacturing method thereof
TWI231994B (en) 2003-04-04 2005-05-01 Univ Nat Taiwan Strained Si FinFET
US6855607B2 (en) 2003-06-12 2005-02-15 Advanced Micro Devices, Inc. Multi-step chemical mechanical polishing of a gate area in a FinFET
US6911383B2 (en) 2003-06-26 2005-06-28 International Business Machines Corporation Hybrid planar and finFET CMOS devices
US7112495B2 (en) 2003-08-15 2006-09-26 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method of a strained channel transistor and a second semiconductor component in an integrated circuit
JP2005086024A (en) 2003-09-09 2005-03-31 Toshiba Corp Semiconductor device and method for manufacturing same
US7361958B2 (en) 2004-09-30 2008-04-22 Intel Corporation Nonplanar transistors with metal gate electrodes
US20060099830A1 (en) 2004-11-05 2006-05-11 Varian Semiconductor Equipment Associates, Inc. Plasma implantation using halogenated dopant species to limit deposition of surface layers
US7091551B1 (en) 2005-04-13 2006-08-15 International Business Machines Corporation Four-bit FinFET NVRAM memory device
US7569443B2 (en) 2005-06-21 2009-08-04 Intel Corporation Complementary metal oxide semiconductor integrated circuit using raised source drain and replacement metal gate
US7247887B2 (en) 2005-07-01 2007-07-24 Synopsys, Inc. Segmented channel MOS transistor
US7235424B2 (en) 2005-07-14 2007-06-26 Taiwan Semiconductor Manufacturing Co., Ltd. Method and apparatus for enhanced CMP planarization using surrounded dummy design
US7352034B2 (en) 2005-08-25 2008-04-01 International Business Machines Corporation Semiconductor structures integrating damascene-body FinFET's and planar devices on a common substrate and methods for forming such semiconductor structures
CN100442476C (en) 2005-09-29 2008-12-10 中芯国际集成电路制造(上海)有限公司 Nano-device with enhanced strain inductive transferring rate for CMOS technology and its process
US7309626B2 (en) 2005-11-15 2007-12-18 International Business Machines Corporation Quasi self-aligned source/drain FinFET process
US7547947B2 (en) 2005-11-15 2009-06-16 International Business Machines Corporation SRAM cell
US20070141775A1 (en) 2005-12-15 2007-06-21 Chartered Semiconductor Manufacturing, Ltd. Modulation of stress in stress film through ion implantation and its application in stress memorization technique
DE102006001680B3 (en) 2006-01-12 2007-08-09 Infineon Technologies Ag Manufacturing method for a FinFET transistor arrangement and corresponding FinFET transistor arrangement
US7491630B2 (en) 2006-03-15 2009-02-17 Freescale Semiconductor, Inc. Undoped gate poly integration for improved gate patterning and cobalt silicide extendibility
CN101432852B (en) 2006-04-26 2013-01-02 Nxp股份有限公司 Non-volatile memory device
US7402496B2 (en) 2006-09-11 2008-07-22 United Microelectronics Corp. Complementary metal-oxide-semiconductor device and fabricating method thereof
US7569857B2 (en) 2006-09-29 2009-08-04 Intel Corporation Dual crystal orientation circuit devices on the same substrate
US7470570B2 (en) 2006-11-14 2008-12-30 International Business Machines Corporation Process for fabrication of FinFETs
US7968952B2 (en) 2006-12-29 2011-06-28 Intel Corporation Stressed barrier plug slot contact structure for transistor performance enhancement
CA2670809A1 (en) 2007-02-27 2008-09-04 Sixtron Advanced Materials, Inc. Method for forming a film on a substrate
US7682892B2 (en) 2007-08-31 2010-03-23 Texas Instruments Incorporated MOS device and process having low resistance silicide interface using additional source/drain implant
US7915691B2 (en) 2007-10-30 2011-03-29 International Business Machines Corporation High density SRAM cell with hybrid devices
US20090124097A1 (en) 2007-11-09 2009-05-14 International Business Machines Corporation Method of forming narrow fins in finfet devices with reduced spacing therebetween
US7629643B2 (en) 2007-11-30 2009-12-08 Intel Corporation Independent n-tips for multi-gate transistors
US7825437B2 (en) 2007-12-28 2010-11-02 Intel Corporation Unity beta ratio tri-gate transistor static random access memory (SRAM)
US20090200494A1 (en) 2008-02-11 2009-08-13 Varian Semiconductor Equipment Associates, Inc. Techniques for cold implantation of carbon-containing species
US8987092B2 (en) 2008-04-28 2015-03-24 Spansion Llc Methods for fabricating memory cells having fin structures with semicircular top surfaces and rounded top corners and edges
US8048723B2 (en) 2008-12-05 2011-11-01 Taiwan Semiconductor Manufacturing Company, Ltd. Germanium FinFETs having dielectric punch-through stoppers
US8735235B2 (en) 2008-08-20 2014-05-27 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit metal gate structure and method of fabrication
US8268729B2 (en) 2008-08-21 2012-09-18 International Business Machines Corporation Smooth and vertical semiconductor fin structure
US7915112B2 (en) 2008-09-23 2011-03-29 Taiwan Semiconductor Manufacturing Company, Ltd. Metal gate stress film for mobility enhancement in FinFET device
US20100167506A1 (en) 2008-12-31 2010-07-01 Taiwan Semiconductor Manufacturing Co., Ltd. Inductive plasma doping

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080135948A1 (en) * 2006-04-21 2008-06-12 International Business Machines Corporation Device patterned with Sub-Lithographic Features with Variable Widths

Cited By (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9818623B2 (en) 2016-03-22 2017-11-14 Globalfoundries Inc. Method of forming a pattern for interconnection lines and associated continuity blocks in an integrated circuit
US9786545B1 (en) 2016-09-21 2017-10-10 Globalfoundries Inc. Method of forming ANA regions in an integrated circuit
US9818640B1 (en) 2016-09-21 2017-11-14 Globalfoundries Inc. Apparatus and method of forming self-aligned cuts in a non-mandrel line of an array of metal lines
US9818641B1 (en) * 2016-09-21 2017-11-14 Globalfoundries Inc. Apparatus and method of forming self-aligned cuts in mandrel and a non-mandrel lines of an array of metal lines
TWI657503B (en) * 2016-09-21 2019-04-21 格羅方德半導體公司 Apparatus and method of forming self-aligned cuts in mandrel and a non-mandrel lines of an array of metal lines
US9852986B1 (en) 2016-11-28 2017-12-26 Globalfoundries Inc. Method of patterning pillars to form variable continuity cuts in interconnection lines of an integrated circuit
US9812351B1 (en) 2016-12-15 2017-11-07 Globalfoundries Inc. Interconnection cells having variable width metal lines and fully-self aligned continuity cuts
US9887127B1 (en) 2016-12-15 2018-02-06 Globalfoundries Inc. Interconnection lines having variable widths and partially self-aligned continuity cuts
US10002786B1 (en) 2016-12-15 2018-06-19 Globalfoundries Inc. Interconnection cells having variable width metal lines and fully-self aligned variable length continuity cuts
US10043703B2 (en) 2016-12-15 2018-08-07 Globalfoundries Inc. Apparatus and method for forming interconnection lines having variable pitch and variable widths
US10083858B2 (en) 2016-12-15 2018-09-25 Globalfoundries Inc. Interconnection lines having variable widths and partially self-aligned continuity cuts

Also Published As

Publication number Publication date
US9006107B2 (en) 2015-04-14
US20130234301A1 (en) 2013-09-12

Similar Documents

Publication Publication Date Title
US9006107B2 (en) Patterned structure of semiconductor device and fabricating method thereof
US9171764B2 (en) Methods for fabricating integrated circuits using self-aligned quadruple patterning
US9209038B2 (en) Methods for fabricating integrated circuits using self-aligned quadruple patterning
US9620380B1 (en) Methods for fabricating integrated circuits using self-aligned quadruple patterning
US9406775B1 (en) Method for creating self-aligned compact contacts in an IC device meeting fabrication spacing constraints
US8741701B2 (en) Fin structure formation including partial spacer removal
US8951918B2 (en) Method for fabricating patterned structure of semiconductor device
US9006110B1 (en) Method for fabricating patterned structure of semiconductor device
US20230335545A1 (en) Method of manufacturing conductors for semiconductor device
US10366889B2 (en) Method of forming semiconductor device
US11222899B2 (en) Semiconductor device which includes fins and method of making same
KR101169164B1 (en) Semiconductor device and method for forming the same
US8524604B2 (en) Method for forming fine pattern of semiconductor device
TWI546859B (en) Patterned structure of semiconductor device and fabricating method thereof
TWI608529B (en) Methods of forming fins for finfet semiconductor devices and the resulting semiconductor devices
US9208276B1 (en) Method for generating layout pattern
TWI509709B (en) Manufacturing method for semiconductor structures
US20140110773A1 (en) Semiconductor device including line-type active region and method for manufacturing the same
US11374103B2 (en) Gate structure and photomask of NAND memory and method for making the same
US10522366B2 (en) Method of fabricating semiconductor device
JP5331618B2 (en) Manufacturing method of semiconductor device
US20220230881A1 (en) Active region array formation method
US9553026B1 (en) Semiconductor device and method for fabricating the same
KR20120041989A (en) Method for manufacturing semiconductor device
KR20160100212A (en) Semiconductor device with dummy gate structures

Legal Events

Date Code Title Description
AS Assignment

Owner name: UNITED MICROELECTRONICS CORP., TAIWAN

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:WANG, CHIH-JUNG;CHEN, TONG-YU;REEL/FRAME:035098/0226

Effective date: 20120306

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION