US20140084368A1 - Semiconductor Device with Increased Breakdown Voltage - Google Patents

Semiconductor Device with Increased Breakdown Voltage Download PDF

Info

Publication number
US20140084368A1
US20140084368A1 US14/093,695 US201314093695A US2014084368A1 US 20140084368 A1 US20140084368 A1 US 20140084368A1 US 201314093695 A US201314093695 A US 201314093695A US 2014084368 A1 US2014084368 A1 US 2014084368A1
Authority
US
United States
Prior art keywords
region
gate
drain
well
semiconductor device
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US14/093,695
Inventor
Akira Ito
Henry Kuo-Shun Chen
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Avago Technologies International Sales Pte Ltd
Original Assignee
Broadcom Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Broadcom Corp filed Critical Broadcom Corp
Priority to US14/093,695 priority Critical patent/US20140084368A1/en
Assigned to BROADCOM CORPORATION reassignment BROADCOM CORPORATION ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: CHEN, HENRY KUO-SHUN, ITO, AKIRA
Publication of US20140084368A1 publication Critical patent/US20140084368A1/en
Assigned to BANK OF AMERICA, N.A., AS COLLATERAL AGENT reassignment BANK OF AMERICA, N.A., AS COLLATERAL AGENT PATENT SECURITY AGREEMENT Assignors: BROADCOM CORPORATION
Assigned to AVAGO TECHNOLOGIES GENERAL IP (SINGAPORE) PTE. LTD. reassignment AVAGO TECHNOLOGIES GENERAL IP (SINGAPORE) PTE. LTD. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: BROADCOM CORPORATION
Assigned to BROADCOM CORPORATION reassignment BROADCOM CORPORATION TERMINATION AND RELEASE OF SECURITY INTEREST IN PATENTS Assignors: BANK OF AMERICA, N.A., AS COLLATERAL AGENT
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7801DMOS transistors, i.e. MISFETs with a channel accommodating body or base region adjoining a drain drift region
    • H01L29/7816Lateral DMOS transistors, i.e. LDMOS transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • H01L29/0847Source or drain regions of field-effect devices of field-effect transistors with insulated gate
    • H01L29/0852Source or drain regions of field-effect devices of field-effect transistors with insulated gate of DMOS transistors
    • H01L29/0856Source regions
    • H01L29/0865Disposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/1025Channel region of field-effect devices
    • H01L29/1029Channel region of field-effect devices of field-effect transistors
    • H01L29/1033Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure
    • H01L29/1041Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure with a non-uniform doping structure in the channel region surface
    • H01L29/1045Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure with a non-uniform doping structure in the channel region surface the doping structure being parallel to the channel length, e.g. DMOS like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66659Lateral single gate silicon transistors with asymmetry in the channel direction, e.g. lateral high-voltage MISFETs with drain offset region, extended drain MISFETs
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7833Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's
    • H01L29/7835Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's with asymmetrical source and drain regions, e.g. lateral high-voltage MISFETs with drain offset region, extended drain MISFETs
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0642Isolation within the component, i.e. internal isolation
    • H01L29/0649Dielectric regions, e.g. SiO2 regions, air gaps
    • H01L29/0653Dielectric regions, e.g. SiO2 regions, air gaps adjoining the input or output region of a field-effect device, e.g. the source or drain region
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • H01L29/0847Source or drain regions of field-effect devices of field-effect transistors with insulated gate
    • H01L29/0852Source or drain regions of field-effect devices of field-effect transistors with insulated gate of DMOS transistors
    • H01L29/0856Source regions
    • H01L29/086Impurity concentration or distribution
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/107Substrate region of field-effect devices
    • H01L29/1075Substrate region of field-effect devices of field-effect transistors
    • H01L29/1079Substrate region of field-effect devices of field-effect transistors with insulated gate
    • H01L29/1083Substrate region of field-effect devices of field-effect transistors with insulated gate with an inactive supplementary region, e.g. for preventing punch-through, improving capacity effect or leakage current
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/45Ohmic electrodes
    • H01L29/456Ohmic electrodes on silicon

Definitions

  • the present invention generally relates to semiconductors. More specifically, the invention relates to increasing the breakdown voltage of a semiconductor device.
  • auxiliary devices are devices used in conjunction with integrated circuits and can include printers, scanners, disk drives, tape drives, microphones, speakers, cell phones and cameras to provide some examples.
  • Auxiliary devices can operate at voltages above the breakdown voltage of the transistors contained within the integrated circuit. As the operating voltage applied to a transistor increases, the transistor will eventually breakdown allowing an uncontrollable increase in current to pass through the devices. Breakdown voltage is the voltage level where this uncontrollable increase in current occurs. Examples of breakdown can include punch-through, avalanche breakdown, and gate oxide breakdown to provide some examples. Operating above the breakdown voltage for a significant duration reduces the lifetime of the transistor.
  • Techniques are currently available to increase the voltage at which breakdown occurs. These techniques can include the separate design of input-output circuits using a high voltage process, double diffused drain of other extended drain techniques, or the cascading of two individual transistors to provide some examples. These techniques often increase the fabrication cost by requiring additional process steps along with additional substrate masking.
  • MOSFET metal oxide semiconductor field effect transistor
  • FIG. 1 illustrates a cross-sectional view of an n-type metal oxide semiconductor (NMOS) structure fabricated using a conventional CMOS logic foundry technology.
  • NMOS n-type metal oxide semiconductor
  • FIG. 2 a illustrates a cross-sectional view of an n-type metal oxide semiconductor (NMOS) structure according to an embodiment of the present invention.
  • NMOS n-type metal oxide semiconductor
  • FIG. 2 b illustrates a cross-sectional view of a p-type metal oxide semiconductor (PMOS) structure according to an embodiment of the present invention.
  • PMOS metal oxide semiconductor
  • FIG. 3 a illustrates a cross-sectional view of an n-type metal oxide semiconductor (NMOS) structure with shallow trench isolation according to an embodiment of the present invention.
  • NMOS n-type metal oxide semiconductor
  • FIG. 3 b illustrates a cross-sectional view of a p-type metal oxide semiconductor (PMOS) structure with shallow trench isolation according to an embodiment of the present invention.
  • PMOS metal oxide semiconductor
  • FIG. 4 illustrates an exemplary representation of a CMOS logic foundry process used to fabricate an embodiment of the present invention.
  • FIG. 1 illustrates a cross-sectional view of an n-type metal oxide semiconductor (NMOS) structure 100 fabricated using a conventional CMOS logic foundry technology.
  • the conventional CMOS logic foundry technology fabricates NMOS structure 100 onto a p-type substrate 102 .
  • Source 110 of NMOS structure 100 includes N+ region 124 and N-LDD region 126 .
  • drain 114 of NMOS structure 100 includes N+ region 130 and N-LDD region 128 .
  • the “+” indicates that the region is implanted with a higher carrier concentration than a region not designated by a “+.” For instance, an N+ region generally has a greater number of excess electrons than an N-type region.
  • a P+ region typically has a greater number of excess holes than the P-type substrate.
  • N-LDD region 126 is in contact with N+ region 124 while N-LDD region 128 is in contact with N+ region 130 .
  • N-LDD region 126 and N-LDD region 128 are lightly implanted with n-type material to provide a high resistance shallow diffusion region.
  • N-LDD region 126 provides a high resistance shallow diffusion region from N+ region 124 to gate 118 to reduce the effects of the electric field of N+ region 124 .
  • N-LDD region 128 provides a high resistance shallow diffusion region from N+ region 130 to gate 118 to reduce the effects of the electric field of N+ region 130 .
  • Shallow trench isolation regions 108 a and 108 b can provide isolation and protection for NMOS structure 100 .
  • Shallow trench isolation region 108 a is in contact with source 110 while shallow trench isolation 108 b is in contact with drain 114 .
  • Shallow trench isolation region 108 is typically a dielectric material such as SiO 2 , though any suitable material can be used
  • Spacers 120 a and 120 b (hereinafter a reference to spacer 120 will refer to spacers 120 a and 120 b while a reference to 120 a or 120 b will refer to that particular spacer only) are included at the edges of the gate 118 .
  • Spacer 120 a is adjacent to source 110 and in contact with gate 118 while spacer 120 b is adjacent to drain 114 and in contact with gate 118 .
  • Spacers 120 are typically a dielectric material, such as SiO 2 , though any suitable material can be used.
  • a layer of silicide 116 a, 116 b, and 116 c (hereinafter 116 ) is formed upon source 110 , gate 118 , and drain 114 of NMOS structure 100 .
  • the primary use of silicide, an alloy of metal and silicon, is to form a low resistance interconnection between other devices within the integrated circuit.
  • Polycrystalline silicon a silicon structure not having a single-crystalline structure usually called “poly Si” or “poly”) gate 118 is located between source 110 and drain 114 on top of gate oxide 112 .
  • a heavy implantation of n-type material into the polycrystalline silicon generally forms gate 118 .
  • a p-n junction is a potential barrier created by joining n-type and p-type material. Without a bias voltage on gate 118 , two p-n junctions exist in series between source 110 and drain 114 . One such junction is located between drain 114 and substrate 102 , and the other junction is between the substrate 102 and source 110 . These p-n junctions prevent current conduction from source 110 to drain 114 upon the application of a source to drain voltage. Upon grounding source 110 and applying a positive voltage to gate 118 , a voltage appears between gate 118 and source 110 . The positive voltage on gate 118 repels the positively charged carrier holes from underneath gate oxide 112 .
  • Channel 122 is a carrier-depletion region populated by the negative charge formed below the interface at gate oxide 112 and substrate 102 by the electric field created between gate 118 and substrate 102 .
  • the positive gate voltage attracts carrier electrons from source 110 and drain 114 into channel 122 .
  • an n-type region is created connecting source 110 to drain 114 . Applying a voltage between drain 114 and source 110 will cause current to flow through channel 122 .
  • the amount of voltage applied between drain 114 and source 110 has a limit. There is a point where the current passing through the p-n junction increases uncontrollably resulting in breakdown. Breakdown voltage is the voltage at which the p-n junctions breakdown. Examples of breakdown can include avalanche breakdown, punch-through, and gate oxide breakdown to provide some examples. As the voltage on drain 114 increases the p-n junction between drain 114 and substrate 102 will eventually suffer avalanche breakdown resulting in a rapid increase in current. Avalanche breakdown results when carriers under the influence of an electric field gain sufficient energy to break covalent bonds in atoms with which they collide. The electric field accelerates these five electrons to very high speeds knocking other electrons free from other atoms.
  • the increase of voltage on drain 114 can lead to another breakdown effect called punch through.
  • Punch through occurs when the voltage on drain 114 is increased to the point causing the depletion region surrounding drain 114 to extend through channel 122 to source 110 causing a rapid increase in current.
  • the hot carrier effect refers to the effect of high energy electrons or holes generated as a result of impact ionization at the drain side of the channel. These high energy current carriers can leave the silicon and tunnel into gate oxide 112 upon reaching a sufficiently high level of energy.
  • the main cause of the channel hot electrons effect results from the carrier electrons in channel 122 gaining sufficient energy to leave substrate 102 and tunneling into gate oxide 112 causing degradation of NMOS structure 100 .
  • FIG. 2A illustrates a cross-sectional view of an n-type metal oxide semiconductor (NMOS) structure according to an embodiment of the present invention.
  • NMOS n-type metal oxide semiconductor
  • Source 110 of NMOS structure 200 includes N+ region 124 and N-LDD region 126 .
  • N-LDD region 126 is in contact with N+ region 124 .
  • N-LDD region 126 is lightly implanted with n-type material to provide a high resistance shallow diffusion region.
  • N-LDD region 126 provides a high resistance shallow diffusion region from N+ region 124 to gate 218 to reduce the effects of the electric field of N+ region 124 .
  • Drain 228 of NMOS structure 200 includes N+ region 130 and n-well 206 .
  • P-well 204 is located below source 110 .
  • Well transition 226 is a region in substrate 102 where a region comprised of n-well 206 changes to a region comprised of p-well 204 .
  • Shallow trench isolation regions 108 a and 108 b can provide isolation and protection for NMOS structure 200 .
  • Shallow trench isolation region 108 a is in contact with source 110
  • shallow trench isolation 108 b is in contact with drain 228 .
  • Polycrystalline silicon gate 218 is located in between source 110 and drain 228 on top of gate oxide 112 .
  • Channel 222 extends from source 110 to well transition 226 located beneath gate 218 .
  • Spacers 120 a and 120 b are included at the ends of the gate 218 . Spacer 120 a is adjacent to source 110 and in contact with gate 218 while spacer 120 b is adjacent to drain 228 and in contact with gate 218 .
  • Placed upon source 110 , gate 218 , and drain 228 of NMOS structure 200 is a layer of silicide 116 .
  • the resistance from drain 228 to source 110 is related to the point at which punch through occurs. This resistance determines the point at which the depletion region surrounding drain 228 extends through channel 222 to source 110 .
  • a transistor with a greater drain to source resistance requires a greater voltage for punch through to occur than a transistor with a lesser drain to source resistance.
  • An exemplary embodiment of NMOS structure 200 displaces N+ region 130 from gate 218 by displacement distance 224 and implants n-well 206 to form the overlap region between gate 218 and drain 228 to increase the resistance from drain 228 to source 110 .
  • Displacement distance 224 is the horizontal distance from the edge of spacer 120 b to N+ region 130 . In an exemplary embodiment, displacement distance 224 is 0.45 ⁇ m.
  • N-well 206 is lighter than the implantation of N+ region 130 .
  • N-well 206 is necessary for the formation of channel 222 upon application of a positive voltage to gate 218 .
  • Increasing the resistance from drain 228 to source 110 with drain displacement and n-well implantation increases the point required for the depletion region surrounding drain 228 extends through channel 222 to source 110 . As a result, the voltage at which punch through occurs increases.
  • the displacement of N+ region 130 from gate 218 and implantation of n-well 206 onto NMOS structure 200 reduces the hot carrier effect.
  • more energy is required for current carriers to leave substrate 102 and tunnel into gate oxide 112 .
  • the carrier electrons in channel 222 of NMOS structure 200 require more energy to leave substrate 102 and tunnel into gate oxide 112 .
  • NMOS structure 200 uses n-well 206 to increase the resistance from drain 228 to substrate 102 . Implantation of n-well 206 creates a larger n-type region in NMOS structure 200 as compared to NMOS structure 100 .
  • the n-type region in NMOS structure 200 comprises both N+ region 130 and n-well 206 .
  • the p-n junction between the n-type region and substrate 102 is larger, thereby increasing resistance from drain 228 to substrate 102 .
  • the resistance from drain 228 to substrate 102 for NMOS structure 200 is greater than the resistance from drain 228 to substrate 102 for NMOS structure 100 .
  • the increase in resistance from drain 228 to substrate 102 in NMOS structure 200 requires a larger voltage to accelerate carrier electrons to knock free atoms.
  • NMOS structure 200 uses the principal of poly depletion to increase both the effective thickness of gate oxide 112 and the voltage at which breakdown of gate oxide 112 occurs.
  • Poly depletion is a parasitic effect whereby a voltage drop across the gate of a transistor causes the formation of a depletion layer. This depletion layer depletes the gate of current carriers increasing the effective gate oxide thickness.
  • Fabrication of the gate with either heavily implanted poly silicon or a metal minimizes the effect of poly depletion. By fabricating the gate with either heavily implanted poly silicon or metal provides the gate with excess of current carriers making the formation of a depletion layer across the gate more difficult.
  • Another exemplary embodiment of the present invention lightly implants gate 218 with an n-type material to form an N ⁇ region.
  • the “ ⁇ ” indicates that the region is implanted with a lower carrier concentration than a region not designated by a “ ⁇ .”
  • a P ⁇ region typically has a lesser number of excess carrier holes than a P+ region.
  • An N ⁇ region generally has a lesser number of excess carrier electrons than an N+ region.
  • gate 218 of NMOS structure 200 is lightly implanted with n-type material on the order of 10 18 as compared to the heavy implantation on the order of 10 20 for gate 118 of NMOS structure 100 .
  • NMOS structure 200 makes the formation of a depletion layer across gate 218 easier as compared to NMOS structure 100 , thereby increasing the effective thickness of gate oxide 112 .
  • the point at which the breakdown of gate oxide 112 occurs is increased.
  • the threshold voltage is the gate to source voltage at which a sufficient number of mobile electrons accumulate in channel 222 to form a conducting channel.
  • the p-type substrate used to fabricate NMOS structure 200 contains more carrier holes as compared to carrier electrons. By applying a voltage to gate 218 , the corresponding electric field causes the carrier electrons in the substrate to become concentrated at the region of substrate 102 nearest gate 218 . A depletion region forms when the concentration of carrier electrons is equal to that of the carrier holes.
  • NMOS structure 200 turns on when voltage applied at gate 218 is larger than the threshold voltage. NMOS structure 200 turns on because there are more carrier electrons than carrier holes in substrate 102 near gate 218 .
  • NMOS structure 200 implants p-well 204 starting from shallow isolation 108 a, extending beneath source 110 , and terminating at well transition 226 .
  • Well transition 226 is a region in substrate 102 where a region comprised of p-well 204 changes to a region comprised of n-well 206 .
  • formation of the depletion region requires a greater number of electrons.
  • Implanting p-well 204 onto substrate 102 in NMOS structure 200 increases the threshold voltage, as more electrons are required to accumulate in channel 222 .
  • well transition 226 is displaced horizontally 0.2 ⁇ m from the edge of gate 218 adjacent to drain 228 .
  • FIG. 2B illustrates a cross-sectional view of a p-type metal oxide semiconductor (PMOS) structure according to an embodiment of the present invention.
  • PMOS metal oxide semiconductor
  • the conventional CMOS logic foundry technology fabricates PMOS structure 250 by implanting a deep n-well 272 onto a p-type substrate 102 .
  • P-LDD region 276 is in contact with P+ region 274 .
  • P-LDD region 276 is lightly implanted with p-type material to provide a high resistance shallow diffusion region.
  • P-LDD region 276 provides a high resistance shallow diffusion region from P+ region 274 to gate 268 to reduce the effects of the electric field of P+ region 274 .
  • In contact with deep n-well 272 are n-well 254 and p-well 256 .
  • Drain 264 of PMOS structure 250 includes P+ region 278 and P-well 206 .
  • Well transition 276 is a region in substrate 102 where a region comprised of n-well 154 changes to a region comprised of p-well 256 .
  • Shallow trench isolation regions 108 a and 108 b can provide isolation and protection for PMOS structure 250 .
  • Shallow trench isolation region 108 a is in contact with source 260
  • shallow trench isolation 108 b is in contact with drain 264 .
  • Polycrystalline silicon gate 268 is located in between source 260 and drain 264 on top of gate oxide 112 .
  • Channel 222 extends from source 260 to well transition 276 located beneath gate 268 .
  • Spacers 120 a and 120 b are included at the ends of the gate 268 .
  • Spacer 120 a is adjacent to source 260 and in contact with gate 268 while spacer 120 b is adjacent to drain 264 and in contact with gate 268 .
  • PMOS structure 250 increases the point at which breakdown occurs by the same techniques as NMOS structure 200 .
  • An exemplary embodiment of PMOS structure 250 displaces P+ region 278 from gate 268 by displacement distance 224 and implants p-well 256 to increase the voltage at which both punch through and the hot carrier effect occur by increasing the resistance from drain 264 to source 260 .
  • Another exemplary embodiment of PMOS structure 250 uses p-well 256 to increase the voltage at which avalanche breakdown occurs by increasing resistance from drain 264 to substrate 102 .
  • a further exemplary embodiment of PMOS structure 250 raises the breakdown point of gate oxide 112 by lightly implanting gate 268 with a p-type material to form a P ⁇ region.
  • FIG. 3A illustrates a cross-sectional view of an n-type metal oxide semiconductor (NMOS) structure with shallow trench isolation according to an embodiment of the present invention.
  • NMOS n-type metal oxide semiconductor
  • Source 110 of NMOS structure 300 includes N+ region 124 and N-LDD region 126 .
  • N-LDD region 126 is in contact with N+ region 124 .
  • N-LDD region 126 is lightly implanted with n-type material to provide a high resistance shallow diffusion region.
  • N-LDD region 126 provides a high resistance shallow diffusion region from N+ region 124 to gate 218 to reduce the effects of the electric field of N+ region 124 .
  • Drain 228 of NMOS structure 300 includes N+ region 130 and n-well 106 .
  • P-well 104 is located below source 110 .
  • Well transition 226 is a region in substrate 102 where a region comprised of n-well 106 changes to a region comprised of p-well 104 .
  • Shallow trench isolation region 108 a is in contact with source 110 , while shallow trench isolation 108 b is in contact with drain 228 .
  • Placed in between drain 228 and gate 218 is a third shallow trench isolation region 326 .
  • Polycrystalline silicon gate 218 is located in between source 110 and drain 228 on top of gate oxide 112 .
  • Channel 222 extends from source 110 to well transition 226 located beneath gate 218 .
  • Spacers 120 a and 120 b are included at the ends of the gate 218 .
  • Spacers 120 a and 120 b are included at the ends of the gate 218 .
  • Spacer 120 a is adjacent to source 110 and in contact with gate 218 while spacer 120 b is adjacent to drain 228 and in contact with gate 218 .
  • Placed upon source 110 , gate 218 , and drain 228 of NMOS structure 300 is a layer of silicide 116 .
  • NMOS structure 300 increases point at which breakdown occurs by the same techniques as NMOS structure 200 .
  • An exemplary embodiment of NMOS structure 300 displaces N+ region 130 from gate 218 by displacement distance 224 and implants n-well 106 to increase the voltage at which both punch through and the hot carrier effect occur by increasing the resistance from drain 228 to source 110 .
  • another exemplary embodiment of NMOS structure 300 includes a shallow trench isolation region 326 .
  • Shallow trench isolation region 326 is generally constructed with a dielectric material such as SiO 2 , though any suitable material can be used.
  • NMOS structure 300 uses n-well 106 to increase the voltage at which avalanche breakdown occurs by increasing resistance from drain 228 to substrate 102 .
  • An additional exemplary embodiment of NMOS structure 300 raises the breakdown point of gate oxide 112 by lightly implanting gate 218 with an n-type material to form an N ⁇ region. Those skilled in the art will recognize that NMOS structure 300 operates in a manner similar to that of NMOS structure 200 .
  • FIG. 3B illustrates a cross-sectional view of a P-type metal oxide semiconductor PMOS) structure with shallow trench isolation according to an embodiment of the present invention.
  • PMOS metal oxide semiconductor
  • the conventional CMOS logic foundry technology fabricates PMOS structure 350 by implanting a deep n-well 272 onto a p-type substrate 102 .
  • P-LDD region 276 is in contact with P+ region 274 .
  • P-LDD region 276 is lightly implanted with p-type material to provide a high resistance shallow diffusion region.
  • P-LDD region 276 provides a high resistance shallow diffusion region from P+ region 274 to gate 268 to reduce the effects of the electric field of P+ region 274 .
  • In contact with deep n-well 272 are n-well 254 and p-well 256 .
  • Drain 264 of PMOS structure 250 includes P+ region 278 and p-well 256 .
  • Well transition 276 is a region in substrate 102 where a region comprised of n-well 254 changes to a region comprised of p-well 256 .
  • Shallow trench isolation regions 108 a and 108 b can provide isolation and protection for PMOS structure 350 .
  • Shallow trench isolation region 108 a is in contact with source 260
  • shallow trench isolation 108 b is in contact with drain 264 .
  • Placed in between drain 264 and gate 268 is a third shallow trench isolation region 376 .
  • Polycrystalline silicon gate 268 is located in between source 260 and drain 264 on top of gate oxide 112 .
  • Channel 222 extends from source 260 to well transition 276 located beneath gate 268 .
  • Spacers 120 a and 120 b are included at the ends of the gate 268 . Spacer 120 a is adjacent to source 260 and in contact with gate 268 while spacer 120 b is adjacent to drain 264 and in contact with gate 268 .
  • Placed upon source 260 , gate 268 , and drain 264 of PMOS structure 350 is a layer of silicide 116 .
  • PMOS structure 350 increases point at which breakdown occurs by the same techniques as NMOS structure 200 .
  • An exemplary embodiment of PMOS structure 350 displaces P+ region 278 from gate 268 by displacement distance 224 and implants p-well 256 to increase the voltage at which both punch through and the hot carrier effect occur by increasing the resistance from drain 264 to source 260 .
  • another exemplary embodiment of NMOS structure 300 includes a shallow trench isolation region 326 .
  • Shallow trench isolation region 326 is generally constructed with a dielectric material such as SiO 2 , though any suitable material can be used.
  • the current flowing through channel 222 must circumvent shallow trench isolation region 376 thereby further increasing the resistance from drain 264 to source 260 of PMOS structure 350 when compared with PMOS structure 250 .
  • the voltage where punch through and hot carrier effect occurs is greater for PMOS structure 350 .
  • Another further exemplary embodiment of PMOS structure 350 uses p-well 256 to increase the voltage at which avalanche breakdown occurs by increasing resistance from drain 264 to substrate 102 .
  • An additional exemplary embodiment of PMOS structure 350 raises the breakdown point of gate oxide 112 by lightly implanting gate 268 with a p-type material to form a P ⁇ region. Those skilled in the art will recognize that PMOS structure 350 operates in a manner similar to that of NMOS structure 200 .
  • FIG. 4 illustrates an exemplary representation of a CMOS logic foundry process used to fabricate an embodiment of the present invention.
  • a conventional CMOS logic foundry technology implements CMOS logic process 400 .
  • CMOS logic process 400 creates a transistor by the following steps: fabricate p-well and n-well, denoted as 402 , fabricate shallow trench isolation regions, denoted as 404 , fabricate gate, denoted as 406 , fabricate LDD, denoted as 408 , fabricate spacers, denoted as 410 , fabricate source and drain, denoted as 412 , and fabricate silicide region, denoted as 414 .
  • Fabricate p-well and n-well denoted as 402 entails implanting a semiconductor substrate with an appropriate impurity to form a p-well or an n-well. Implanting the substrate with boron, a p-type material, forms the p-well, while implanting the substrate with phosphorous or arsenic, both n-type materials, forms the n-well. For an NMOS device, the p-well is located beneath source while the n-well is located beneath the drain. For a PMOS, device the p-well is located beneath the drain while the n-well is located beneath the source.
  • the p-well and n-well are in contact with one another forming a transition region whereby the impurity implanted onto the substrate changes from p-type material to n-type material: no isolation region exists in between the n-well and p-well.
  • This transition region is located beneath the gate.
  • the transition region where the n-well and p-well contact is beneath the gate and horizontally displaced 0.2 ⁇ m from the edge of the gate adjacent to the drain.
  • Fabricate shallow trench isolation regions entails depositing an etched semiconductor substrate with a dielectric material such as SiO 2 , though any suitable material can be used, to form shallow trench isolation regions. Fabricating a shallow trench isolation region adjacent to the drain and another shallow trench isolation region adjacent to the source provides isolation and protection to the transistor. Depositing an additional shallow trench isolation region in between the gate and the drain increases the breakdown voltage of the transistor.
  • a dielectric material such as SiO 2
  • Fabricate gate entails implanting a semiconductor substrate with polycrystalline silicon, though any suitable material can be used, on top of a gate oxide to form the gate. Lightly implanting the polycrystalline silicon with the appropriate impurity increases the breakdown voltage of the transistor. Lightly implanting n-type material onto the polycrystalline silicon to form an N ⁇ region creates the gate of an NMOS device, while lightly implanting p-type material polycrystalline silicon to form a P ⁇ region creates the gate of a PMOS device. In exemplary embodiment, the gate is lightly implanted on the order of 10 18 .
  • Fabricate LDD entails implanting a semiconductor substrate with an appropriate impurity to form a LDD region. For example, lightly implanting the substrate with either phosphorous or arsenic, both n-type materials, to create an N ⁇ region forms the LDD for an NMOS device. Lightly implanting the substrate with boron, a p-type material, to create a P ⁇ region forms the LDD for a PMOS device. When fabricating the LDD for either an NMOS or PMOS device, a portion of the carrier region comprising the LDD overlaps the gate.
  • Fabricate spacers denoted as 410 entails depositing a dielectric material such as SiO 2 , though any suitable material can be used on top of a semiconductor substrate to form spacers.
  • a dielectric material such as SiO 2
  • Each edge of the gate contains a spacer. In other words, one spacer is adjacent to the source and in contact with the gate while another spacer is adjacent to drain and in contact with the gate.
  • Fabricate source and drain entails implanting a semiconductor substrate with an appropriate impurity to form a source and a drain. Heavily implanting the substrate with either phosphorous or arsenic, both n-type materials, to create and N+ region forms the source and the drain for an NMOS device. Heavily implanting the substrate with boron, a p-type material, to create a P+ region forms the source and the drain for a PMOS device. When fabricating the source or the drain for either an NMOS or PMOS device, there is no overlap between the gate and the carrier region comprising the source and the drain. The entire region comprising the drain is horizontally displaced a specified distance from the gate. In an exemplary embodiment, the entire drain region is displaced 0.45 ⁇ m from the junction between the gate and a spacer in contact with the gate.
  • Fabricate silicide region entails depositing metal on top of poly silicon and then alloy to create silicide, though any suitable material can be used, on top of the gate, the source, and the drain of a transistor to form the connection between the fabricated transistor and a metallization layer.
  • the metallization layer forms the interconnections between the fabricated transistor and other devices.
  • the region of the semiconductor substrate in between the gate and the drain lacks silicide. In other words, there is a gap in the silicide layer between the gate and the drain, requiring the removal of any silicide in this region.

Abstract

Optimization of the implantation structure of a metal oxide silicon field effect transistor (MOSFET) device fabricated using conventional complementary metal oxide silicon (CMOS) logic foundry technology to increase the breakdown voltage. The techniques used to optimize the implantation structure involve lightly implanting the gate region, displacing the drain region from the gate region, and implanting P-well and N-well regions adjacent to one another without an isolation region in between.

Description

    CROSS-REFERENCE TO RELATED APPLICATIONS
  • The present application is a continuation of U.S. patent application Ser. No. 12/943,330, filed Nov. 10, 2010, which will issue as U.S. Pat. No. 8,598,670 on Dec. 3, 2013, which is a continuation of U.S. patent application Ser. No. 11/580,961, filed Oct. 16, 2006, now U.S. Pat. No. 7,855,414, which claims the benefit of U.S. Provisional Patent Appl. No. 60/833,787, filed Jul. 28, 2006, all of which is incorporated herein by reference in their entirety.
  • BACKGROUND OF THE INVENTION
  • 1. Field of the Invention
  • The present invention generally relates to semiconductors. More specifically, the invention relates to increasing the breakdown voltage of a semiconductor device.
  • 2. Background Art
  • Silicon semiconductor processing has evolved sophisticated operations for fabricating integrated circuits. As advancement in fabrication process technology continues, the operating voltage of the integrated circuits has reduced, but the operating voltage of auxiliary devices remains the same. Auxiliary devices are devices used in conjunction with integrated circuits and can include printers, scanners, disk drives, tape drives, microphones, speakers, cell phones and cameras to provide some examples.
  • Auxiliary devices can operate at voltages above the breakdown voltage of the transistors contained within the integrated circuit. As the operating voltage applied to a transistor increases, the transistor will eventually breakdown allowing an uncontrollable increase in current to pass through the devices. Breakdown voltage is the voltage level where this uncontrollable increase in current occurs. Examples of breakdown can include punch-through, avalanche breakdown, and gate oxide breakdown to provide some examples. Operating above the breakdown voltage for a significant duration reduces the lifetime of the transistor.
  • Techniques are currently available to increase the voltage at which breakdown occurs. These techniques can include the separate design of input-output circuits using a high voltage process, double diffused drain of other extended drain techniques, or the cascading of two individual transistors to provide some examples. These techniques often increase the fabrication cost by requiring additional process steps along with additional substrate masking.
  • What is needed is a metal oxide semiconductor field effect transistor (MOSFET) device and method for fabricating the same that addresses one or more of the aforementioned shortcomings of conventional MOSFET devices.
  • BRIEF DESCRIPTION OF THE DRAWINGS/FIGURES
  • The present invention is described with reference to the accompanying drawings. In the drawings, like reference numbers indicate identical or functionally similar elements. Additionally, the left most digit(s) of a reference number identifies the drawing in which the reference number first appears.
  • FIG. 1 illustrates a cross-sectional view of an n-type metal oxide semiconductor (NMOS) structure fabricated using a conventional CMOS logic foundry technology.
  • FIG. 2 a illustrates a cross-sectional view of an n-type metal oxide semiconductor (NMOS) structure according to an embodiment of the present invention.
  • FIG. 2 b illustrates a cross-sectional view of a p-type metal oxide semiconductor (PMOS) structure according to an embodiment of the present invention.
  • FIG. 3 a illustrates a cross-sectional view of an n-type metal oxide semiconductor (NMOS) structure with shallow trench isolation according to an embodiment of the present invention.
  • FIG. 3 b illustrates a cross-sectional view of a p-type metal oxide semiconductor (PMOS) structure with shallow trench isolation according to an embodiment of the present invention.
  • FIG. 4 illustrates an exemplary representation of a CMOS logic foundry process used to fabricate an embodiment of the present invention.
  • DETAILED DESCRIPTION OF THE INVENTION
  • FIG. 1 illustrates a cross-sectional view of an n-type metal oxide semiconductor (NMOS) structure 100 fabricated using a conventional CMOS logic foundry technology. The conventional CMOS logic foundry technology fabricates NMOS structure 100 onto a p-type substrate 102. Source 110 of NMOS structure 100 includes N+ region 124 and N-LDD region 126. Likewise, drain 114 of NMOS structure 100 includes N+ region 130 and N-LDD region 128. The “+” indicates that the region is implanted with a higher carrier concentration than a region not designated by a “+.” For instance, an N+ region generally has a greater number of excess electrons than an N-type region. A P+ region typically has a greater number of excess holes than the P-type substrate. N-LDD region 126 is in contact with N+ region 124 while N-LDD region 128 is in contact with N+ region 130. N-LDD region 126 and N-LDD region 128 are lightly implanted with n-type material to provide a high resistance shallow diffusion region. N-LDD region 126 provides a high resistance shallow diffusion region from N+ region 124 to gate 118 to reduce the effects of the electric field of N+ region 124. N-LDD region 128 provides a high resistance shallow diffusion region from N+ region 130 to gate 118 to reduce the effects of the electric field of N+ region 130.
  • Shallow trench isolation regions 108 a and 108 b (hereinafter 108) can provide isolation and protection for NMOS structure 100. Shallow trench isolation region 108 a is in contact with source 110 while shallow trench isolation 108 b is in contact with drain 114. Shallow trench isolation region 108 is typically a dielectric material such as SiO2, though any suitable material can be used Spacers 120 a and 120 b (hereinafter a reference to spacer 120 will refer to spacers 120 a and 120 b while a reference to 120 a or 120 b will refer to that particular spacer only) are included at the edges of the gate 118. Spacer 120 a is adjacent to source 110 and in contact with gate 118 while spacer 120 b is adjacent to drain 114 and in contact with gate 118. Spacers 120 are typically a dielectric material, such as SiO2, though any suitable material can be used A layer of silicide 116 a, 116 b, and 116 c (hereinafter 116) is formed upon source 110, gate 118, and drain 114 of NMOS structure 100. The primary use of silicide, an alloy of metal and silicon, is to form a low resistance interconnection between other devices within the integrated circuit. Polycrystalline silicon a silicon structure not having a single-crystalline structure, usually called “poly Si” or “poly”) gate 118 is located between source 110 and drain 114 on top of gate oxide 112. A heavy implantation of n-type material into the polycrystalline silicon generally forms gate 118.
  • A p-n junction is a potential barrier created by joining n-type and p-type material. Without a bias voltage on gate 118, two p-n junctions exist in series between source 110 and drain 114. One such junction is located between drain 114 and substrate 102, and the other junction is between the substrate 102 and source 110. These p-n junctions prevent current conduction from source 110 to drain 114 upon the application of a source to drain voltage. Upon grounding source 110 and applying a positive voltage to gate 118, a voltage appears between gate 118 and source 110. The positive voltage on gate 118 repels the positively charged carrier holes from underneath gate oxide 112. The pushing away of the carrier holes from gate oxide 112 interface into substrate 102 forms a depletion region, or channel 122. Channel 122 is a carrier-depletion region populated by the negative charge formed below the interface at gate oxide 112 and substrate 102 by the electric field created between gate 118 and substrate 102. In addition to repelling the carrier holes, the positive gate voltage attracts carrier electrons from source 110 and drain 114 into channel 122. When a sufficient number of carrier electrons accumulate in channel 122, an n-type region is created connecting source 110 to drain 114. Applying a voltage between drain 114 and source 110 will cause current to flow through channel 122.
  • The amount of voltage applied between drain 114 and source 110 has a limit. There is a point where the current passing through the p-n junction increases uncontrollably resulting in breakdown. Breakdown voltage is the voltage at which the p-n junctions breakdown. Examples of breakdown can include avalanche breakdown, punch-through, and gate oxide breakdown to provide some examples. As the voltage on drain 114 increases the p-n junction between drain 114 and substrate 102 will eventually suffer avalanche breakdown resulting in a rapid increase in current. Avalanche breakdown results when carriers under the influence of an electric field gain sufficient energy to break covalent bonds in atoms with which they collide. The electric field accelerates these five electrons to very high speeds knocking other electrons free from other atoms. The electric field once again accelerates both the original electron and the electron knocked free allowing both the original electron and the electron knocked tree to strike other atoms knocking more electrons free. As this process continues, an uncontrollable increase it current occurs as a result of an exponential increase in the number of free electrons moving through the material.
  • In addition to avalanche breakdown, the increase of voltage on drain 114 can lead to another breakdown effect called punch through. Punch through occurs when the voltage on drain 114 is increased to the point causing the depletion region surrounding drain 114 to extend through channel 122 to source 110 causing a rapid increase in current. Related to punch through is the hot carrier effect. The hot carrier effect refers to the effect of high energy electrons or holes generated as a result of impact ionization at the drain side of the channel. These high energy current carriers can leave the silicon and tunnel into gate oxide 112 upon reaching a sufficiently high level of energy. For example, the main cause of the channel hot electrons effect results from the carrier electrons in channel 122 gaining sufficient energy to leave substrate 102 and tunneling into gate oxide 112 causing degradation of NMOS structure 100.
  • Further, as the operating voltage applied to a transistor increases, the gate to source voltage will eventually cause a breakdown of gate oxide 112. This breakdown of gate oxide 112, results permanent damage to NMOS structure 100.
  • FIG. 2A illustrates a cross-sectional view of an n-type metal oxide semiconductor (NMOS) structure according to an embodiment of the present invention. The same conventional CMOS logic foundry technology as NMOS structure 100 fabricates NMOS structure 200, but without the additional masking or processing cost required by existing techniques.
  • The conventional CMOS logic foundry technology fabricates NMOS structure 200 onto a p-type substrate 102. Source 110 of NMOS structure 200 includes N+ region 124 and N-LDD region 126. N-LDD region 126 is in contact with N+ region 124. N-LDD region 126 is lightly implanted with n-type material to provide a high resistance shallow diffusion region. N-LDD region 126 provides a high resistance shallow diffusion region from N+ region 124 to gate 218 to reduce the effects of the electric field of N+ region 124. Drain 228 of NMOS structure 200 includes N+ region 130 and n-well 206. P-well 204 is located below source 110. Well transition 226 is a region in substrate 102 where a region comprised of n-well 206 changes to a region comprised of p-well 204.
  • Shallow trench isolation regions 108 a and 108 b can provide isolation and protection for NMOS structure 200. Shallow trench isolation region 108 a is in contact with source 110, while shallow trench isolation 108 b is in contact with drain 228. Polycrystalline silicon gate 218 is located in between source 110 and drain 228 on top of gate oxide 112. Channel 222 extends from source 110 to well transition 226 located beneath gate 218. Spacers 120 a and 120 b are included at the ends of the gate 218. Spacer 120 a is adjacent to source 110 and in contact with gate 218 while spacer 120 b is adjacent to drain 228 and in contact with gate 218. Placed upon source 110, gate 218, and drain 228 of NMOS structure 200 is a layer of silicide 116.
  • The resistance from drain 228 to source 110 is related to the point at which punch through occurs. This resistance determines the point at which the depletion region surrounding drain 228 extends through channel 222 to source 110. For example, a transistor with a greater drain to source resistance requires a greater voltage for punch through to occur than a transistor with a lesser drain to source resistance. An exemplary embodiment of NMOS structure 200 displaces N+ region 130 from gate 218 by displacement distance 224 and implants n-well 206 to form the overlap region between gate 218 and drain 228 to increase the resistance from drain 228 to source 110. Displacement distance 224 is the horizontal distance from the edge of spacer 120 b to N+ region 130. In an exemplary embodiment, displacement distance 224 is 0.45 μm. To prevent the carriers in channel 222 from prematurely leaving requires adjustment of silicide 116 c so that the region on top of substrate 102 between N+ region 130 and gate 218 lacks silicide. In other words, there is a gap in the silicide layer between gate 218 and N+ region 130. The implantation of N-well 206 is lighter than the implantation of N+ region 130. N-well 206 is necessary for the formation of channel 222 upon application of a positive voltage to gate 218. Increasing the resistance from drain 228 to source 110 with drain displacement and n-well implantation increases the point required for the depletion region surrounding drain 228 extends through channel 222 to source 110. As a result, the voltage at which punch through occurs increases. In addition to increasing the voltage required for punch through to occur, the displacement of N+ region 130 from gate 218 and implantation of n-well 206 onto NMOS structure 200 reduces the hot carrier effect. As a result in the increase in the resistance from drain 228 to source 110, more energy is required for current carriers to leave substrate 102 and tunnel into gate oxide 112. For example, as compared to NMOS structure 100, because of the increase in the resistance from drain 228 to source 110 of NMOS structure 200, the carrier electrons in channel 222 of NMOS structure 200 require more energy to leave substrate 102 and tunnel into gate oxide 112.
  • The point at which avalanche breakdown occurs relates to the resistance from drain 228 to substrate 102. For example, a transistor with a greater drain to substrate resistance has a greater avalanche breakdown voltage than a transistor with a lesser drain to substrate resistance. This resistance decreases the influence of the electric field on the drain requiring more energy for the carriers to break covalent bonds in atoms with which they collide. An exemplary embodiment of NMOS structure 200 uses n-well 206 to increase the resistance from drain 228 to substrate 102. Implantation of n-well 206 creates a larger n-type region in NMOS structure 200 as compared to NMOS structure 100. The n-type region in NMOS structure 200 comprises both N+ region 130 and n-well 206. As a result of this larger n-type region, the p-n junction between the n-type region and substrate 102 is larger, thereby increasing resistance from drain 228 to substrate 102. For an equivalent drain region, the resistance from drain 228 to substrate 102 for NMOS structure 200 is greater than the resistance from drain 228 to substrate 102 for NMOS structure 100. The increase in resistance from drain 228 to substrate 102 in NMOS structure 200 requires a larger voltage to accelerate carrier electrons to knock free atoms.
  • The point at which the breakdown of the gate oxide occurs relates to the height of gate oxide 112. For example, a transistor with a greater gate oxide height has a greater gate oxide breakdown voltage than a transistor with a lesser gate oxide height. An exemplary embodiment of NMOS structure 200 uses the principal of poly depletion to increase both the effective thickness of gate oxide 112 and the voltage at which breakdown of gate oxide 112 occurs. Poly depletion is a parasitic effect whereby a voltage drop across the gate of a transistor causes the formation of a depletion layer. This depletion layer depletes the gate of current carriers increasing the effective gate oxide thickness. Fabrication of the gate with either heavily implanted poly silicon or a metal minimizes the effect of poly depletion. By fabricating the gate with either heavily implanted poly silicon or metal provides the gate with excess of current carriers making the formation of a depletion layer across the gate more difficult.
  • Another exemplary embodiment of the present invention lightly implants gate 218 with an n-type material to form an N− region. The “−” indicates that the region is implanted with a lower carrier concentration than a region not designated by a “−.” For instance, a P− region typically has a lesser number of excess carrier holes than a P+ region. An N− region generally has a lesser number of excess carrier electrons than an N+ region. In one embodiment, gate 218 of NMOS structure 200 is lightly implanted with n-type material on the order of 1018 as compared to the heavy implantation on the order of 1020 for gate 118 of NMOS structure 100. The removal of excess electrons in NMOS structure 200 makes the formation of a depletion layer across gate 218 easier as compared to NMOS structure 100, thereby increasing the effective thickness of gate oxide 112. As a result of the increased effective thickness of gate oxide 112, the point at which the breakdown of gate oxide 112 occurs is increased.
  • The threshold voltage is the gate to source voltage at which a sufficient number of mobile electrons accumulate in channel 222 to form a conducting channel. The p-type substrate used to fabricate NMOS structure 200 contains more carrier holes as compared to carrier electrons. By applying a voltage to gate 218, the corresponding electric field causes the carrier electrons in the substrate to become concentrated at the region of substrate 102 nearest gate 218. A depletion region forms when the concentration of carrier electrons is equal to that of the carrier holes. NMOS structure 200 turns on when voltage applied at gate 218 is larger than the threshold voltage. NMOS structure 200 turns on because there are more carrier electrons than carrier holes in substrate 102 near gate 218. To increase the number of holes located in substrate 102, NMOS structure 200 implants p-well 204 starting from shallow isolation 108 a, extending beneath source 110, and terminating at well transition 226. Well transition 226 is a region in substrate 102 where a region comprised of p-well 204 changes to a region comprised of n-well 206. By increasing the number of holes in substrate 102, formation of the depletion region requires a greater number of electrons. Implanting p-well 204 onto substrate 102 in NMOS structure 200 increases the threshold voltage, as more electrons are required to accumulate in channel 222. In an exemplary embodiment, well transition 226 is displaced horizontally 0.2 μm from the edge of gate 218 adjacent to drain 228.
  • FIG. 2B illustrates a cross-sectional view of a p-type metal oxide semiconductor (PMOS) structure according to an embodiment of the present invention. The same conventional CMOS logic foundry technology as NMOS structure 100 also fabricates PMOS structure 250, but without the additional masking or processing cost required by existing techniques.
  • The conventional CMOS logic foundry technology fabricates PMOS structure 250 by implanting a deep n-well 272 onto a p-type substrate 102. P-LDD region 276 is in contact with P+ region 274. P-LDD region 276 is lightly implanted with p-type material to provide a high resistance shallow diffusion region. P-LDD region 276 provides a high resistance shallow diffusion region from P+ region 274 to gate 268 to reduce the effects of the electric field of P+ region 274. In contact with deep n-well 272 are n-well 254 and p-well 256. Drain 264 of PMOS structure 250 includes P+ region 278 and P-well 206. Well transition 276 is a region in substrate 102 where a region comprised of n-well 154 changes to a region comprised of p-well 256. Shallow trench isolation regions 108 a and 108 b can provide isolation and protection for PMOS structure 250. Shallow trench isolation region 108 a is in contact with source 260, while shallow trench isolation 108 b is in contact with drain 264. Polycrystalline silicon gate 268 is located in between source 260 and drain 264 on top of gate oxide 112. Channel 222 extends from source 260 to well transition 276 located beneath gate 268. Spacers 120 a and 120 b are included at the ends of the gate 268. Spacer 120 a is adjacent to source 260 and in contact with gate 268 while spacer 120 b is adjacent to drain 264 and in contact with gate 268. Placed upon source 260, gate 218, and drain 264 of NMOS structure 200 is a layer of silicide 116.
  • PMOS structure 250 increases the point at which breakdown occurs by the same techniques as NMOS structure 200. An exemplary embodiment of PMOS structure 250 displaces P+ region 278 from gate 268 by displacement distance 224 and implants p-well 256 to increase the voltage at which both punch through and the hot carrier effect occur by increasing the resistance from drain 264 to source 260. Another exemplary embodiment of PMOS structure 250 uses p-well 256 to increase the voltage at which avalanche breakdown occurs by increasing resistance from drain 264 to substrate 102. A further exemplary embodiment of PMOS structure 250 raises the breakdown point of gate oxide 112 by lightly implanting gate 268 with a p-type material to form a P− region. Those skilled in the art will recognize that PMOS structure 250 operates in a manner similar to that of NMOS structure 200.
  • FIG. 3A illustrates a cross-sectional view of an n-type metal oxide semiconductor (NMOS) structure with shallow trench isolation according to an embodiment of the present invention. The same conventional CMOS logic foundry technology as NMOS structure 100 also fabricates NMOS structure 300, but without the additional masking or processing cost required by existing techniques.
  • The conventional CMOS logic foundry technology fabricates NMOS structure 300 onto a p-type substrate 102. Source 110 of NMOS structure 300 includes N+ region 124 and N-LDD region 126. N-LDD region 126 is in contact with N+ region 124. N-LDD region 126 is lightly implanted with n-type material to provide a high resistance shallow diffusion region. N-LDD region 126 provides a high resistance shallow diffusion region from N+ region 124 to gate 218 to reduce the effects of the electric field of N+ region 124. Drain 228 of NMOS structure 300 includes N+ region 130 and n-well 106. P-well 104 is located below source 110. Well transition 226 is a region in substrate 102 where a region comprised of n-well 106 changes to a region comprised of p-well 104.
  • Shallow trench isolation region 108 a is in contact with source 110, while shallow trench isolation 108 b is in contact with drain 228. Placed in between drain 228 and gate 218 is a third shallow trench isolation region 326. Polycrystalline silicon gate 218 is located in between source 110 and drain 228 on top of gate oxide 112. Channel 222 extends from source 110 to well transition 226 located beneath gate 218. Spacers 120 a and 120 b are included at the ends of the gate 218. Spacers 120 a and 120 b are included at the ends of the gate 218. Spacer 120 a is adjacent to source 110 and in contact with gate 218 while spacer 120 b is adjacent to drain 228 and in contact with gate 218. Placed upon source 110, gate 218, and drain 228 of NMOS structure 300 is a layer of silicide 116.
  • NMOS structure 300 increases point at which breakdown occurs by the same techniques as NMOS structure 200. An exemplary embodiment of NMOS structure 300 displaces N+ region 130 from gate 218 by displacement distance 224 and implants n-well 106 to increase the voltage at which both punch through and the hot carrier effect occur by increasing the resistance from drain 228 to source 110. In addition to the techniques used in NMOS structure 200, another exemplary embodiment of NMOS structure 300 includes a shallow trench isolation region 326. Shallow trench isolation region 326 is generally constructed with a dielectric material such as SiO2, though any suitable material can be used. The current flowing through channel 222 must circumvent shallow trench isolation region 326 thereby further increasing the resistance from drain 228 to source 110 of NMOS structure 300 when compared with NMOS structure 200. As a result, the voltage where punch through and hot carrier effect occurs is greater for NMOS structure 300. Another further exemplary embodiment of NMOS structure 300 uses n-well 106 to increase the voltage at which avalanche breakdown occurs by increasing resistance from drain 228 to substrate 102. An additional exemplary embodiment of NMOS structure 300 raises the breakdown point of gate oxide 112 by lightly implanting gate 218 with an n-type material to form an N− region. Those skilled in the art will recognize that NMOS structure 300 operates in a manner similar to that of NMOS structure 200.
  • FIG. 3B illustrates a cross-sectional view of a P-type metal oxide semiconductor PMOS) structure with shallow trench isolation according to an embodiment of the present invention. The same conventional CMOS logic foundry technology as NMOS structure 100 also fabricates PMOS structure 350, but without the additional masking or processing cost required by existing techniques.
  • The conventional CMOS logic foundry technology fabricates PMOS structure 350 by implanting a deep n-well 272 onto a p-type substrate 102. P-LDD region 276 is in contact with P+ region 274. P-LDD region 276 is lightly implanted with p-type material to provide a high resistance shallow diffusion region. P-LDD region 276 provides a high resistance shallow diffusion region from P+ region 274 to gate 268 to reduce the effects of the electric field of P+ region 274. In contact with deep n-well 272 are n-well 254 and p-well 256. Drain 264 of PMOS structure 250 includes P+ region 278 and p-well 256. Well transition 276 is a region in substrate 102 where a region comprised of n-well 254 changes to a region comprised of p-well 256.
  • Shallow trench isolation regions 108 a and 108 b can provide isolation and protection for PMOS structure 350. Shallow trench isolation region 108 a is in contact with source 260, while shallow trench isolation 108 b is in contact with drain 264. Placed in between drain 264 and gate 268 is a third shallow trench isolation region 376. Polycrystalline silicon gate 268 is located in between source 260 and drain 264 on top of gate oxide 112. Channel 222 extends from source 260 to well transition 276 located beneath gate 268. Spacers 120 a and 120 b are included at the ends of the gate 268. Spacer 120 a is adjacent to source 260 and in contact with gate 268 while spacer 120 b is adjacent to drain 264 and in contact with gate 268. Placed upon source 260, gate 268, and drain 264 of PMOS structure 350 is a layer of silicide 116.
  • PMOS structure 350 increases point at which breakdown occurs by the same techniques as NMOS structure 200. An exemplary embodiment of PMOS structure 350 displaces P+ region 278 from gate 268 by displacement distance 224 and implants p-well 256 to increase the voltage at which both punch through and the hot carrier effect occur by increasing the resistance from drain 264 to source 260. In addition to the techniques used in NMOS structure 200, another exemplary embodiment of NMOS structure 300 includes a shallow trench isolation region 326. Shallow trench isolation region 326 is generally constructed with a dielectric material such as SiO2, though any suitable material can be used. The current flowing through channel 222 must circumvent shallow trench isolation region 376 thereby further increasing the resistance from drain 264 to source 260 of PMOS structure 350 when compared with PMOS structure 250. As a result, the voltage where punch through and hot carrier effect occurs is greater for PMOS structure 350. Another further exemplary embodiment of PMOS structure 350 uses p-well 256 to increase the voltage at which avalanche breakdown occurs by increasing resistance from drain 264 to substrate 102. An additional exemplary embodiment of PMOS structure 350 raises the breakdown point of gate oxide 112 by lightly implanting gate 268 with a p-type material to form a P− region. Those skilled in the art will recognize that PMOS structure 350 operates in a manner similar to that of NMOS structure 200.
  • FIG. 4 illustrates an exemplary representation of a CMOS logic foundry process used to fabricate an embodiment of the present invention. In an exemplary embodiment, a conventional CMOS logic foundry technology implements CMOS logic process 400. CMOS logic process 400 creates a transistor by the following steps: fabricate p-well and n-well, denoted as 402, fabricate shallow trench isolation regions, denoted as 404, fabricate gate, denoted as 406, fabricate LDD, denoted as 408, fabricate spacers, denoted as 410, fabricate source and drain, denoted as 412, and fabricate silicide region, denoted as 414.
  • Fabricate p-well and n-well, denoted as 402, entails implanting a semiconductor substrate with an appropriate impurity to form a p-well or an n-well. Implanting the substrate with boron, a p-type material, forms the p-well, while implanting the substrate with phosphorous or arsenic, both n-type materials, forms the n-well. For an NMOS device, the p-well is located beneath source while the n-well is located beneath the drain. For a PMOS, device the p-well is located beneath the drain while the n-well is located beneath the source. In both instances, the p-well and n-well are in contact with one another forming a transition region whereby the impurity implanted onto the substrate changes from p-type material to n-type material: no isolation region exists in between the n-well and p-well. This transition region is located beneath the gate. In exemplary embodiment, the transition region where the n-well and p-well contact is beneath the gate and horizontally displaced 0.2 μm from the edge of the gate adjacent to the drain.
  • Fabricate shallow trench isolation regions, denoted as 404, entails depositing an etched semiconductor substrate with a dielectric material such as SiO2, though any suitable material can be used, to form shallow trench isolation regions. Fabricating a shallow trench isolation region adjacent to the drain and another shallow trench isolation region adjacent to the source provides isolation and protection to the transistor. Depositing an additional shallow trench isolation region in between the gate and the drain increases the breakdown voltage of the transistor.
  • Fabricate gate, denoted as 406, entails implanting a semiconductor substrate with polycrystalline silicon, though any suitable material can be used, on top of a gate oxide to form the gate. Lightly implanting the polycrystalline silicon with the appropriate impurity increases the breakdown voltage of the transistor. Lightly implanting n-type material onto the polycrystalline silicon to form an N− region creates the gate of an NMOS device, while lightly implanting p-type material polycrystalline silicon to form a P− region creates the gate of a PMOS device. In exemplary embodiment, the gate is lightly implanted on the order of 1018.
  • Fabricate LDD, denoted as 408, entails implanting a semiconductor substrate with an appropriate impurity to form a LDD region. For example, lightly implanting the substrate with either phosphorous or arsenic, both n-type materials, to create an N− region forms the LDD for an NMOS device. Lightly implanting the substrate with boron, a p-type material, to create a P− region forms the LDD for a PMOS device. When fabricating the LDD for either an NMOS or PMOS device, a portion of the carrier region comprising the LDD overlaps the gate.
  • Fabricate spacers, denoted as 410, entails depositing a dielectric material such as SiO2, though any suitable material can be used on top of a semiconductor substrate to form spacers. Each edge of the gate contains a spacer. In other words, one spacer is adjacent to the source and in contact with the gate while another spacer is adjacent to drain and in contact with the gate.
  • Fabricate source and drain, denoted as 412, entails implanting a semiconductor substrate with an appropriate impurity to form a source and a drain. Heavily implanting the substrate with either phosphorous or arsenic, both n-type materials, to create and N+ region forms the source and the drain for an NMOS device. Heavily implanting the substrate with boron, a p-type material, to create a P+ region forms the source and the drain for a PMOS device. When fabricating the source or the drain for either an NMOS or PMOS device, there is no overlap between the gate and the carrier region comprising the source and the drain. The entire region comprising the drain is horizontally displaced a specified distance from the gate. In an exemplary embodiment, the entire drain region is displaced 0.45 μm from the junction between the gate and a spacer in contact with the gate.
  • Fabricate silicide region, denoted as 414, entails depositing metal on top of poly silicon and then alloy to create silicide, though any suitable material can be used, on top of the gate, the source, and the drain of a transistor to form the connection between the fabricated transistor and a metallization layer. The metallization layer forms the interconnections between the fabricated transistor and other devices. In an embodiment, the region of the semiconductor substrate in between the gate and the drain lacks silicide. In other words, there is a gap in the silicide layer between the gate and the drain, requiring the removal of any silicide in this region.
  • CONCLUSION
  • Example embodiments of the methods, systems, and components of the present invention have been described herein. As noted elsewhere, these example embodiments have been described for illustrative purposes only, and are not limiting. Other embodiments are possible and are covered by the invention. Such other embodiments will be apparent to persons skilled in the relevant art(s) based on the teachings contained herein. Thus, the breadth and scope of the present invention should not be limited by any of the above described exemplary embodiments, but should be defined only in accordance with the following claims and their equivalents.

Claims (20)

What is claimed is:
1. A semiconductor device formed onto a substrate, comprising:
a gate region;
a first well region having a first carrier concentration;
a second well region having a second carrier concentration, the first and the second well regions being in substantial contact with each other through a transition region, the transition region being located beneath the gate region;
a drain region having a third carrier concentration, the third carrier concentration being greater than the first carrier concentration; and
a source region having a fourth carrier concentration, the fourth carrier concentration being greater than the second carrier concentration,
wherein the gate region is displaced from the drain region by a portion of the first well region.
2. The semiconductor device of claim 1, further comprising:
a dielectric region within the portion of the first well region.
3. The semiconductor device of claim 2, wherein the dielectric region comprises:
a first side and a second side,
wherein the first side is in substantial contact with the drain region, and
wherein the second side is located below a spacer, the spacer being in substantial contact with the gate region.
4. The semiconductor device of claim 1, further comprising:
a shallow trench isolation region (STI) region within the portion of the first well region.
5. The semiconductor device of claim 4, wherein the STI region comprises:
a first side and a second side,
wherein the first side is in substantial contact with the drain region, and
wherein the second side is located below a spacer, the spacer being in substantial contact with the gate region.
6. The semiconductor device of claim 1, further comprising:
a silicide region in substantial contact with a top surface of the drain region.
7. The semiconductor device of claim 6, wherein the silicide region is displaced from the gate region by a portion of a top surface of the substrate that corresponds to the portion of the first well region.
8. The semiconductor device of claim 1, further comprising:
a channel located within the source region.
9. The semiconductor device of claim 1, wherein the drain region is within the first we 1 region.
10. The semiconductor device of claim 1, wherein the source region is within the second well region.
11. The semiconductor device of claim 1, wherein the first well region and the drain region comprise a first type of material.
12. The semiconductor device of claim 1, wherein the second well region comprises:
a first type of material, and wherein
the source region comprises a second type of material, the first type of material being different from the second type of material.
13. A semiconductor device, comprising:
a source region within a substrate;
a drain region within the substrate;
a gate region substantially overlapping at least a portion of the source region; and
a dielectric region configured to:
prevent overlap between the gate region and the drain region; and
provide resistance in a current path between the source region and the drain region.
14. The semiconductor device of claim 13, wherein the dielectric region is in contact with the drain region.
15. The semiconductor device of claim 13, wherein the dielectric region substantially overlaps at least a portion of a spacer, the spacer being in substantial contact with the gate region.
16. The semiconductor device of claim 13, wherein the dielectric region is a shallow trench isolation (STI) region.
17. The semiconductor device of claim 13, further comprising:
a first well region within the substrate;
a second well within the substrate, the first and the second wells regions being in substantial contact with each other through a transition region, the transition region being positioned below the gate region.
18. The semiconductor device of claim 13, wherein the drain region and first well region comprises:
a first type of material.
19. The semiconductor device of claim 13, wherein the source region comprises:
a first type of material, and
wherein the second well region comprises:
a second type of material, the first type of material being different from the second type of material.
20. The semiconductor device of claim 13, further comprising:
a silicide region in substantial contact with the drain region and displaced from the gate region by the dielectric region and a spacer.
US14/093,695 2006-07-28 2013-12-02 Semiconductor Device with Increased Breakdown Voltage Abandoned US20140084368A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US14/093,695 US20140084368A1 (en) 2006-07-28 2013-12-02 Semiconductor Device with Increased Breakdown Voltage

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US83378706P 2006-07-28 2006-07-28
US11/580,961 US7855414B2 (en) 2006-07-28 2006-10-16 Semiconductor device with increased breakdown voltage
US12/943,330 US8598670B2 (en) 2006-07-28 2010-11-10 Semiconductor device with increased breakdown voltage
US14/093,695 US20140084368A1 (en) 2006-07-28 2013-12-02 Semiconductor Device with Increased Breakdown Voltage

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US12/943,330 Continuation US8598670B2 (en) 2006-07-28 2010-11-10 Semiconductor device with increased breakdown voltage

Publications (1)

Publication Number Publication Date
US20140084368A1 true US20140084368A1 (en) 2014-03-27

Family

ID=38985309

Family Applications (3)

Application Number Title Priority Date Filing Date
US11/580,961 Expired - Fee Related US7855414B2 (en) 2006-07-28 2006-10-16 Semiconductor device with increased breakdown voltage
US12/943,330 Active 2027-05-08 US8598670B2 (en) 2006-07-28 2010-11-10 Semiconductor device with increased breakdown voltage
US14/093,695 Abandoned US20140084368A1 (en) 2006-07-28 2013-12-02 Semiconductor Device with Increased Breakdown Voltage

Family Applications Before (2)

Application Number Title Priority Date Filing Date
US11/580,961 Expired - Fee Related US7855414B2 (en) 2006-07-28 2006-10-16 Semiconductor device with increased breakdown voltage
US12/943,330 Active 2027-05-08 US8598670B2 (en) 2006-07-28 2010-11-10 Semiconductor device with increased breakdown voltage

Country Status (1)

Country Link
US (3) US7855414B2 (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9123807B2 (en) 2010-12-28 2015-09-01 Broadcom Corporation Reduction of parasitic capacitance in a semiconductor device

Families Citing this family (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080246080A1 (en) * 2006-07-28 2008-10-09 Broadcom Corporation Shallow trench isolation (STI) based laterally diffused metal oxide semiconductor (LDMOS)
US7855414B2 (en) 2006-07-28 2010-12-21 Broadcom Corporation Semiconductor device with increased breakdown voltage
US20080036033A1 (en) * 2006-08-10 2008-02-14 Broadcom Corporation One-time programmable memory
US7902606B2 (en) * 2008-01-11 2011-03-08 International Business Machines Corporation Double gate depletion mode MOSFET
US9748383B2 (en) * 2008-02-15 2017-08-29 X-Fab Semiconductor Foundries Ag Transistor
JP5444694B2 (en) * 2008-11-12 2014-03-19 ソニー株式会社 Solid-state imaging device, manufacturing method thereof, and imaging device
US20100164018A1 (en) * 2008-12-30 2010-07-01 Ming-Cheng Lee High-voltage metal-oxide-semiconductor device
US8203188B2 (en) * 2009-05-22 2012-06-19 Broadcom Corporation Split gate oxides for a laterally diffused metal oxide semiconductor (LDMOS)
US20100295126A1 (en) * 2009-05-22 2010-11-25 Broadcom Corporation High dielectric constant gate oxides for a laterally diffused metal oxide semiconductor (LDMOS)
US8754497B2 (en) * 2009-05-27 2014-06-17 Texas Instruments Incorporated Strained LDMOS and demos
US8274114B2 (en) 2010-01-14 2012-09-25 Broadcom Corporation Semiconductor device having a modified shallow trench isolation (STI) region and a modified well region
DE102010014370B4 (en) * 2010-04-09 2021-12-02 X-Fab Semiconductor Foundries Ag LDMOS transistor and LDMOS component
US8283722B2 (en) 2010-06-14 2012-10-09 Broadcom Corporation Semiconductor device having an enhanced well region
KR101245935B1 (en) * 2010-07-09 2013-03-20 매그나칩 반도체 유한회사 Semiconductor device and method for thereof
CN102097485B (en) * 2011-01-27 2015-12-16 上海华虹宏力半导体制造有限公司 EDMOS transistor and preparation method thereof
FR2984596A1 (en) * 2011-12-16 2013-06-21 St Microelectronics Crolles 2 Extended drain P-channel metal-oxide-semiconductor transistor manufacturing method for voltage regulation device of mobile phone, involves forming drain contact area in P-type housing remote from P-type housing/N-type body housing junction
US9064868B2 (en) * 2012-10-12 2015-06-23 Globalfoundries Inc. Advanced faraday shield for a semiconductor device
US9917168B2 (en) * 2013-06-27 2018-03-13 Taiwan Semiconductor Manufacturing Company, Ltd. Metal oxide semiconductor field effect transistor having variable thickness gate dielectric
US20150035067A1 (en) * 2013-08-05 2015-02-05 Globalfoundries Singapore Pte. Ltd. Low rdson device and method of manufacturing the same
US9418993B2 (en) * 2013-08-05 2016-08-16 Globalfoundries Inc. Device and method for a LDMOS design for a FinFET integrated circuit
US9306055B2 (en) 2014-01-16 2016-04-05 Microchip Technology Incorporated High voltage double-diffused MOS (DMOS) device and method of manufacture
KR101800783B1 (en) * 2016-10-14 2017-11-23 서강대학교 산학협력단 Silicon carbide based transistors and fabrication methods of the same
KR102256226B1 (en) * 2017-08-02 2021-05-25 매그나칩 반도체 유한회사 Semiconductor Device Having Low Rdson and Manufacturing Method Thereof
US10734321B2 (en) * 2017-09-28 2020-08-04 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit and method of manufacturing same
CN109755133B (en) * 2017-11-07 2021-11-26 中芯国际集成电路制造(上海)有限公司 LDMOS transistor and manufacturing method thereof
US11121224B2 (en) * 2019-02-08 2021-09-14 Texas Instruments Incorporated Transistor with field plate over tapered trench isolation
CN113964190B (en) * 2020-07-21 2024-04-16 苏州华太电子技术股份有限公司 High-mobility p-type polysilicon gate LDMOS device and manufacturing method thereof

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6548874B1 (en) * 1999-10-27 2003-04-15 Texas Instruments Incorporated Higher voltage transistors for sub micron CMOS processes

Family Cites Families (82)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0734475B2 (en) 1989-03-10 1995-04-12 株式会社東芝 Semiconductor device
US4902639A (en) 1989-08-03 1990-02-20 Motorola, Inc. Process for making BiCMOS integrated circuit having a shallow trench bipolar transistor with vertical base contacts
EP0487022B1 (en) 1990-11-23 1997-04-23 Texas Instruments Incorporated A method of simultaneously fabricating an insulated gate-field-effect transistor and a bipolar transistor
US5583067A (en) 1993-01-22 1996-12-10 Intel Corporation Inverse T-gate semiconductor device with self-aligned punchthrough stops and method of fabrication
JPH0897411A (en) 1994-09-21 1996-04-12 Fuji Electric Co Ltd Lateral trench mos fet having high withstanding voltage and its manufacture
US5501996A (en) 1994-12-14 1996-03-26 United Microelectronics Corporation Method of manufacture of high coupling ratio single polysilicon floating gate EPROM or EEPROM cell
KR0161398B1 (en) 1995-03-13 1998-12-01 김광호 High voltage transistor and its fabrication
US6402614B1 (en) * 1995-06-30 2002-06-11 Walker Digital, Llc Off-line remote system for lotteries and games of skill
US6242787B1 (en) 1995-11-15 2001-06-05 Denso Corporation Semiconductor device and manufacturing method thereof
TW299495B (en) 1996-05-03 1997-03-01 Winbond Electronics Corp Electrostatic discharge protection circuit
US5741737A (en) 1996-06-27 1998-04-21 Cypress Semiconductor Corporation MOS transistor with ramped gate oxide thickness and method for making same
US6121666A (en) 1997-06-27 2000-09-19 Sun Microsystems, Inc. Split gate oxide asymmetric MOS devices
US5910673A (en) 1997-12-04 1999-06-08 Sharp Microelectronics Technology, Inc. Locos MOS device for ESD protection
US5918133A (en) 1997-12-18 1999-06-29 Advanced Micro Devices Semiconductor device having dual gate dielectric thickness along the channel and fabrication thereof
US6077749A (en) 1998-03-03 2000-06-20 Advanced Micro Devices, Inc. Method of making dual channel gate oxide thickness for MOSFET transistor design
US6049119A (en) 1998-05-01 2000-04-11 Motorola, Inc. Protection circuit for a semiconductor device
KR100261170B1 (en) 1998-05-06 2000-07-01 김영환 Semiconductor device and method for fabricating the same
US6034388A (en) * 1998-05-15 2000-03-07 International Business Machines Corporation Depleted polysilicon circuit element and method for producing the same
US6172401B1 (en) 1998-06-30 2001-01-09 Intel Corporation Transistor device configurations for high voltage applications and improved device performance
US6200843B1 (en) 1998-09-24 2001-03-13 International Business Machines Corporation High-voltage, high performance FETs
US6204537B1 (en) 1998-10-01 2001-03-20 Micron Technology, Inc. ESD protection scheme
US6424005B1 (en) 1998-12-03 2002-07-23 Texas Instruments Incorporated LDMOS power device with oversized dwell
US6441431B1 (en) 1998-12-04 2002-08-27 Texas Instruments Incorporated Lateral double diffused metal oxide semiconductor device
US6211552B1 (en) * 1999-05-27 2001-04-03 Texas Instruments Incorporated Resurf LDMOS device with deep drain region
US6177324B1 (en) 1999-10-28 2001-01-23 Chartered Semiconductor Manufacturing, Ltd. ESD protection device for STI deep submicron technology
US6555446B1 (en) 1999-12-10 2003-04-29 Texas Instruments Incorporated Body contact silicon-on-insulator transistor and method
US6528850B1 (en) * 2000-05-03 2003-03-04 Linear Technology Corporation High voltage MOS transistor with up-retro well
JP3831602B2 (en) 2000-12-07 2006-10-11 三洋電機株式会社 Manufacturing method of semiconductor device
US6960819B2 (en) 2000-12-20 2005-11-01 Broadcom Corporation System and method for one-time programmed memory through direct-tunneling oxide breakdown
US6448625B1 (en) 2001-03-16 2002-09-10 Semiconductor Components Industries Llc High voltage metal oxide device with enhanced well region
US6501139B1 (en) * 2001-03-30 2002-12-31 Matrix Semiconductor, Inc. High-voltage transistor and fabrication process
US6582156B1 (en) * 2001-06-28 2003-06-24 David A. Flowers Level control device for wetlands wastewater treatment facilities
DE10131706B4 (en) 2001-06-29 2005-10-06 Atmel Germany Gmbh Method for producing a DMOS transistor
US6465307B1 (en) 2001-11-30 2002-10-15 Texas Instruments Incorporated Method for manufacturing an asymmetric I/O transistor
US6620656B2 (en) 2001-12-19 2003-09-16 Motorola, Inc. Method of forming body-tied silicon on insulator semiconductor device
DE60131094D1 (en) 2001-12-20 2007-12-06 St Microelectronics Srl Method for integrating metal oxide semiconductor field effect transistors
US6580156B1 (en) 2002-04-04 2003-06-17 Broadcom Corporation Integrated fuse with regions of different doping within the fuse neck
US6798684B2 (en) 2002-04-04 2004-09-28 Broadcom Corporation Methods and systems for programmable memory using silicided poly-silicon fuses
US6700176B2 (en) 2002-07-18 2004-03-02 Broadcom Corporation MOSFET anti-fuse structure and method for making same
US6903969B2 (en) 2002-08-30 2005-06-07 Micron Technology Inc. One-device non-volatile random access memory cell
DE10246718A1 (en) 2002-10-07 2004-04-22 Infineon Technologies Ag Field effect transistor comprises a semiconductor substrate, a source recess and a drain recess formed in the substrate, a recessed insulating layer, an electrically conducting filler layer, a gate dielectric, and a gate layer
US6876035B2 (en) 2003-05-06 2005-04-05 International Business Machines Corporation High voltage N-LDMOS transistors having shallow trench isolation region
US6900101B2 (en) 2003-06-13 2005-05-31 Texas Instruments Incorporated LDMOS transistors and methods for making the same
US7274076B2 (en) 2003-10-20 2007-09-25 Micron Technology, Inc. Threshold voltage adjustment for long channel transistors
KR100552839B1 (en) 2003-11-05 2006-02-22 동부아남반도체 주식회사 Semiconductor device and method for manufacturing thereof
US6953738B2 (en) 2003-12-12 2005-10-11 Freescale Semiconductor, Inc. Method and apparatus for forming an SOI body-contacted transistor
US7145203B2 (en) * 2004-04-26 2006-12-05 Impinj, Inc. Graded-junction high-voltage MOSFET in standard logic CMOS
US20080164537A1 (en) 2007-01-04 2008-07-10 Jun Cai Integrated complementary low voltage rf-ldmos
US7282410B2 (en) 2004-07-21 2007-10-16 Taiwan Semiconductor Manufacturing Company, Ltd. Flash memory process with high voltage LDMOS embedded
US7161213B2 (en) 2004-08-05 2007-01-09 Broadcom Corporation Low threshold voltage PMOS apparatus and method of fabricating the same
US7468537B2 (en) * 2004-12-15 2008-12-23 Texas Instruments Incorporated Drain extended PMOS transistors and methods for making the same
US7405443B1 (en) 2005-01-07 2008-07-29 Volterra Semiconductor Corporation Dual gate lateral double-diffused MOSFET (LDMOS) transistor
KR100702775B1 (en) 2005-05-03 2007-04-03 주식회사 하이닉스반도체 Method for forming isolation in semiconductor device
DE102005022129B4 (en) * 2005-05-12 2015-06-18 Infineon Technologies Ag Field effect transistor and method for producing a field effect transistor
US7439584B2 (en) * 2005-05-19 2008-10-21 Freescale Semiconductor, Inc. Structure and method for RESURF LDMOSFET with a current diverter
US7348256B2 (en) 2005-07-25 2008-03-25 Atmel Corporation Methods of forming reduced electric field DMOS using self-aligned trench isolation
US7419863B1 (en) 2005-08-29 2008-09-02 National Semiconductor Corporation Fabrication of semiconductor structure in which complementary field-effect transistors each have hypoabrupt body dopant distribution below at least one source/drain zone
US20070054464A1 (en) 2005-09-08 2007-03-08 Chartered Semiconductor Manufacturing Ltd. Different STI depth for Ron improvement for LDMOS integration with submicron devices
US7405446B2 (en) 2005-09-27 2008-07-29 Lattice Semiconductor Corporation Electrostatic protection systems and methods
US7372104B2 (en) * 2005-12-12 2008-05-13 Taiwan Semiconductor Manufacturing Company, Ltd. High voltage CMOS devices
US7345341B2 (en) 2006-02-09 2008-03-18 Taiwan Semiconductor Manufacturing Co., Ltd. High voltage semiconductor devices and methods for fabricating the same
JP5307973B2 (en) 2006-02-24 2013-10-02 セミコンダクター・コンポーネンツ・インダストリーズ・リミテッド・ライアビリティ・カンパニー Semiconductor device
US20070221999A1 (en) 2006-03-23 2007-09-27 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices and methods of manufacture thereof
US20080023767A1 (en) 2006-07-27 2008-01-31 Voldman Steven H High voltage electrostatic discharge protection devices and electrostatic discharge protection circuits
US20080246080A1 (en) 2006-07-28 2008-10-09 Broadcom Corporation Shallow trench isolation (STI) based laterally diffused metal oxide semiconductor (LDMOS)
US7855414B2 (en) 2006-07-28 2010-12-21 Broadcom Corporation Semiconductor device with increased breakdown voltage
US20080036033A1 (en) 2006-08-10 2008-02-14 Broadcom Corporation One-time programmable memory
KR100780960B1 (en) * 2006-09-22 2007-12-03 삼성전자주식회사 Method of forming metal lines and bumps for semiconductor devices
US7602017B2 (en) 2007-03-13 2009-10-13 Fairchild Semiconductor Corporation Short channel LV, MV, and HV CMOS devices
KR100848245B1 (en) 2007-06-25 2008-07-24 주식회사 동부하이텍 Semiconductor device and method for manufacturing the same
US7723785B2 (en) 2007-07-31 2010-05-25 Taiwan Semiconductor Manufacturing Company, Ltd. High performance power MOS structure
JP4772843B2 (en) 2008-09-17 2011-09-14 シャープ株式会社 Semiconductor device and manufacturing method thereof
DE102008051245B4 (en) 2008-10-10 2015-04-02 Austriamicrosystems Ag High-voltage transistor with high current carrying capacity and method of manufacture
US8159029B2 (en) 2008-10-22 2012-04-17 Taiwan Semiconductor Manufacturing Company, Ltd. High voltage device having reduced on-state resistance
KR20100064264A (en) 2008-12-04 2010-06-14 주식회사 동부하이텍 Semiconductor device and method for manufacturing the same
US7898026B2 (en) 2009-03-23 2011-03-01 Force Mos Technology Co., Ltd. LDMOS with double LDD and trenched drain
US8203188B2 (en) 2009-05-22 2012-06-19 Broadcom Corporation Split gate oxides for a laterally diffused metal oxide semiconductor (LDMOS)
US20100295126A1 (en) 2009-05-22 2010-11-25 Broadcom Corporation High dielectric constant gate oxides for a laterally diffused metal oxide semiconductor (LDMOS)
US8274114B2 (en) 2010-01-14 2012-09-25 Broadcom Corporation Semiconductor device having a modified shallow trench isolation (STI) region and a modified well region
US20110241112A1 (en) 2010-03-31 2011-10-06 Zuniga Marco A LDMOS Device with P-Body for Reduced Capacitance
US8283722B2 (en) 2010-06-14 2012-10-09 Broadcom Corporation Semiconductor device having an enhanced well region
US9123807B2 (en) 2010-12-28 2015-09-01 Broadcom Corporation Reduction of parasitic capacitance in a semiconductor device

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6548874B1 (en) * 1999-10-27 2003-04-15 Texas Instruments Incorporated Higher voltage transistors for sub micron CMOS processes

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9123807B2 (en) 2010-12-28 2015-09-01 Broadcom Corporation Reduction of parasitic capacitance in a semiconductor device

Also Published As

Publication number Publication date
US20080023760A1 (en) 2008-01-31
US8598670B2 (en) 2013-12-03
US7855414B2 (en) 2010-12-21
US20110057271A1 (en) 2011-03-10

Similar Documents

Publication Publication Date Title
US8598670B2 (en) Semiconductor device with increased breakdown voltage
US8101479B2 (en) Fabrication of asymmetric field-effect transistors using L-shaped spacers
US20080246080A1 (en) Shallow trench isolation (STI) based laterally diffused metal oxide semiconductor (LDMOS)
US9105719B2 (en) Multigate metal oxide semiconductor devices and fabrication methods
US6989309B2 (en) High voltage MOS transistor with up-retro well by providing dopant in an epitaxial layer
US5349225A (en) Field effect transistor with a lightly doped drain
US6271551B1 (en) Si-Ge CMOS semiconductor device
CN110998842B (en) Integrated circuit with trapezoidal JFET, bottom gate and ballasting drift, LDMOS and manufacturing method
US6255152B1 (en) Method of fabricating CMOS using Si-B layer to form source/drain extension junction
US7161213B2 (en) Low threshold voltage PMOS apparatus and method of fabricating the same
US6204138B1 (en) Method for fabricating a MOSFET device structure which facilitates mitigation of junction capacitance and floating body effects
US9306057B2 (en) Metal oxide semiconductor devices and fabrication methods
US20060011985A1 (en) Asymmetric hetero-doped high-voltage MOSFET (AH2MOS)
US8765544B2 (en) Fabrication of a semiconductor device having an enhanced well region
KR100638546B1 (en) Method of forming transistor structure and transistor structure
US6274443B1 (en) Simplified graded LDD transistor using controlled polysilicon gate profile
US20140167173A1 (en) Increasing the breakdown voltage of a metal oxide semiconductor device
US6287922B1 (en) Method for fabricating graded LDD transistor using controlled polysilicon gate profile
US6512244B1 (en) SOI device with structure for enhancing carrier recombination and method of fabricating same
US6420767B1 (en) Capacitively coupled DTMOS on SOI
US7122863B1 (en) SOI device with structure for enhancing carrier recombination and method of fabricating same
US6359298B1 (en) Capacitively coupled DTMOS on SOI for multiple devices
US6882013B2 (en) Transistor with reduced short channel effects and method
JP5463698B2 (en) Semiconductor element, semiconductor device, and method of manufacturing semiconductor element
KR20040038379A (en) Smart power device built-in SiGe HBT and fabrication method of the same

Legal Events

Date Code Title Description
AS Assignment

Owner name: BROADCOM CORPORATION, CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:ITO, AKIRA;CHEN, HENRY KUO-SHUN;SIGNING DATES FROM 20061011 TO 20061012;REEL/FRAME:031716/0350

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION

AS Assignment

Owner name: BANK OF AMERICA, N.A., AS COLLATERAL AGENT, NORTH CAROLINA

Free format text: PATENT SECURITY AGREEMENT;ASSIGNOR:BROADCOM CORPORATION;REEL/FRAME:037806/0001

Effective date: 20160201

Owner name: BANK OF AMERICA, N.A., AS COLLATERAL AGENT, NORTH

Free format text: PATENT SECURITY AGREEMENT;ASSIGNOR:BROADCOM CORPORATION;REEL/FRAME:037806/0001

Effective date: 20160201

AS Assignment

Owner name: AVAGO TECHNOLOGIES GENERAL IP (SINGAPORE) PTE. LTD., SINGAPORE

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:BROADCOM CORPORATION;REEL/FRAME:041706/0001

Effective date: 20170120

Owner name: AVAGO TECHNOLOGIES GENERAL IP (SINGAPORE) PTE. LTD

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:BROADCOM CORPORATION;REEL/FRAME:041706/0001

Effective date: 20170120

AS Assignment

Owner name: BROADCOM CORPORATION, CALIFORNIA

Free format text: TERMINATION AND RELEASE OF SECURITY INTEREST IN PATENTS;ASSIGNOR:BANK OF AMERICA, N.A., AS COLLATERAL AGENT;REEL/FRAME:041712/0001

Effective date: 20170119