US20130264585A1 - Semiconductor device with stress-providing structure - Google Patents

Semiconductor device with stress-providing structure Download PDF

Info

Publication number
US20130264585A1
US20130264585A1 US13/907,980 US201313907980A US2013264585A1 US 20130264585 A1 US20130264585 A1 US 20130264585A1 US 201313907980 A US201313907980 A US 201313907980A US 2013264585 A1 US2013264585 A1 US 2013264585A1
Authority
US
United States
Prior art keywords
recess
stress
semiconductor device
substrate
channel structure
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US13/907,980
Inventor
Chin-I Liao
Ching-Hong Jiang
Ching-I Li
Shu-Yen Chan
Chin-Cheng Chien
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
United Microelectronics Corp
Original Assignee
United Microelectronics Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by United Microelectronics Corp filed Critical United Microelectronics Corp
Priority to US13/907,980 priority Critical patent/US20130264585A1/en
Assigned to UNITED MICROELECTRONICS CORPORAITON reassignment UNITED MICROELECTRONICS CORPORAITON ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: CHAN, SHU-YEN, CHIEN, CHIN-CHENG, LI, CHING-I, LIAO, CHIN-I, JIANG, CHING-HONG
Publication of US20130264585A1 publication Critical patent/US20130264585A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • H01L21/3247Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering for altering the shape, e.g. smoothing the surface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66636Lateral single gate silicon transistors with source or drain recessed by etching or first recessed by etching and then refilled
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain

Definitions

  • the present invention relates to a semiconductor device, and more particularly to a semiconductor device with a stress-providing structure.
  • CMOS complementary metal-oxide-semiconductor
  • SAE selective area epitaxial
  • the object of the present invention is to provide a semiconductor device.
  • the semiconductor device includes a substrate, a recess and a stress-providing structure.
  • a channel structure is formed in the substrate.
  • the recess is formed in the substrate and arranged beside the channel structure.
  • the recess has a round inner surface.
  • the stress-providing structure is formed within the recess.
  • the stress-providing structure has a round outer surface.
  • the semiconductor device further includes a gate structure, which is formed over the channel structure.
  • the recess has a depth from 550 to 700 angstroms, and preferably from 600 to 650 angstroms.
  • the substrate is a silicon substrate.
  • the channel structure is a p-type channel structure
  • the stress-providing structure is made of silicon germanium (SiGe) or germanium.
  • the channel structure is an n-type channel structure
  • the stress-providing structure is made of silicon carbide (SiC).
  • FIGS. 1A ⁇ 1E schematically illustrate a process for fabricating a stress-providing structure according to an embodiment of the present invention.
  • FIGS. 1A ⁇ 1E schematically illustrate a process for fabricating a stress-providing structure according to an embodiment of the present invention.
  • the process for fabricating the stress-providing structure may be applied to the fabrication of a semiconductor device such as a complementary metal-oxide-semiconductor (CMOS) transistor.
  • CMOS complementary metal-oxide-semiconductor
  • a substrate 1 is provided.
  • An example of the substrate 1 includes but is not limited to a silicon substrate.
  • a channel structure 10 is formed in the substrate 1
  • a gate structure 11 is formed over the channel structure 10 .
  • the gate structure 11 comprises a gate insulator layer 110 and a gate conductor layer 111 .
  • a silicon nitride layer 12 is formed over the substrate 20 by chemical vapor deposition under a halogen-containing environment.
  • the chemical vapor deposition is performed under a chlorine-containing environment.
  • the chlorine-containing environment includes a chlorine-containing species such as hexachlorodisilane (Si 2 Cl 6 , also referred as HCD) or dichlorosilane (SiH 2 Cl 2 , also referred as DCS).
  • the silicon nitride layer 12 is chlorine-rich.
  • a series of photolithography and etching processes are performed to partially remove the silicon nitride layer 12 so as to partially expose the surface of the substrate 1 beside the channel structure 10 .
  • an etching process is performed to remove the exposed surface of the substrate 1 to produce a recess 13 .
  • the depth of the recess 13 is from 550 angstroms to 700 angstroms, and preferably from 600 angstroms to 650 angstroms.
  • the recess has a sigma-shaped inner surface 130 .
  • the profile of the sigma-shaped inner surface 130 is similar to the profile of the sidewall of the conventional embedded source/drain structure.
  • the substrate 1 with the recess 13 is subjected to a thermal treatment process.
  • the thermal treatment process is performed by baking the substrate 1 under a hydrogen gas atmosphere at a temperature between 750° C. and 820° C. for a time period from 10 seconds to 10000 seconds.
  • the halogen-rich atoms e.g. chlorine-rich atoms
  • the elevated temperature of between 750° C. and 820° C.
  • the depth of the recess 20 is from 550 angstroms to 700 angstroms, and preferably from 600 angstroms to 650angstroms.
  • the stress-providing material is filled into the recess 20 to form a stress-providing structure 21 within the recess 20 .
  • the stress-providing structure 21 has a round outer surface.
  • the stress-providing material is silicon germanium (SiGe) or germanium (Ge).
  • the stress-providing material is silicon carbide (SiC).
  • the process for manufacturing a stress-providing structure according to the present invention may be applied to the fabrication of a semiconductor device.
  • the inner surface of the recess 20 has enhanced cleanliness, and is chlorine-free.
  • the round inner surface of the recess 20 is beneficial for providing increased channel stress.
  • the round inner surface of the recess produced by embodiment of present invention may provide better channel mobility than the conventional sigma-shaped inner surface.

Abstract

A semiconductor device is provided. The semiconductor device includes a substrate, a recess and a stress-providing structure. A channel structure is formed in the substrate. The recess is formed in the substrate and arranged beside the channel structure. The recess has a round inner surface. The stress-providing structure is formed within the recess. Corresponding to the profile of the round inner surface of the recess, the stress-providing structure has a round outer surface.

Description

    CROSS-REFERENCE TO RELATED APPLICATION
  • The present application is a divisional application claiming benefit from a parent U.S. patent application bearing a Ser. No. 13/110,294 and filed May 18, 2011, entire contents of which are incorporated herein by reference.
  • FIELD OF THE INVENTION
  • The present invention relates to a semiconductor device, and more particularly to a semiconductor device with a stress-providing structure.
  • BACKGROUND OF THE INVENTION
  • Generally, in the fabrication of a complementary metal-oxide-semiconductor (CMOS) transistor, a selective area epitaxial (SAE) (growth) process is widely used to form source/drain regions. By using the selective area epitaxial process to provide stress, the channel mobility of the transistor is improved and the performance of the transistor is enhanced.
  • However, the efficacy of using the conventional selective area epitaxial process to increase the performance of the transistor is still unsatisfactory.
  • SUMMARY OF THE INVENTION
  • Therefore, the object of the present invention is to provide a semiconductor device. The semiconductor device includes a substrate, a recess and a stress-providing structure. A channel structure is formed in the substrate. The recess is formed in the substrate and arranged beside the channel structure. The recess has a round inner surface. The stress-providing structure is formed within the recess. Corresponding to the round inner surface, the stress-providing structure has a round outer surface.
  • In an embodiment, the semiconductor device further includes a gate structure, which is formed over the channel structure.
  • In an embodiment, the recess has a depth from 550 to 700 angstroms, and preferably from 600 to 650 angstroms.
  • In an embodiment, the substrate is a silicon substrate.
  • In an embodiment, the channel structure is a p-type channel structure, and the stress-providing structure is made of silicon germanium (SiGe) or germanium.
  • In an embodiment, the channel structure is an n-type channel structure, and the stress-providing structure is made of silicon carbide (SiC).
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • The above objects and advantages of the present invention will become more readily apparent to those ordinarily skilled in the art after reviewing the following detailed description and accompanying drawings, in which:
  • FIGS. 1A˜1E schematically illustrate a process for fabricating a stress-providing structure according to an embodiment of the present invention.
  • DETAILED DESCRIPTION OF PREFERRED EMBODIMENTS
  • The present invention will now be described more specifically with reference to the following embodiments. It is to be noted that the following descriptions of preferred embodiments of this invention are presented herein for purpose of illustration and description only. It is not intended to be exhaustive or to be limited to the precise form disclosed.
  • FIGS. 1A˜1E schematically illustrate a process for fabricating a stress-providing structure according to an embodiment of the present invention. The process for fabricating the stress-providing structure may be applied to the fabrication of a semiconductor device such as a complementary metal-oxide-semiconductor (CMOS) transistor.
  • Firstly, as shown in FIG. 1A, a substrate 1 is provided. An example of the substrate 1 includes but is not limited to a silicon substrate. In addition, a channel structure 10 is formed in the substrate 1, and a gate structure 11 is formed over the channel structure 10. In this embodiment, the gate structure 11 comprises a gate insulator layer 110 and a gate conductor layer 111.
  • Then, as shown in FIG. 1B, a silicon nitride layer 12 is formed over the substrate 20 by chemical vapor deposition under a halogen-containing environment. In one embodiment, the chemical vapor deposition is performed under a chlorine-containing environment. The chlorine-containing environment includes a chlorine-containing species such as hexachlorodisilane (Si2Cl6, also referred as HCD) or dichlorosilane (SiH2Cl2, also referred as DCS). In such one embodiment, the silicon nitride layer 12 is chlorine-rich.
  • Then, as shown in FIG. 1C, a series of photolithography and etching processes are performed to partially remove the silicon nitride layer 12 so as to partially expose the surface of the substrate 1 beside the channel structure 10. Then, an etching process is performed to remove the exposed surface of the substrate 1 to produce a recess 13. The depth of the recess 13 is from 550 angstroms to 700 angstroms, and preferably from 600 angstroms to 650 angstroms. As shown in FIG. 1C, the recess has a sigma-shaped inner surface 130. The profile of the sigma-shaped inner surface 130 is similar to the profile of the sidewall of the conventional embedded source/drain structure. By utilizing the lattice property of the silicon substrate and performing dry/wet etching processes, the sigma-shaped inner surface 130 will be produced.
  • Then, the substrate 1 with the recess 13 is subjected to a thermal treatment process. For example, the thermal treatment process is performed by baking the substrate 1 under a hydrogen gas atmosphere at a temperature between 750° C. and 820° C. for a time period from 10 seconds to 10000 seconds. Prior to the thermal treatment process, the halogen-rich atoms (e.g. chlorine-rich atoms) of the silicon nitride layer 12 are released to the inner surface of the recess 13, and the halogen-rich atoms and the silicon atoms interact with each other at the inner surface of the recess 13. Moreover, during the thermal treatment process is performed, the elevated temperature of between 750° C. and 820° C. causes migration and recombination of the silicon atoms at the inner wall of the recess 13. Consequently, a recess 20 with a round inner surface is produced (see FIG. 1D). The depth of the recess 20 is from 550 angstroms to 700 angstroms, and preferably from 600 angstroms to 650angstroms.
  • Then, a stress-providing material is filled into the recess 20 to form a stress-providing structure 21 within the recess 20. Corresponding to the round inner surface of the recess 20, the stress-providing structure 21 has a round outer surface. In a case that the channel structure 10 is a p-type channel structure, the stress-providing material is silicon germanium (SiGe) or germanium (Ge). Whereas, in a case that the channel structure 10 is an n-type channel structure, the stress-providing material is silicon carbide (SiC).
  • From the above description, the process for manufacturing a stress-providing structure according to the present invention may be applied to the fabrication of a semiconductor device. The inner surface of the recess 20 has enhanced cleanliness, and is chlorine-free. Moreover, the round inner surface of the recess 20 is beneficial for providing increased channel stress. Experiments demonstrate that under side-by-side comparison by maintaining the stress-providing material to be unchanged or constant, the round inner surface of the recess produced by embodiment of present invention may provide better channel mobility than the conventional sigma-shaped inner surface.
  • While the invention has been described in terms of what is presently considered to be the most practical and preferred embodiments, it is to be understood that the invention needs not be limited to the disclosed embodiment. On the contrary, it is intended to cover various modifications and similar arrangements included within the spirit and scope of the appended claims which are to be accorded with the broadest interpretation so as to encompass all such modifications and similar structures.

Claims (6)

What is claimed is:
1. A semiconductor device, comprising:
a substrate with a channel structure; and
a stress-providing structure formed within a recess, wherein the recess was formed in the substrate and arranged beside the channel structure, wherein the recess has a round inner surface, the stress-providing structure is filling the recess and has a round outer surface corresponding to the round inner surface of the recess.
2. The semiconductor device according to claim 1, wherein the semiconductor device further comprises a gate structure, which is formed over the channel structure.
3. The semiconductor device according to claim 1, wherein the recess has a depth from 600 angstroms to 650 angstroms.
4. The semiconductor device according to claim 1, wherein the substrate is a silicon substrate.
5. The semiconductor device according to claim 1, wherein the channel structure is a p-type channel structure, and the stress-providing structure is made of silicon germanium (SiGe) or germanium.
6. The semiconductor device according to claim 1, wherein the channel structure is an n-type channel structure, and the stress-providing structure is made of silicon carbide (SiC).
US13/907,980 2011-05-18 2013-06-03 Semiconductor device with stress-providing structure Abandoned US20130264585A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US13/907,980 US20130264585A1 (en) 2011-05-18 2013-06-03 Semiconductor device with stress-providing structure

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US13/110,294 US8481391B2 (en) 2011-05-18 2011-05-18 Process for manufacturing stress-providing structure and semiconductor device with such stress-providing structure
US13/907,980 US20130264585A1 (en) 2011-05-18 2013-06-03 Semiconductor device with stress-providing structure

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US13/110,294 Division US8481391B2 (en) 2011-05-18 2011-05-18 Process for manufacturing stress-providing structure and semiconductor device with such stress-providing structure

Publications (1)

Publication Number Publication Date
US20130264585A1 true US20130264585A1 (en) 2013-10-10

Family

ID=47174282

Family Applications (2)

Application Number Title Priority Date Filing Date
US13/110,294 Active 2031-09-27 US8481391B2 (en) 2011-05-18 2011-05-18 Process for manufacturing stress-providing structure and semiconductor device with such stress-providing structure
US13/907,980 Abandoned US20130264585A1 (en) 2011-05-18 2013-06-03 Semiconductor device with stress-providing structure

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US13/110,294 Active 2031-09-27 US8481391B2 (en) 2011-05-18 2011-05-18 Process for manufacturing stress-providing structure and semiconductor device with such stress-providing structure

Country Status (1)

Country Link
US (2) US8481391B2 (en)

Family Cites Families (136)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4891303A (en) 1988-05-26 1990-01-02 Texas Instruments Incorporated Trilayer microlithographic process using a silicon-based resist as the middle layer
JPH0282575A (en) 1988-09-19 1990-03-23 Toshiba Corp Semiconductor device and its manufacture
KR940004446B1 (en) 1990-11-05 1994-05-25 미쓰비시뎅끼 가부시끼가이샤 Method of making semiconductor device
JP3211394B2 (en) 1992-08-13 2001-09-25 ソニー株式会社 Method for manufacturing semiconductor device
US5273930A (en) 1992-09-03 1993-12-28 Motorola, Inc. Method of forming a non-selective silicon-germanium epitaxial film
US5777364A (en) 1992-11-30 1998-07-07 International Business Machines Corporation Graded channel field effect transistor
WO1994014198A1 (en) 1992-12-11 1994-06-23 Intel Corporation A mos transistor having a composite gate electrode and method of fabrication
US5385630A (en) 1993-06-29 1995-01-31 Digital Equipment Corporation Process for increasing sacrificial oxide etch rate to reduce field oxide loss
US5372957A (en) 1993-07-22 1994-12-13 Taiwan Semiconductor Manufacturing Company Multiple tilted angle ion implantation MOSFET method
US5710450A (en) 1994-12-23 1998-01-20 Intel Corporation Transistor with ultra shallow tip and method of fabrication
JPH09321304A (en) 1996-03-22 1997-12-12 Seiko Epson Corp Semiconductor device having mos elements and manufacture thereof
US5793090A (en) 1997-01-10 1998-08-11 Advanced Micro Devices, Inc. Integrated circuit having multiple LDD and/or source/drain implant steps to enhance circuit performance
US6030874A (en) 1997-01-21 2000-02-29 Texas Instruments Incorporated Doped polysilicon to retard boron diffusion into and through thin gate dielectrics
US5783479A (en) 1997-06-23 1998-07-21 National Science Council Structure and method for manufacturing improved FETs having T-shaped gates
KR100246602B1 (en) 1997-07-31 2000-03-15 정선종 A mosfet and method for fabricating the same
JP3389075B2 (en) 1997-10-01 2003-03-24 株式会社東芝 Method for manufacturing semiconductor device
US5960322A (en) 1997-12-19 1999-09-28 Advanced Micro Devices, Inc. Suppression of boron segregation for shallow source and drain junctions in semiconductors
US6100171A (en) 1998-03-03 2000-08-08 Advanced Micro Devices, Inc. Reduction of boron penetration by laser anneal removal of fluorine
US6632718B1 (en) 1998-07-15 2003-10-14 Texas Instruments Incorporated Disposable spacer technology for reduced cost CMOS processing
US6074954A (en) 1998-08-31 2000-06-13 Applied Materials, Inc Process for control of the shape of the etch front in the etching of polysilicon
FR2783254B1 (en) 1998-09-10 2000-11-10 France Telecom METHOD FOR OBTAINING A LAYER OF MONOCRYSTALLINE GERMANIUM ON A MONOCRYSTALLINE SILICON SUBSTRATE, AND PRODUCTS OBTAINED
US6165881A (en) 1998-10-23 2000-12-26 Taiwan Semiconductor Manufacturing Company Method of forming salicide poly gate with thin gate oxide and ultra narrow gate width
US6887762B1 (en) 1998-11-12 2005-05-03 Intel Corporation Method of fabricating a field effect transistor structure with abrupt source/drain junctions
US6191052B1 (en) 1999-01-25 2001-02-20 Taiwan Semiconductor Manufacturing Company Method for fabricating an ultra-shallow junction with low resistance using a screen oxide formed by poly re-oxidation in a nitrogen containing atmosphere
US6228730B1 (en) 1999-04-28 2001-05-08 United Microelectronics Corp. Method of fabricating field effect transistor
US6110787A (en) 1999-09-07 2000-08-29 Chartered Semiconductor Manufacturing Ltd. Method for fabricating a MOS device
KR20010063781A (en) 1999-12-24 2001-07-09 박종섭 Fabricating method for semiconductor device
US6368926B1 (en) 2000-03-13 2002-04-09 Advanced Micro Devices, Inc. Method of forming a semiconductor device with source/drain regions having a deep vertical junction
JP4406995B2 (en) 2000-03-27 2010-02-03 パナソニック株式会社 Semiconductor substrate and method for manufacturing semiconductor substrate
KR100333372B1 (en) 2000-06-21 2002-04-19 박종섭 Method of manufacturing metal gate mosfet device
US6444591B1 (en) 2000-09-30 2002-09-03 Newport Fab, Llc Method for reducing contamination prior to epitaxial growth and related structure
US6365476B1 (en) 2000-10-27 2002-04-02 Ultratech Stepper, Inc. Laser thermal process for fabricating field-effect transistors
US6777275B1 (en) 2000-11-15 2004-08-17 Advanced Micro Devices, Inc. Single anneal for dopant activation and silicide formation
US6613695B2 (en) 2000-11-24 2003-09-02 Asm America, Inc. Surface preparation prior to deposition
US6750119B2 (en) 2001-04-20 2004-06-15 International Business Machines Corporation Epitaxial and polycrystalline growth of Si1-x-yGexCy and Si1-yCy alloy layers on Si by UHV-CVD
KR20020083767A (en) 2001-04-30 2002-11-04 주식회사 하이닉스반도체 Method for cleaning substrate in selective epitaxial growth process
US6780735B2 (en) 2001-04-30 2004-08-24 International Business Machines Corporation Method to increase carbon and boron doping concentrations in Si and SiGe films
JP2004533118A (en) 2001-05-30 2004-10-28 エーエスエム アメリカ インコーポレイテッド Low temperature loading and unloading and baking
US6624068B2 (en) 2001-08-24 2003-09-23 Texas Instruments Incorporated Polysilicon processing using an anti-reflective dual layer hardmask for 193 nm lithography
US7008864B2 (en) 2001-10-26 2006-03-07 Sige Semiconductor Inc. Method of depositing high-quality SiGe on SiGe substrates
US6621131B2 (en) 2001-11-01 2003-09-16 Intel Corporation Semiconductor transistor having a stressed channel
US6703271B2 (en) 2001-11-30 2004-03-09 Taiwan Semiconductor Manufacturing Company Complementary metal oxide semiconductor transistor technology using selective epitaxy of a strained silicon germanium layer
US6806151B2 (en) 2001-12-14 2004-10-19 Texas Instruments Incorporated Methods and apparatus for inducing stress in a semiconductor device
JP4173672B2 (en) 2002-03-19 2008-10-29 株式会社ルネサステクノロジ Semiconductor device and manufacturing method thereof
US6605498B1 (en) 2002-03-29 2003-08-12 Intel Corporation Semiconductor transistor having a backfilled channel material
AU2003247513A1 (en) 2002-06-10 2003-12-22 Amberwave Systems Corporation Growing source and drain elements by selecive epitaxy
KR100461330B1 (en) 2002-07-19 2004-12-14 주식회사 하이닉스반도체 Method for forming Shallow Trench Isolation of semiconductor device
US6664156B1 (en) 2002-07-31 2003-12-16 Chartered Semiconductor Manufacturing, Ltd Method for forming L-shaped spacers with precise width control
US6858506B2 (en) 2002-08-08 2005-02-22 Macronix International Co., Ltd. Method for fabricating locally strained channel
US6809402B1 (en) 2002-08-14 2004-10-26 Advanced Micro Devices, Inc. Reflowable-doped HDP film
US6642122B1 (en) 2002-09-26 2003-11-04 Advanced Micro Devices, Inc. Dual laser anneal for graded halo profile
US20040067631A1 (en) 2002-10-03 2004-04-08 Haowen Bu Reduction of seed layer roughness for use in forming SiGe gate electrode
US6864135B2 (en) 2002-10-31 2005-03-08 Freescale Semiconductor, Inc. Semiconductor fabrication process using transistor spacers of differing widths
JP4306266B2 (en) 2003-02-04 2009-07-29 株式会社Sumco Manufacturing method of semiconductor substrate
KR100621546B1 (en) 2003-05-14 2006-09-13 삼성전자주식회사 Method and Apparatus For Making MOS Transistors With Elevated Source/Drain
US6891192B2 (en) 2003-08-04 2005-05-10 International Business Machines Corporation Structure and method of making strained semiconductor CMOS transistors having lattice-mismatched semiconductor regions underlying source and drain regions
US7112495B2 (en) 2003-08-15 2006-09-26 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method of a strained channel transistor and a second semiconductor component in an integrated circuit
US6887751B2 (en) 2003-09-12 2005-05-03 International Business Machines Corporation MOSFET performance improvement using deformation in SOI structure
US6930007B2 (en) 2003-09-15 2005-08-16 Texas Instruments Incorporated Integration of pre-S/D anneal selective nitride/oxide composite cap for improving transistor performance
KR100588786B1 (en) 2003-09-18 2006-06-12 동부일렉트로닉스 주식회사 Fabricating method of semiconductor device
US6991979B2 (en) 2003-09-22 2006-01-31 International Business Machines Corporation Method for avoiding oxide undercut during pre-silicide clean for thin spacer FETs
KR100525615B1 (en) * 2003-09-23 2005-11-02 삼성전자주식회사 Field Effect Transistor with high breakdown voltage and Method of forming the same
US7132338B2 (en) 2003-10-10 2006-11-07 Applied Materials, Inc. Methods to fabricate MOSFET devices using selective deposition process
US7303949B2 (en) 2003-10-20 2007-12-04 International Business Machines Corporation High performance stress-enhanced MOSFETs using Si:C and SiGe epitaxial source/drain and method of manufacture
US7060576B2 (en) 2003-10-24 2006-06-13 Intel Corporation Epitaxially deposited source/drain
US6991991B2 (en) 2003-11-12 2006-01-31 United Microelectronics Corp. Method for preventing to form a spacer undercut in SEG pre-clean process
US7176522B2 (en) 2003-11-25 2007-02-13 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device having high drive current and method of manufacturing thereof
US6946350B2 (en) 2003-12-31 2005-09-20 Intel Corporation Controlled faceting of source/drain regions
FR2864457B1 (en) 2003-12-31 2006-12-08 Commissariat Energie Atomique METHOD OF WET CLEANING A SURFACE, IN PARTICULAR A MATERIAL OF SILICON GERMANIUM TYPE.
KR100607176B1 (en) 2004-03-25 2006-08-01 삼성전자주식회사 Methods Of Fabricating A Semiconductor Device By Using An Aqueous Solution Diluted Ammonia And Peroxide Mixture
US7037773B2 (en) 2004-03-29 2006-05-02 United Microelectronics Corp. Method of manufacturing metal-oxide-semiconductor transistor
US7361563B2 (en) 2004-06-17 2008-04-22 Samsung Electronics Co., Ltd. Methods of fabricating a semiconductor device using a selective epitaxial growth technique
US7413957B2 (en) 2004-06-24 2008-08-19 Applied Materials, Inc. Methods for forming a transistor
KR100618839B1 (en) 2004-06-28 2006-09-01 삼성전자주식회사 Method for manufacturing semiconductor device
US6972461B1 (en) 2004-06-30 2005-12-06 International Business Machines Corporation Channel MOSFET with strained silicon channel on strained SiGe
US7169675B2 (en) 2004-07-07 2007-01-30 Chartered Semiconductor Manufacturing, Ltd Material architecture for the fabrication of low temperature transistor
US7118952B2 (en) 2004-07-14 2006-10-10 Taiwan Semiconductor Manufacturing Co., Ltd. Method of making transistor with strained source/drain
US7060579B2 (en) 2004-07-29 2006-06-13 Texas Instruments Incorporated Increased drive current by isotropic recess etch
US7135372B2 (en) 2004-09-09 2006-11-14 Taiwan Semiconductor Manufacturing Company, Ltd. Strained silicon device manufacturing method
US7309660B2 (en) 2004-09-16 2007-12-18 International Business Machines Corporation Buffer layer for selective SiGe growth for uniform nucleation
US7135724B2 (en) 2004-09-29 2006-11-14 International Business Machines Corporation Structure and method for making strained channel field effect transistor using sacrificial spacer
US7202124B2 (en) 2004-10-01 2007-04-10 Massachusetts Institute Of Technology Strained gettering layers for semiconductor processes
US7402870B2 (en) 2004-10-12 2008-07-22 International Business Machines Corporation Ultra shallow junction formation by epitaxial interface limited diffusion
US20060115949A1 (en) 2004-12-01 2006-06-01 Freescale Semiconductor, Inc. Semiconductor fabrication process including source/drain recessing and filling
US7479431B2 (en) 2004-12-17 2009-01-20 Intel Corporation Strained NMOS transistor featuring deep carbon doped regions and raised donor doped source and drain
JP4369359B2 (en) 2004-12-28 2009-11-18 富士通マイクロエレクトロニクス株式会社 Semiconductor device
US7335959B2 (en) 2005-01-06 2008-02-26 Intel Corporation Device with stepped source/drain region profile
KR100703967B1 (en) 2005-02-28 2007-04-05 삼성전자주식회사 CMOS transistor and method for fabricating the same
US7226820B2 (en) 2005-04-07 2007-06-05 Freescale Semiconductor, Inc. Transistor fabrication using double etch/refill process
US7696537B2 (en) 2005-04-18 2010-04-13 Toshiba America Electronic Components, Inc. Step-embedded SiGe structure for PFET mobility enhancement
JP2006351581A (en) 2005-06-13 2006-12-28 Fujitsu Ltd Manufacturing method of semiconductor device
US7183596B2 (en) 2005-06-22 2007-02-27 Taiwan Semiconductor Manufacturing Company, Ltd. Composite gate structure in an integrated circuit
US7494858B2 (en) 2005-06-30 2009-02-24 Intel Corporation Transistor with improved tip profile and method of manufacture thereof
KR100632465B1 (en) 2005-07-26 2006-10-09 삼성전자주식회사 Semiconductor device and fabrication method thereof
US7642607B2 (en) 2005-08-10 2010-01-05 Taiwan Semiconductor Manufacturing Company, Ltd. MOS devices with reduced recess on substrate surface
US7384853B2 (en) 2005-08-25 2008-06-10 United Microelectronics Corp. Method of performing salicide processes on MOS transistors
US7491615B2 (en) 2005-09-23 2009-02-17 United Microelectronics Corp. Method of fabricating strained-silicon transistors and strained-silicon CMOS transistors
CN100442476C (en) 2005-09-29 2008-12-10 中芯国际集成电路制造(上海)有限公司 Nano-device with enhanced strain inductive transferring rate for CMOS technology and its process
US7410859B1 (en) 2005-11-07 2008-08-12 Advanced Micro Devices, Inc. Stressed MOS device and method for its fabrication
US7618856B2 (en) 2005-12-06 2009-11-17 United Microelectronics Corp. Method for fabricating strained-silicon CMOS transistors
US7303999B1 (en) 2005-12-13 2007-12-04 Lam Research Corporation Multi-step method for etching strain gate recesses
JP2007194336A (en) 2006-01-18 2007-08-02 Sumco Corp Method for manufacturing semiconductor wafer
JP5145672B2 (en) 2006-02-27 2013-02-20 富士通セミコンダクター株式会社 Manufacturing method of semiconductor device
US7494856B2 (en) 2006-03-30 2009-02-24 Freescale Semiconductor, Inc. Semiconductor fabrication process using etch stop layer to optimize formation of source/drain stressor
US7288822B1 (en) 2006-04-07 2007-10-30 United Microelectronics Corp. Semiconductor structure and fabricating method thereof
US7385258B2 (en) 2006-04-25 2008-06-10 International Business Machines Corporation Transistors having v-shape source/drain metal contacts
US7560758B2 (en) 2006-06-29 2009-07-14 International Business Machines Corporation MOSFETs comprising source/drain recesses with slanted sidewall surfaces, and methods for fabricating the same
US8039341B2 (en) 2006-07-06 2011-10-18 Freescale Semiconductor, Inc. Selective uniaxial stress modification for use with strained silicon on insulator integrated circuit
US7592231B2 (en) 2006-08-01 2009-09-22 United Microelectronics Corp. MOS transistor and fabrication thereof
US7402496B2 (en) 2006-09-11 2008-07-22 United Microelectronics Corp. Complementary metal-oxide-semiconductor device and fabricating method thereof
KR100764058B1 (en) 2006-09-20 2007-10-09 삼성전자주식회사 Semiconductor device including a field effect transistor and method of forming the same
US20080076236A1 (en) 2006-09-21 2008-03-27 Jih-Shun Chiang Method for forming silicon-germanium epitaxial layer
US7998821B2 (en) 2006-10-05 2011-08-16 United Microelectronics Corp. Method of manufacturing complementary metal oxide semiconductor transistor
US20080124874A1 (en) 2006-11-03 2008-05-29 Samsung Electronics Co., Ltd. Methods of Forming Field Effect Transistors Having Silicon-Germanium Source and Drain Regions
US20080116525A1 (en) 2006-11-16 2008-05-22 United Microelectronics Corp. Complementary metal-oxide-semiconductor device
US7750338B2 (en) 2006-12-05 2010-07-06 Taiwan Semiconductor Manufacturing Company, Ltd. Dual-SiGe epitaxy for MOS devices
US7858529B2 (en) 2006-12-18 2010-12-28 United Microelectronics Corp. Treatment method of semiconductor, method for manufacturing MOS, and MOS structure
JP2008159803A (en) 2006-12-22 2008-07-10 Toshiba Corp Semiconductor device
US7838370B2 (en) 2006-12-27 2010-11-23 Texas Instruments Incorporated Highly selective liners for semiconductor fabrication
US20080220579A1 (en) 2007-03-07 2008-09-11 Advanced Micro Devices, Inc. Stress enhanced mos transistor and methods for its fabrication
US7592262B2 (en) 2007-03-21 2009-09-22 United Microelectronics Corp. Method for manufacturing MOS transistors utilizing a hybrid hard mask
US20080233722A1 (en) 2007-03-23 2008-09-25 United Microelectronics Corp. Method of forming selective area compound semiconductor epitaxial layer
US7691752B2 (en) 2007-03-30 2010-04-06 Intel Corporation Methods of forming improved EPI fill on narrow isolation bounded source/drain regions and structures formed thereby
US7745847B2 (en) 2007-08-09 2010-06-29 United Microelectronics Corp. Metal oxide semiconductor transistor
US20090045456A1 (en) 2007-08-13 2009-02-19 United Microelectronics Corp. Semiconductor device and method of fabricating the same
US20090108291A1 (en) 2007-10-26 2009-04-30 United Microelectronics Corp. Semiconductor device and method for fabricating the same
US8043919B2 (en) 2007-11-12 2011-10-25 United Microelectronics Corp. Method of fabricating semiconductor device
US20090166625A1 (en) 2007-12-28 2009-07-02 United Microelectronics Corp. Mos device structure
US20090186475A1 (en) 2008-01-21 2009-07-23 Shyh-Fann Ting Method of manufacturing a MOS transistor
US20090184402A1 (en) 2008-01-22 2009-07-23 United Microelectronics Corp. Method of fabricating a shallow trench isolation structure including forming a second liner covering the corner of the trench and first liner.
US7875520B2 (en) 2008-03-27 2011-01-25 United Microelectronics Corp. Method of forming CMOS transistor
US8440514B2 (en) 2008-04-11 2013-05-14 United Microelectronics Corp. Semiconductor device and method for manufacturing the same
US20090278170A1 (en) 2008-05-07 2009-11-12 Yun-Chi Yang Semiconductor device and manufacturing method thereof
US20090302348A1 (en) 2008-06-10 2009-12-10 International Business Machines Corporation Stress enhanced transistor devices and methods of making
US20100001317A1 (en) 2008-07-03 2010-01-07 Yi-Wei Chen Cmos transistor and the method for manufacturing the same
US7736982B2 (en) 2008-10-14 2010-06-15 United Microelectronics Corp. Method for forming a semiconductor device

Also Published As

Publication number Publication date
US8481391B2 (en) 2013-07-09
US20120292638A1 (en) 2012-11-22

Similar Documents

Publication Publication Date Title
KR102113114B1 (en) N-doped selective epitaxial growth is used to form a non-visible source drain extension in the NMOS finpet
US9530638B2 (en) Method to grow thin epitaxial films at low temperature
US7315063B2 (en) CMOS transistor and method of manufacturing the same
US20160247807A1 (en) Fin field effect transistor
US9064688B2 (en) Performing enhanced cleaning in the formation of MOS devices
CN102292811B (en) The stress with epitaxial growth causes the manufacture method of metal-oxide-semiconductor's device of source electrode and drain region
CN102709183B (en) The method being used for producing the semiconductor devices
KR20120058962A (en) Fabricating method of semiconductor device
US20080017931A1 (en) Metal-oxide-semiconductor transistor device, manufacturing method thereof, and method of improving drain current thereof
US8709896B2 (en) Semiconductor device and fabrication method
JP2011142224A (en) Semiconductor device and method of manufacturing the same
JP5268859B2 (en) Semiconductor device
US8928126B2 (en) Epitaxial layer
US20150236158A1 (en) Method for fabricating semiconductor device, and semiconductor device made thereby
JP2010161223A (en) Semiconductor device and method of manufacturing the same
US20160149003A1 (en) Methods of Manufacturing Semiconductor Devices
JP2007165532A (en) Method of manufacturing semiconductor device
CN102931233A (en) N-channel metal oxide semiconductor (NMOS) transistor and forming method thereof
US8481391B2 (en) Process for manufacturing stress-providing structure and semiconductor device with such stress-providing structure
JP2007227721A (en) Semiconductor device, and manufacturing method therefor
JP5076367B2 (en) Semiconductor device and manufacturing method thereof
US9412869B2 (en) MOSFET with source side only stress
CN102479711A (en) Method for forming PMOS (P-channel metal oxide semiconductor) transistor
US9847393B2 (en) Semiconductor device
US9960084B1 (en) Method for forming semiconductor device

Legal Events

Date Code Title Description
AS Assignment

Owner name: UNITED MICROELECTRONICS CORPORAITON, TAIWAN

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:LIAO, CHIN-I;JIANG, CHING-HONG;LI, CHING-I;AND OTHERS;SIGNING DATES FROM 20130518 TO 20130521;REEL/FRAME:030529/0737

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION