US20120292103A1 - Interconnection structure made of redirected carbon nanotubes - Google Patents

Interconnection structure made of redirected carbon nanotubes Download PDF

Info

Publication number
US20120292103A1
US20120292103A1 US13/575,371 US201013575371A US2012292103A1 US 20120292103 A1 US20120292103 A1 US 20120292103A1 US 201013575371 A US201013575371 A US 201013575371A US 2012292103 A1 US2012292103 A1 US 2012292103A1
Authority
US
United States
Prior art keywords
cnt
bundles
along
electronic device
bundle
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US13/575,371
Inventor
Jean Dijon
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Commissariat a lEnergie Atomique et aux Energies Alternatives CEA
Original Assignee
Commissariat a lEnergie Atomique et aux Energies Alternatives CEA
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Commissariat a lEnergie Atomique et aux Energies Alternatives CEA filed Critical Commissariat a lEnergie Atomique et aux Energies Alternatives CEA
Assigned to COMMISSARIAT A L'ENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVES reassignment COMMISSARIAT A L'ENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVES ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: DIJON, JEAN
Publication of US20120292103A1 publication Critical patent/US20120292103A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53276Conductive materials containing carbon, e.g. fullerenes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/18High density interconnect [HDI] connectors; Manufacturing methods related thereto
    • H01L24/19Manufacturing methods of high density interconnect preforms
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/18High density interconnect [HDI] connectors; Manufacturing methods related thereto
    • H01L24/20Structure, shape, material or disposition of high density interconnect preforms
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y40/00Manufacture or treatment of nanostructures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/10Applying interconnections to be used for carrying current between separate components within a device
    • H01L2221/1068Formation and after-treatment of conductors
    • H01L2221/1094Conducting structures comprising nanotubes or nanowires
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/18High density interconnect [HDI] connectors; Manufacturing methods related thereto
    • H01L2224/20Structure, shape, material or disposition of high density interconnect preforms
    • H01L2224/21Structure, shape, material or disposition of high density interconnect preforms of an individual HDI interconnect
    • H01L2224/215Material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S977/00Nanotechnology
    • Y10S977/84Manufacture, treatment, or detection of nanostructure
    • Y10S977/842Manufacture, treatment, or detection of nanostructure for carbon nanotubes or fullerenes
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T29/00Metal working
    • Y10T29/49Method of mechanical manufacture
    • Y10T29/49002Electrical device making
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T29/00Metal working
    • Y10T29/49Method of mechanical manufacture
    • Y10T29/49002Electrical device making
    • Y10T29/49117Conductor or circuit manufacturing

Definitions

  • the present disclosure relates to an electronic device comprising electric connections formed by means of carbon nanotubes (CNT), it also relates to methods for forming such connections.
  • CNT carbon nanotubes
  • This invention especially has applications for thermal, electric, and mechanical connectors.
  • CNT carbon nanotubes
  • a via is a cavity enabling to create a connection between conductive plates.
  • the electric lines formed on the plates create the connection between vias.
  • Conductive plates are made of a metal, such as aluminum, and are separated by an insulating layer having the cavity forming the via dug therein.
  • Document US 2006/0212974 discloses an electronic device comprising CNT bundles prepared inside of the via, and then redirected along another direction to connect two conductive layers of two different levels. There are no connections between vias.
  • Document CN 101562148 relates to a method for creating vertical. CNT connections by deposition of a CNT solution on a conductive layer. In this device, two conductive layers of different levels are connected by means of CNT bundles.
  • Another technology is based on the same concept of CNT vias, but comprises using metal blocks to change the orientation of the CNTs and thus form the horizontal lines ( FIG. 3 ). It is however difficult to control the deposition of a catalyst and the CNT growth along two perpendicular directions on two surfaces of a metal block ( FIG. 4 ). Another disadvantage of this technique is the repeated crossing of many CNT—metal interfaces to ensure the conduction.
  • Hata and co-workers have recently revealed an organizing and densifying effect capable of being obtained by dipping of a film of scattered. CNTs in an alcohol solution. Indeed, when the CNT film is dipped into the alcohol bath, perpendicularly to the surface thereof, and then dried, the CNTs gather and align. Due to the surface tension of the liquid and to the strong Van der Waals interactions, the CNTs achieve a structure close to that of graphite. No disassembly of the CNTs has been observed after densification. However, Hata only obtains structures formed of CNTs directed along the same direction, which thus limits possible applications.
  • the present invention comes from the search for technical solutions especially enabling to do away with the use of metals and implementing simple manufacturing processes.
  • the present invention provides a new architecture, enabling to do away with the use of metals to ensure the connection between plates or to ensure the change of direction of a CNT bundle, which is based on the growth and the redirection of carbon nanotubes (CNTs).
  • the present invention comprises forming the electric connections, in an electronic device, with bundles of carbon nanotubes (CNT) directed along a first direction and contained in cavities called vias.
  • CNT bundles are laterally interconnected by lines, also formed of CNT bundles along a second direction.
  • via and line are used to designate the CNT bundles contained in the cavities or vias and representing the connection lines, respectively.
  • an electronic device comprises a sequence of structures especially made of a conductive plate (for example, made of aluminum) covered with an insulator layer (silica or low-K microelectronics material). Cavities interconnected by lines are dug into the insulating block to create interconnects between plates (vias) or between vias (lines).
  • a conductive plate for example, made of aluminum
  • an insulator layer silicon or low-K microelectronics material
  • the present invention relates to an electronic device comprising electric connections extending along at least two different directions.
  • said connections are essentially formed by means of bundles of carbon nanotubes (CNT), where at least two CNT bundles comprise a portion having its axis directed along a first direction and a portion having its axis redirected along a second direction.
  • CNT carbon nanotubes
  • the connection between CNT bundles is achieved by overlapping of the portions of said at least two CNT bundles to form a connection line.
  • At least two bundles forming the electric connections are bent, an area of the CNT bundles being directed along the first direction and another area being directed along a second different direction.
  • connection system of the present invention enables to form connections in at least two directions, advantageously vertical and horizontal, but may also be used to create connections in more than two directions, especially three, in particular in the case of two different connections in the horizontal plane.
  • the electric connections are mainly formed by means of CNT bundles or bunches, that is, a multitude of aggregated carbon nanotubes having a substantially parallel growth axis.
  • Term “essentially” indicates that the electric connections may be only ensured by the CNTs, and thus in the absence of metal lines or blocks, as used to be the case in prior art.
  • the electric contact between bundles may be improved by further performing a metal deposition.
  • the method for creating electric connections in at least two directions within an electronic device comprises the steps of:
  • the forming of this device thus comprises the controlled growth of CNT bundles along a first direction within cavities, according to techniques tried and tested in prior art, especially by means of catalysts such as iron.
  • the growth of the CNT bundles is stopped when said bundles have a height at least greater than that of the via.
  • a portion of these CNT bundles is then redirected along a second direction, advantageously by flowing of a liquid.
  • the redirection of the CNT bundle may be performed by the technique described in the Hayamizu et al. document. (Nature nanotechnology, Vol. 3, 2008, 289-294). In practice, it comprises immersing the CNTs in an isopropyl alcohol solution and pulling them parallel to the direction of a groove, the groove being perpendicular to the meniscus of the liquid. This operation also enables to densify the CNT bundles.
  • the portion of the CNT bundle which has been redirected along the second direction is substantially perpendicular to the portion of the CNT bundle along the first direction.
  • the first direction is substantially vertical.
  • the portion of the CNT bundle along the first direction forms the via of the electronic device.
  • the second direction is substantially horizontal.
  • the portion of the CNT bundle along the second direction forms the connection line of the electronic device.
  • vias and lines are thus substantially perpendicular.
  • the electronic device according to the present invention preferentially comprises at least two CNT bundles having their portions along the second direction, which may be substantially horizontal, forming the connection line.
  • the line is advantageously formed by overlapping of the portions of the CNT bundles along the second direction, that is, by successive superposition of the bundle ends.
  • the overlapping is actually obtained by flattening of the upper portion of the CNT bundles, thus only leaving the portion of the CNT bundle contained in the cavity in the first direction, preferably substantially vertically.
  • the second direction that is, the overlapping direction, is that of a groove previously dug into the insulating block to contain the connection line.
  • the device of the present invention further comprises a metal layer.
  • a metal layer covers at least the portion of the CNT bundles along the second direction, and more advantageously still the connection line.
  • This metal layer may enable:
  • This metal layer is deposited by means of any adapted technique known by those skilled in the art.
  • the electronic device may further comprise another CNT bundle laterally connected to at least one CNT bundle of the device, at the level of its portion in the second direction, to form the line.
  • This other CNT bundle ensures the connection with a bundle coming from a via and possibly between several vias. The connection is achieved after the growth of this other CNT bundle, either directly along the second direction, or after its redirection along the second direction, as described previously.
  • the other CNT bundle is laterally connected to at least two CNT bundles to ensure the connection between vias.
  • the growths of CNT bundles forming either the vias, or the connection lines may be simultaneous or separate.
  • the growth of the other CNT bundle is performed within a groove and not within a cavity.
  • the other CNT bundle thus cannot directly come into contact with the conductive plate, since it is physically separated therefrom by the insulating block.
  • the redirection of this other CNT bundle along the second direction may be performed in the groove.
  • the lateral connection between CNT bundles is advantageously achieved by the deposition of a metal layer.
  • a metal layer is located at the interface of the two types of CNT bundles: at least one CNT bundle, at the level of its portion along the second direction, coming from the via(s) and the other bundle forming the line.
  • This layer is advantageously formed by means of a so-called contact metal selected from the following group:
  • the contact metal ensures the connection between the CNT bundles coming from the vias and the CNT bundle forming the connection line.
  • the method for creating electric connections in at least two directions within an electronic device according to the present invention further comprises a step of deposition of at least one metal layer on the portion of the CNT bundles along the second direction.
  • the method may also comprise a step of growth, and possibly of redirection along the second direction, of another CNT bundle intended to laterally connect at least one CNT bundle, at the level of its portion along the second direction, to form the connection line.
  • the method may possibly comprise a step of deposition of a metal layer on the other CNT bundle.
  • the method for forming the device according to the present invention may comprise at least one step of deposition of TiN on the areas where CNTs should not grow.
  • the electric current appears to essentially flow through the carbon nanotubes, thus considerably decreasing electromigration problems. Further, methods for forming such devices implement relatively tried and tested techniques.
  • FIG. 1 shows a diagram illustrating the integration of carbon nanotubes (CNT) in prior art ULSI (“Ultra Large Scale integration”) interconnects.
  • CNT carbon nanotubes
  • FIG. 2 is a diagram illustrating prior art, that is, the growth of carbon nanotubes (CNT) in vias, creating a contact with the copper cables.
  • CNT carbon nanotubes
  • FIG. 3 is a three-dimensional microscope view of a prior art line/via interconnection system, implying a metal contact block.
  • FIG. 4 is a diagram of a prior art line/via interconnection system, implying a metal contact block.
  • FIG. 5 is a diagram of the interconnection device according to the present invention, by overlapping of redirected CNTs coming from vias (A) or by connection between separate CNTs (B), respectively.
  • the arrow indicates the current flow and the circles indicate the interfaces to be crossed.
  • FIG. 6 is a diagram of two interconnection devices according to the invention (A, B), where the contact between CNT bundles is improved by means of a metal.
  • FIG. 7 is a schematic diagram of an embodiment of the invention according to which the vias and lines are formed simultaneously.
  • Left-hand views cross-section views; right-hand views: top views.
  • FIG. 8 is a diagram illustrating a method for forming an interconnection device according to the present invention by CNT overlapping; A/ to F/: end views, perpendicular to the groove; G/ and H/: views parallel to the groove direction.
  • FIG. 9 is a diagram illustrating a method for forming an alternative interconnection device according to the present invention by simultaneous growth of the vias and of the line.
  • FIG. 10 is a diagram illustrating a method for forming an alternative interconnection device according to the present invention by independent growth of the vias and of the line, and insertion of a contact metal.
  • CNT carbon nanotuhes
  • a metal layer 2 , 10 is also in contact with the CNT bundles, especially on portions 8 b of the CNT bundles ( FIG. 6A and FIG. 6B ).
  • it may be a bilayer associating the two types of layers 10 and 2 .
  • this first embodiment by overlapping of vertical CNT bundles is illustrated in FIGS. 5A and 6A , respectively.
  • steps A to F are schematically shown in end views, that is, perpendicular to groove 3 , FIGS. 8G and 8H corresponding to views parallel to the direction of groove 3 .
  • An insulating layer 1 made of silica or of a low-K material of microelectronics is deposited on conductive layer 2 .
  • Conductor 2 typically is aluminum.
  • groove 3 which will become future line 4 , is formed by conventional lithography methods.
  • a TiN layer 5 having a thickness of approximately 50 nanometers, is deposited in groove 3 , if possible by means of a conformal deposition method.
  • TiN has the function of inhibiting the growth of carbon nanotubes when catalyst 6 , here iron, is deposited on the TiN.
  • Vias 7 are opened in groove 3 , the etching through insulator 1 stopping on conductor 2 .
  • the deposition of catalyst 6 is performed at ambient temperature. It typically is a layer of 1 nanometer of iron, deposited by evaporation or by ion beam sputtering. The deposition is performed with a normal incidence, to minimize the side coverage.
  • CNT 8 carbon nanotubes 8 are grown at 600′′C, by means of a C 2 H 2 +H 2 +He mixture (10 sccm, 50 sccm, 50 sccm), after having previously oxidized the iron by means of an RF air plasma formed at ambient temperature.
  • the plasma conditions are the following:
  • This method allows the growth of nanotubes 8 on iron 6 , except if the latter is deposited on TiN 5 . In this case, there is no growth.
  • the pressure during the 600′C growth is 1 Torr.
  • the reactive gases are introduced after the cold plasma and the temperature rise is performed within 15 minutes with a 0.3-Torr pressure.
  • the height of nanotubes 8 is set by the growth time.
  • tubes 8 After the growth, the device is immersed in isopropylic alcohol and pulled perpendicularly to the direction of groove 3 : the meniscus of the liquid is perpendicular to groove 3 .
  • the liquid flowing through the groove tubes flattens tubes 8 coming from vias 7 .
  • the tubes are highly densified after this operation. Further, tubes 8 have two different portions:
  • Nanotube bundles 8 coming from the different vias 7 , are thus flattened in groove 3 and come into contact. It is thus possible to form a line connection 4 by overlapping of at least two nanotube bundles coming from different vias 7 .
  • an interconnection system such as illustrated in FIG. 5A is obtained.
  • Line 4 is formed by overlapping of nanotube bundles 8 b coming from vias 7 .
  • the line resistance R is formed of a series of interface resistances added to the nanotube resistance.
  • FIGS. 5B , 6 B, 7 , 9 , and 10 This second embodiment is illustrated in FIGS. 5B , 6 B, 7 , 9 , and 10 .
  • FIG. 5B illustrates the fact that the line resistance is lower than in the first embodiment since there is only one interface resistance left.
  • FIG. 7 is a drawing illustrating the principle of this second embodiment according to which the substantially perpendicular interconnects, respectively vias 7 and lines 4 , come from at least two different bundles of nanotubes, advantageously formed simultaneously. It should be noted that the diagrams of the left-hand portion show cross-section views while the right-hand diagrams are top views.
  • FIG. 9 is derived from FIG. 8 .
  • Steps A to C are similar to those of FIG. 8 .
  • FIG. 9 D′ an additional step is carried out: an opening 9 of TiN 5 is formed in groove 3 , to obtain the growth of carbon nanotubes 8 ′ in this area after deposition of catalyst 6 . This corresponds to the arranging of growth area 9 of the line.
  • the next step comprises openings vias 7 after having protected openings 9 with a resin layer (FIG. 9 E′).
  • tubes 8 and 8 ′ grow in vias 7 and in growth area 9 formed at the line level, respectively.
  • the bundles coming from CNTs 8 and 8 ′ may be given a different length, by varying the catalyst thickness and the different plasma conditions in the vias and on the line.
  • connection line 4 is formed by means of a nanotube bundle 8 ′ which does not come from vias 7 .
  • FIG. 10 derives from FIG. 8 , but in an embodiment where the growth of nanotubes 8 and 8 ′ is performed separately from line 4 and vias 7 , and where an interface metal 10 is inserted between the two nanotube bundles (between the CNTs of vias 8 b and the CNTs of lines 8 ′, respectively).
  • the method starts as in steps A to G of FIG. 8 .
  • steps H′ to M′ of FIG. 10 are implemented:
  • contact metal 10 such as palladium, copper, gold, or titanium is deposited.
  • the catalyst is deposited by evaporation or sputtering.
  • the device is planarized by CMP (“Chemical and Mechanical Polishing”) to suppress catalyst deposit 6 on contact metal 10 , while keeping catalyst 6 in growth area 9 .
  • CMP Chemical and Mechanical Polishing
  • a second growth in the same conditions as at step F of FIG. 8 is performed.
  • the bundle of nanotubes 8 ′ coming from growth area 9 and intended to form line 4 is thus obtained.
  • connection line 4 in contact via metal 10 with nanotubes 8 coming from via 7 is thus obtained.
  • an interconnection system such as illustrated in FIG. 6B is obtained.

Landscapes

  • Engineering & Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Nanotechnology (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Carbon And Carbon Compounds (AREA)

Abstract

The invention relates to an electronic device including electric connections extending along at least two different directions, said connections being essentially formed by means of bundles of carbon nanotubes (CNT) (8), where at least two CNT bundles comprise a portion (8 a) having its axis directed along a first direction and a portion (8 b) having its axis redirected along a second direction, the connections between CNT bundles being achieved by overlapping of the portions (8 b) of said at least two bundles to form a connection line (4).

Description

    TECHNICAL FIELD
  • The present disclosure relates to an electronic device comprising electric connections formed by means of carbon nanotubes (CNT), it also relates to methods for forming such connections.
  • This invention especially has applications for thermal, electric, and mechanical connectors.
  • BACKGROUND OF THE INVENTION
  • The use of carbon nanotubes (CNT) or of CNT bundles to manufacture through vias or chip interconnects has already been provided, especially to provide a complement or even an alternative to the use of copper, indeed, the latter is not adapted when minute sizes are involved, CNTs further have the necessary properties, such as a low electric resistance, enabling to provide the best electric conductivity between the different chip levels.
  • A via is a cavity enabling to create a connection between conductive plates. The electric lines formed on the plates create the connection between vias. Conductive plates are made of a metal, such as aluminum, and are separated by an insulating layer having the cavity forming the via dug therein.
  • The miniaturization of electronic devices makes the use of copper quite problematic since copper causes difficulties due to electromigration when current densities become too high. Architectures formed of copper lines and vias thus show their limits in integrated circuits having a resolution close to 22 nanometers.
  • As illustrated in FIGS. 1 and 2, the use of CNTs for ensuring the connection between conductive tracks has been provided, by replacing copper or tungsten vias with CNTs (Katagiri et al., interconnect Technology Conference, 2009, IEEE international 1-3 Jun. 2009, pp. 44-46; Yokoyama et al. Japanese Journal of Applied Physics, Vol. 47, No 4, 2008, pp. 1985-1990). However, this method does not enable to totally do away with copper, the connection between vias being always ensured by a copper line. Electromigration-related issues are thus not totally suppressed.
  • Document US 200810042287 describes an electronic device in which the connections are at least partially ensured by CNT bundles. The via is covered with a layer of conductive material on which another CNT bundle can be deposited and directed along the line direction. However, the vias and the lines are not formed from the same CNT bundle.
  • Document US 2006/0212974 discloses an electronic device comprising CNT bundles prepared inside of the via, and then redirected along another direction to connect two conductive layers of two different levels. There are no connections between vias.
  • Document CN 101562148 relates to a method for creating vertical. CNT connections by deposition of a CNT solution on a conductive layer. In this device, two conductive layers of different levels are connected by means of CNT bundles.
  • Another technology is based on the same concept of CNT vias, but comprises using metal blocks to change the orientation of the CNTs and thus form the horizontal lines (FIG. 3). It is however difficult to control the deposition of a catalyst and the CNT growth along two perpendicular directions on two surfaces of a metal block (FIG. 4). Another disadvantage of this technique is the repeated crossing of many CNT—metal interfaces to ensure the conduction.
  • Document US 2009/0294966 describes vertical CNT vias ensuring the electric connection between two conductive layers, but also horizontal CNT lines providing an electric connection between vias. This involves two separate bundles directed along two different directions. The CNT bundles coming from the via do not enable to form the line.
  • These different approaches imply controlling the CNT growth within smaller and smaller cavities, thus raising the issue of the CNT bundle density. Indeed, just like the homogeneity of their properties and of their orientation, the control of the CNT density is of major importance to provide good electric connections in nanoelectronics. High CNT densities are thus indispensable.
  • Hata and co-workers (Hayamizu et al., Nature nanotechnology, Vol. 3, 2008, 289-294) have recently revealed an organizing and densifying effect capable of being obtained by dipping of a film of scattered. CNTs in an alcohol solution. Indeed, when the CNT film is dipped into the alcohol bath, perpendicularly to the surface thereof, and then dried, the CNTs gather and align. Due to the surface tension of the liquid and to the strong Van der Waals interactions, the CNTs achieve a structure close to that of graphite. No disassembly of the CNTs has been observed after densification. However, Hata only obtains structures formed of CNTs directed along the same direction, which thus limits possible applications.
  • The present invention comes from the search for technical solutions especially enabling to do away with the use of metals and implementing simple manufacturing processes.
  • SUMMARY OF THE INVENTION
  • Thus, the present invention provides a new architecture, enabling to do away with the use of metals to ensure the connection between plates or to ensure the change of direction of a CNT bundle, which is based on the growth and the redirection of carbon nanotubes (CNTs).
  • Generally, the present invention comprises forming the electric connections, in an electronic device, with bundles of carbon nanotubes (CNT) directed along a first direction and contained in cavities called vias. Such CNT bundles are laterally interconnected by lines, also formed of CNT bundles along a second direction.
  • In the rest of the discussion, terms “via” and “line” are used to designate the CNT bundles contained in the cavities or vias and representing the connection lines, respectively.
  • Typically, an electronic device according to the present invention comprises a sequence of structures especially made of a conductive plate (for example, made of aluminum) covered with an insulator layer (silica or low-K microelectronics material). Cavities interconnected by lines are dug into the insulating block to create interconnects between plates (vias) or between vias (lines).
  • More specifically, the present invention relates to an electronic device comprising electric connections extending along at least two different directions. Typically, said connections are essentially formed by means of bundles of carbon nanotubes (CNT), where at least two CNT bundles comprise a portion having its axis directed along a first direction and a portion having its axis redirected along a second direction. Further, the connection between CNT bundles is achieved by overlapping of the portions of said at least two CNT bundles to form a connection line.
  • At least two bundles forming the electric connections are bent, an area of the CNT bundles being directed along the first direction and another area being directed along a second different direction.
  • it should be noted that the electric connection system of the present invention enables to form connections in at least two directions, advantageously vertical and horizontal, but may also be used to create connections in more than two directions, especially three, in particular in the case of two different connections in the horizontal plane.
  • According to the present invention, the electric connections are mainly formed by means of CNT bundles or bunches, that is, a multitude of aggregated carbon nanotubes having a substantially parallel growth axis. Term “essentially” indicates that the electric connections may be only ensured by the CNTs, and thus in the absence of metal lines or blocks, as used to be the case in prior art. However, and as will described hereafter, the electric contact between bundles may be improved by further performing a metal deposition.
  • In practice, such electric connections are created by implementing the following method:
      • growth of at least one CNT bundle along the first direction;
      • redirection of a portion of the CNT bundle along the second direction, advantageously by flowing of a liquid.
  • Typically, the method for creating electric connections in at least two directions within an electronic device according to the present invention comprises the steps of:
      • growing at least two CNT bundles along a first direction in a cavity of said device;
      • redirecting a portion of said two CNT bundles along a second direction, advantageously by flowing of a liquid, to form the connection line.
  • The forming of this device thus comprises the controlled growth of CNT bundles along a first direction within cavities, according to techniques tried and tested in prior art, especially by means of catalysts such as iron.
  • Typically, the growth of the CNT bundles is stopped when said bundles have a height at least greater than that of the via. A portion of these CNT bundles is then redirected along a second direction, advantageously by flowing of a liquid.
  • The redirection of the CNT bundle may be performed by the technique described in the Hayamizu et al. document. (Nature nanotechnology, Vol. 3, 2008, 289-294). In practice, it comprises immersing the CNTs in an isopropyl alcohol solution and pulling them parallel to the direction of a groove, the groove being perpendicular to the meniscus of the liquid. This operation also enables to densify the CNT bundles.
  • According to a privileged embodiment, the portion of the CNT bundle which has been redirected along the second direction is substantially perpendicular to the portion of the CNT bundle along the first direction.
  • Typically, the first direction is substantially vertical. Advantageously, the portion of the CNT bundle along the first direction forms the via of the electronic device.
  • Preferentially, the second direction is substantially horizontal. Advantageously, the portion of the CNT bundle along the second direction forms the connection line of the electronic device.
  • In a privileged embodiment, vias and lines are thus substantially perpendicular.
  • To form connections between vias, in particular, the electronic device according to the present invention preferentially comprises at least two CNT bundles having their portions along the second direction, which may be substantially horizontal, forming the connection line. The line is advantageously formed by overlapping of the portions of the CNT bundles along the second direction, that is, by successive superposition of the bundle ends.
  • This embodiment is implemented by the following method:
      • growth of a plurality of parallel CNT bundles along the first direction, advantageously within vias;
      • redirection of a portion of the CNT bundles along the second direction;
      • connection, advantageously by overlapping, of the portions of the CNT bundles along the second direction, to form the connection line.
  • The overlapping is actually obtained by flattening of the upper portion of the CNT bundles, thus only leaving the portion of the CNT bundle contained in the cavity in the first direction, preferably substantially vertically. Advantageously, the second direction, that is, the overlapping direction, is that of a groove previously dug into the insulating block to contain the connection line.
  • According to a specific embodiment, the device of the present invention further comprises a metal layer. Advantageously, it covers at least the portion of the CNT bundles along the second direction, and more advantageously still the connection line.
  • This metal layer may enable:
      • to uniformize the surface of the device for an encapsulation or to be used as a support to form an upper connection level. In this last case, it is advantageous to deposit a metallic material, for example, aluminum, capable of promoting the growth of a second CNT level; and/or
      • promote the connection between vias.
  • This metal layer is deposited by means of any adapted technique known by those skilled in the art.
  • According to another embodiment, the electronic device may further comprise another CNT bundle laterally connected to at least one CNT bundle of the device, at the level of its portion in the second direction, to form the line. This other CNT bundle ensures the connection with a bundle coming from a via and possibly between several vias. The connection is achieved after the growth of this other CNT bundle, either directly along the second direction, or after its redirection along the second direction, as described previously.
  • Preferentially, the other CNT bundle is laterally connected to at least two CNT bundles to ensure the connection between vias.
  • According to the selected operating mode, the growths of CNT bundles forming either the vias, or the connection lines, may be simultaneous or separate.
  • Advantageously, the growth of the other CNT bundle is performed within a groove and not within a cavity. The other CNT bundle thus cannot directly come into contact with the conductive plate, since it is physically separated therefrom by the insulating block. The redirection of this other CNT bundle along the second direction may be performed in the groove.
  • In this specific embodiment, the lateral connection between CNT bundles is advantageously achieved by the deposition of a metal layer. In practice, it is located at the interface of the two types of CNT bundles: at least one CNT bundle, at the level of its portion along the second direction, coming from the via(s) and the other bundle forming the line. This layer is advantageously formed by means of a so-called contact metal selected from the following group:
  • palladium, copper, gold, or titanium. Thus, the contact metal ensures the connection between the CNT bundles coming from the vias and the CNT bundle forming the connection line.
  • In the context of the present invention, to locate CNTs in predetermined growth areas, one may:
      • either deposit the growth catalyst over the entire plate, and then remove it (by etching, polishing . . . ) from the non-predetermined areas;
      • or deposit TiN on the non-predetermined areas, then deposit the catalyst fall wafer, as described in Dijon et al. (Diam. Relat. Mater., 2009, doi:10.1016/j.diamond.2009.11.017).
  • According to a preferred embodiment, the method for creating electric connections in at least two directions within an electronic device according to the present invention further comprises a step of deposition of at least one metal layer on the portion of the CNT bundles along the second direction.
  • It may also comprise a step of growth, and possibly of redirection along the second direction, of another CNT bundle intended to laterally connect at least one CNT bundle, at the level of its portion along the second direction, to form the connection line. In this specific case, the method may possibly comprise a step of deposition of a metal layer on the other CNT bundle.
  • Further, the method for forming the device according to the present invention may comprise at least one step of deposition of TiN on the areas where CNTs should not grow.
  • In electronic devices according to the present invention, the electric current appears to essentially flow through the carbon nanotubes, thus considerably decreasing electromigration problems. Further, methods for forming such devices implement relatively tried and tested techniques.
  • EMBODIMENTS OF THE INVENTION
  • The foregoing and other features and advantages of the present invention will be discussed in the following non-limiting description of the following embodiments in connection with the accompanying drawings.
  • FIG. 1 shows a diagram illustrating the integration of carbon nanotubes (CNT) in prior art ULSI (“Ultra Large Scale integration”) interconnects.
  • FIG. 2 is a diagram illustrating prior art, that is, the growth of carbon nanotubes (CNT) in vias, creating a contact with the copper cables.
  • FIG. 3 is a three-dimensional microscope view of a prior art line/via interconnection system, implying a metal contact block.
  • FIG. 4 is a diagram of a prior art line/via interconnection system, implying a metal contact block.
  • FIG. 5 is a diagram of the interconnection device according to the present invention, by overlapping of redirected CNTs coming from vias (A) or by connection between separate CNTs (B), respectively. The arrow indicates the current flow and the circles indicate the interfaces to be crossed.
  • FIG. 6 is a diagram of two interconnection devices according to the invention (A, B), where the contact between CNT bundles is improved by means of a metal.
  • FIG. 7 is a schematic diagram of an embodiment of the invention according to which the vias and lines are formed simultaneously. Left-hand views: cross-section views; right-hand views: top views.
  • FIG. 8 is a diagram illustrating a method for forming an interconnection device according to the present invention by CNT overlapping; A/ to F/: end views, perpendicular to the groove; G/ and H/: views parallel to the groove direction.
  • FIG. 9 is a diagram illustrating a method for forming an alternative interconnection device according to the present invention by simultaneous growth of the vias and of the line.
  • FIG. 10 is a diagram illustrating a method for forming an alternative interconnection device according to the present invention by independent growth of the vias and of the line, and insertion of a contact metal.
  • DETAILED DESCRIPTION OF THE INVENTION
  • The different embodiments described hereafter relate to an electronic device requiring both vertical and horizontal interconnects, thus in two different perpendicular directions. All these connections are formed by means of carbon nanotuhes (CNT):
      • the vertical connections are formed by vertical CNT bundles which grow within vias, formed in a layer of insulating material;
      • the horizontal connections are also ensured by CNTs and form the connection lines. They may result from the overlapping of the bundles coning from the vias, said bundles having been redirected (first embodiment; FIG. 5A) or result from the growth of an independent bundle in lateral contact with the bundles coming from the vias, said bundles having been redirected (second embodiment; FIG. 5B).
  • According to a specific embodiment, a metal layer 2, 10 is also in contact with the CNT bundles, especially on portions 8 b of the CNT bundles (FIG. 6A and FIG. 6B).
  • Such a layer may advantageously be formed of
      • a layer 10, for example, made of Pd or Ti, thus improving the contact of CNTs; and/or
      • a layer 2, for example, made of Al, allowing the growth of a new CNT level, and thus of a new interconnection level.
  • As a variation, it may be a bilayer associating the two types of layers 10 and 2.
  • I/ First Embodiment of the Invention
  • As already mentioned, this first embodiment by overlapping of vertical CNT bundles is illustrated in FIGS. 5A and 6A, respectively.
  • More specifically, its forming method is illustrated in FIG. 8. It should be noted that steps A to F are schematically shown in end views, that is, perpendicular to groove 3, FIGS. 8G and 8H corresponding to views parallel to the direction of groove 3.
  • A/ Forming of the Base Structure:
  • An insulating layer 1, made of silica or of a low-K material of microelectronics is deposited on conductive layer 2. Conductor 2 typically is aluminum.
  • B/ Etching of the Groove:
  • Within insulator 1, groove 3, which will become future line 4, is formed by conventional lithography methods.
  • C/ TiN Deposition:
  • A TiN layer 5, having a thickness of approximately 50 nanometers, is deposited in groove 3, if possible by means of a conformal deposition method. TiN has the function of inhibiting the growth of carbon nanotubes when catalyst 6, here iron, is deposited on the TiN.
  • D/ Via Opening:
  • Vias 7 are opened in groove 3, the etching through insulator 1 stopping on conductor 2.
  • E/ Catalyst Deposition:
  • The deposition of catalyst 6 is performed at ambient temperature. It typically is a layer of 1 nanometer of iron, deposited by evaporation or by ion beam sputtering. The deposition is performed with a normal incidence, to minimize the side coverage.
  • F/ Selective Nanotube Growth:
  • Conventionally, carbon nanotubes (CNT) 8 are grown at 600″C, by means of a C2H2+H2+He mixture (10 sccm, 50 sccm, 50 sccm), after having previously oxidized the iron by means of an RF air plasma formed at ambient temperature. The plasma conditions are the following:
      • P=0.3 Torr;
      • 70-W power for 30 minutes.
  • This method allows the growth of nanotubes 8 on iron 6, except if the latter is deposited on TiN 5. In this case, there is no growth.
  • The pressure during the 600′C growth is 1 Torr. The reactive gases are introduced after the cold plasma and the temperature rise is performed within 15 minutes with a 0.3-Torr pressure.
  • The height of nanotubes 8 is set by the growth time.
  • G/ Nanotube Redirection:
  • After the growth, the device is immersed in isopropylic alcohol and pulled perpendicularly to the direction of groove 3: the meniscus of the liquid is perpendicular to groove 3. The liquid flowing through the groove tubes flattens tubes 8 coming from vias 7. The tubes are highly densified after this operation. Further, tubes 8 have two different portions:
      • a portion 8 a, having an axis parallel to that of vias 7, and
      • a portion 8 b, having an axis parallel to that of groove 3.
  • Nanotube bundles 8, coming from the different vias 7, are thus flattened in groove 3 and come into contact. It is thus possible to form a line connection 4 by overlapping of at least two nanotube bundles coming from different vias 7.
  • At the end of this step, an interconnection system such as illustrated in FIG. 5A is obtained. Line 4 is formed by overlapping of nanotube bundles 8 b coming from vias 7. In this embodiment, the line resistance R is formed of a series of interface resistances added to the nanotube resistance.
  • However, in a subsequent step (FIG. 8H), it may be recommended to perform a metal deposition 2, again with aluminum, at the surface of line 4 to planarize the structure and to be able to repeat the operation (creation of interconnects) at the next level (encapsulation). At the end of this step, an interconnection system such as illustrated in FIG. 6A is obtained.
  • II/ Second Embodiment of the Invention
  • This second embodiment is illustrated in FIGS. 5B, 6B, 7, 9, and 10,
  • FIG. 5B illustrates the fact that the line resistance is lower than in the first embodiment since there is only one interface resistance left.
  • FIG. 7 is a drawing illustrating the principle of this second embodiment according to which the substantially perpendicular interconnects, respectively vias 7 and lines 4, come from at least two different bundles of nanotubes, advantageously formed simultaneously. It should be noted that the diagrams of the left-hand portion show cross-section views while the right-hand diagrams are top views.
  • Further, the embodiment implying the simultaneous growth of nanotubes 8 and 8′ from line 4 and vias 7 is illustrated in FIG. 9, which is derived from FIG. 8.
  • Steps A to C are similar to those of FIG. 8.
  • However, before the opening of vias 7, an additional step is carried out (FIG. 9D′): an opening 9 of TiN 5 is formed in groove 3, to obtain the growth of carbon nanotubes 8′ in this area after deposition of catalyst 6. This corresponds to the arranging of growth area 9 of the line.
  • The next step comprises openings vias 7 after having protected openings 9 with a resin layer (FIG. 9E′).
  • After deposition of catalyst 6 (FIG. 9F′) and during the growth step (FIG. 9G′), tubes 8 and 8′ grow in vias 7 and in growth area 9 formed at the line level, respectively. The bundles coming from CNTs 8 and 8′ may be given a different length, by varying the catalyst thickness and the different plasma conditions in the vias and on the line.
  • After redirection of the two nanotube bundles (8, 8′) coming from vias 7 and from growth area 9, respectively, the obtained interconnection system corresponds to that illustrated in FIG. 5B. It can be observed that connection line 4 is formed by means of a nanotube bundle 8′ which does not come from vias 7.
  • FIG. 10 derives from FIG. 8, but in an embodiment where the growth of nanotubes 8 and 8′ is performed separately from line 4 and vias 7, and where an interface metal 10 is inserted between the two nanotube bundles (between the CNTs of vias 8 b and the CNTs of lines 8′, respectively).
  • The method starts as in steps A to G of FIG. 8.
  • However, instead of step H, steps H′ to M′ of FIG. 10 are implemented:
  • H′/Deposition of a Contact Metal:
  • After redirection of nanotubes 8 coming from vias 7 (8 a, 8 b), contact metal 10, such as palladium, copper, gold, or titanium is deposited.
  • I′/Contact Metal Opening;
  • Contact metal 10 is then opened by etching at the end of groove 3 and all the way to insulator 1. Growth area 9 of the future nanotubes 8′ coming from line 4 is thus formed.
  • J′/ Catalyst Deposition:
  • The catalyst is deposited by evaporation or sputtering.
  • K′/ Contact Metal Planarization:
  • The device is planarized by CMP (“Chemical and Mechanical Polishing”) to suppress catalyst deposit 6 on contact metal 10, while keeping catalyst 6 in growth area 9.
  • L′/ Second Nanotube Growth:
  • A second growth in the same conditions as at step F of FIG. 8 is performed. The bundle of nanotubes 8′ coming from growth area 9 and intended to form line 4 is thus obtained.
  • M′/ Line Redirection:
  • After the growth, the nanotube bundle is redirected in the same way as at step G of FIG. 8. A connection line 4 in contact via metal 10 with nanotubes 8 coming from via 7 is thus obtained.
  • At the end of such a process, an interconnection system such as illustrated in FIG. 6B is obtained.

Claims (14)

1-13. (canceled)
14. An electronic device comprising electric connections extending along at least two different directions, said connections being formed by means of carbon nanotube (CNT) bundles, wherein at least two CNT bundles each comprise a portion having an axis directed along a first direction and a portion having an axis redirected along a second direction, the connections being achieved by connecting portions of said at least two CNT bundles to form a connection line.
15. The electronic device of claim 14, wherein the at least two different directions are substantially perpendicular.
16. The electronic device of claim 14, wherein the first direction is substantially vertical and the CNT bundle portion having an axis directed along the first direction forms a via.
17. The electronic device of claim 14, wherein the second direction is substantially horizontal and the CNT bundle portion having an axis redirected along the second direction forms a connection line.
18. The electronic device of claim 17, wherein the connection line comprises a metal layer.
19. The electronic device of claim 14, wherein the electronic device further comprises another CNT bundle laterally connected to at least one of the at least two CNT bundles, at the portion of the CNT bundle having an axis redirected along the second direction, to form the connection line.
20. The electronic device of claim 19, wherein the other CNT bundle is laterally connected to at least two CNT bundles to ensure the connection between vias.
21. A method of creating electric connections extending along at least two directions of the electronic device of claim 1, the method comprising:
growing at least two CNT bundles along a first direction in a cavity of said device; and
redirecting a portion of said at least two CNT bundles along a second direction by flowing a liquid to form a connection line.
22. The method of claim 21, wherein:
growing at least two CNT bundles along the first direction is carried out within vias;
a portion of the at least two CNT bundles is redirected along the second direction; and
the portions of the at least two CNT bundles redirected along the second direction are connected by overlapping to form the connection line.
23. The method of claim 21, comprising depositing at least one metal layer on the portion of the at least two CNT bundles redirected along the second direction.
24. The method of claim 21, comprising growing, and optionally redirecting along the second direction, another CNT bundle to connect laterally at least one CNT bundle to the portion of the CNT bundle redirected along the second direction, to form the connection line.
25. The method of claim 24, comprising depositing a metal layer on the other CNT bundle.
26. The method of claim 21, comprising depositing TiN on areas where CNT bundles should not grow.
US13/575,371 2010-02-11 2010-12-17 Interconnection structure made of redirected carbon nanotubes Abandoned US20120292103A1 (en)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
FR1050986A FR2956243B1 (en) 2010-02-11 2010-02-11 INTERCONNECTION STRUCTURE BASED ON REDIRECTED CARBON NANOTUBES
FR1050986 2010-02-11
PCT/FR2010/052792 WO2011098679A1 (en) 2010-02-11 2010-12-17 Interconnection structure made of redirected carbon nanotubes

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
PCT/FR2010/052792 A-371-Of-International WO2011098679A1 (en) 2010-02-11 2010-12-17 Interconnection structure made of redirected carbon nanotubes

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US14/317,708 Division US9165825B2 (en) 2010-02-11 2014-06-27 Interconnection structure made of redirected carbon nanotubes

Publications (1)

Publication Number Publication Date
US20120292103A1 true US20120292103A1 (en) 2012-11-22

Family

ID=42667917

Family Applications (2)

Application Number Title Priority Date Filing Date
US13/575,371 Abandoned US20120292103A1 (en) 2010-02-11 2010-12-17 Interconnection structure made of redirected carbon nanotubes
US14/317,708 Expired - Fee Related US9165825B2 (en) 2010-02-11 2014-06-27 Interconnection structure made of redirected carbon nanotubes

Family Applications After (1)

Application Number Title Priority Date Filing Date
US14/317,708 Expired - Fee Related US9165825B2 (en) 2010-02-11 2014-06-27 Interconnection structure made of redirected carbon nanotubes

Country Status (8)

Country Link
US (2) US20120292103A1 (en)
EP (1) EP2534678B1 (en)
JP (1) JP5699164B2 (en)
KR (1) KR101721060B1 (en)
CN (1) CN102725839A (en)
ES (1) ES2531431T3 (en)
FR (1) FR2956243B1 (en)
WO (1) WO2011098679A1 (en)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130020716A1 (en) * 2011-07-22 2013-01-24 International Business Machines Corporation System and method to process horizontally aligned graphite nanofibers in a thermal interface material used in 3d chip stacks
US9082744B2 (en) 2013-07-08 2015-07-14 International Business Machines Corporation Method for aligning carbon nanotubes containing magnetic nanoparticles in a thermosetting polymer using a magnetic field
US9090004B2 (en) 2013-02-06 2015-07-28 International Business Machines Corporation Composites comprised of aligned carbon fibers in chain-aligned polymer binder
US9096784B2 (en) 2010-07-23 2015-08-04 International Business Machines Corporation Method and system for allignment of graphite nanofibers for enhanced thermal interface material performance
US9245813B2 (en) 2013-01-30 2016-01-26 International Business Machines Corporation Horizontally aligned graphite nanofibers in etched silicon wafer troughs for enhanced thermal performance

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060212974A1 (en) * 2005-03-18 2006-09-21 Fujitsu Limited Carbon nanotube device and manufacturing method of the same
US20080042287A1 (en) * 2004-07-29 2008-02-21 International Business Machines Corporation Integrated Circuit Chip Utilizing Oriented Carbon Nanotube Conductive Layers
US20130109170A1 (en) * 2003-06-30 2013-05-02 Fujitsu Limited Deposition method and a deposition apparatus of fine particles, a forming method and a forming apparatus of carbon nanotubes, and a semiconductor device and a manufacturing method of the same

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4212258B2 (en) * 2001-05-02 2009-01-21 富士通株式会社 Integrated circuit device and integrated circuit device manufacturing method
JP5028744B2 (en) * 2005-02-15 2012-09-19 富士通株式会社 Method for forming carbon nanotube and method for manufacturing electronic device
CN100591613C (en) * 2006-08-11 2010-02-24 清华大学 Carbon nano-tube composite material and preparation method thereof
JP2009032819A (en) * 2007-07-25 2009-02-12 Fujitsu Ltd Manufacturing method of electronic-device, and electronic device using the method
US20090294966A1 (en) * 2008-05-27 2009-12-03 Unidym, Inc. Carbon nanotubes as interconnects in integrated circuits and method of fabrication
FR2933106B1 (en) * 2008-06-27 2010-12-24 Commissariat Energie Atomique PROCESS FOR OBTAINING CARBON NANOTUBE CARPETS ON CONDUCTIVE OR SEMICONDUCTOR SUBSTAT
CN101562148B (en) * 2009-04-24 2011-08-24 北京大学 Method for carbon nano tube to achieve vertical interconnection of upper and lower layers of conductive material

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130109170A1 (en) * 2003-06-30 2013-05-02 Fujitsu Limited Deposition method and a deposition apparatus of fine particles, a forming method and a forming apparatus of carbon nanotubes, and a semiconductor device and a manufacturing method of the same
US20080042287A1 (en) * 2004-07-29 2008-02-21 International Business Machines Corporation Integrated Circuit Chip Utilizing Oriented Carbon Nanotube Conductive Layers
US20060212974A1 (en) * 2005-03-18 2006-09-21 Fujitsu Limited Carbon nanotube device and manufacturing method of the same

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9096784B2 (en) 2010-07-23 2015-08-04 International Business Machines Corporation Method and system for allignment of graphite nanofibers for enhanced thermal interface material performance
US20130020716A1 (en) * 2011-07-22 2013-01-24 International Business Machines Corporation System and method to process horizontally aligned graphite nanofibers in a thermal interface material used in 3d chip stacks
US9257359B2 (en) * 2011-07-22 2016-02-09 International Business Machines Corporation System and method to process horizontally aligned graphite nanofibers in a thermal interface material used in 3D chip stacks
US9245813B2 (en) 2013-01-30 2016-01-26 International Business Machines Corporation Horizontally aligned graphite nanofibers in etched silicon wafer troughs for enhanced thermal performance
US9090004B2 (en) 2013-02-06 2015-07-28 International Business Machines Corporation Composites comprised of aligned carbon fibers in chain-aligned polymer binder
US9082744B2 (en) 2013-07-08 2015-07-14 International Business Machines Corporation Method for aligning carbon nanotubes containing magnetic nanoparticles in a thermosetting polymer using a magnetic field
US9406651B2 (en) 2013-07-08 2016-08-02 Globalfoundries Inc. Chip stack with oleic acid-aligned nanotubes in thermal interface material

Also Published As

Publication number Publication date
JP5699164B2 (en) 2015-04-08
KR101721060B1 (en) 2017-04-10
WO2011098679A1 (en) 2011-08-18
FR2956243B1 (en) 2013-10-25
US20140338189A1 (en) 2014-11-20
CN102725839A (en) 2012-10-10
US9165825B2 (en) 2015-10-20
KR20120113766A (en) 2012-10-15
EP2534678B1 (en) 2015-02-11
FR2956243A1 (en) 2011-08-12
EP2534678A1 (en) 2012-12-19
ES2531431T3 (en) 2015-03-16
JP2013520002A (en) 2013-05-30

Similar Documents

Publication Publication Date Title
US9165825B2 (en) Interconnection structure made of redirected carbon nanotubes
JP5181512B2 (en) Manufacturing method of electronic device
US7094679B1 (en) Carbon nanotube interconnect
US8338822B2 (en) Electrical connection structure having elongated carbon structures with fine catalyst particle layer
JP5414756B2 (en) Semiconductor device and manufacturing method thereof
KR101110804B1 (en) Method of forming a wiring structure
US20080317947A1 (en) Method for making a carbon nanotube-based electrical connection
JP2008041954A (en) Carbon wiring structure and its manufacturing method
JP5233147B2 (en) Electronic device and manufacturing method thereof
JP2013535820A (en) Method and structure for improving the conductivity of narrow copper filled vias
CN102881651B (en) A kind of method improving electrical interconnection characteristic of carbon nano tube
JP5701920B2 (en) Semiconductor device and manufacturing method thereof
Kawabata et al. Robustness of CNT via interconnect fabricated by low temperature process over a high-density current
TWI428274B (en) Process of nanotubes with internal connections
Katagiri et al. Carbon nanotube vias fabricated by remote plasma-enhanced chemical vapor deposition
US20160086889A1 (en) Carbon nanotube interconnect structure, and method of manufacturing the same
JP2010263227A (en) Method for manufacturing electrical connection structure
CN106356329B (en) The forming method of the dielectric capping layers of copper-connection
KR20230108327A (en) CMOS compatible graphene structures, interconnects and fabrication methods

Legal Events

Date Code Title Description
AS Assignment

Owner name: COMMISSARIAT A L'ENERGIE ATOMIQUE ET AUX ENERGIES

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:DIJON, JEAN;REEL/FRAME:028644/0693

Effective date: 20120704

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION