US20110265814A1 - Methods for processing substrates in process systems having shared resources - Google Patents

Methods for processing substrates in process systems having shared resources Download PDF

Info

Publication number
US20110265814A1
US20110265814A1 US12/915,240 US91524010A US2011265814A1 US 20110265814 A1 US20110265814 A1 US 20110265814A1 US 91524010 A US91524010 A US 91524010A US 2011265814 A1 US2011265814 A1 US 2011265814A1
Authority
US
United States
Prior art keywords
processing
processing volume
substrate
endpoint
chamber
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
US12/915,240
Other versions
US8496756B2 (en
Inventor
James P. Cruse
Dermot Cantwell
Ming Xu
Charles Hardy
Benjamin Schwarz
Kenneth S. Collins
Andrew Nguyen
Zhifeng Sui
Evans Lee
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Priority to US12/915,240 priority Critical patent/US8496756B2/en
Assigned to APPLIED MATERIALS, INC. reassignment APPLIED MATERIALS, INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: HARDY, CHARLES, SUI, ZHIFENG, COLLINS, KENNETH S., CRUSE, JAMES P., CANTWELL, DERMOT, LEE, EVANS, NGUYEN, ANDREW, SCHWARZ, BENJAMIN, XU, MING
Priority to TW100112699A priority patent/TWI434366B/en
Priority to TW102143472A priority patent/TWI487053B/en
Priority to PCT/US2011/033047 priority patent/WO2011136982A2/en
Publication of US20110265814A1 publication Critical patent/US20110265814A1/en
Application granted granted Critical
Publication of US8496756B2 publication Critical patent/US8496756B2/en
Active legal-status Critical Current
Adjusted expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32899Multiple chambers, e.g. cluster tools

Definitions

  • Embodiments of the present invention generally relate to substrate processing systems.
  • substrate processing systems In order to increase productivity and reduce cost of ownership, substrate processing systems have been developed that process multiple substrate simultaneously. This has been done conventionally by using process chambers that can process multiple substrates in a common volume. However, the inventors have discovered that uniformity issues may arise when using multiple substrates in a common volume that do not arise in single substrate processing systems. In addition, the inventors have observed that conventional single substrate processing systems are unable to satisfactorily share chamber resources, thereby frustrating attempts to reduce the cost of ownership and increase process throughput.
  • the inventors have provided methods for processing substrates in twin chamber processing systems using shared chamber resources.
  • method of processing substrates in a twin chamber processing system having a first process chamber, a second process chamber, and shared processing resources may include flowing a process gas from a shared gas panel of the twin chamber processing system to a first processing volume of the first process chamber having a first substrate disposed in the first processing volume and to a second processing volume of the second process chamber having a second substrate disposed in the second processing volume; forming a first plasma from the process gas in the first processing volume to process the first substrate by providing RF power from a first RF power source coupled to the first process chamber and a second plasma from the process gas in the second processing volume to process the second substrate by providing RF power from a second RF power source coupled to the second process chamber; monitoring the first processing volume with a first endpoint detection system and the second processing volume with a second endpoint detection system to determine if a process endpoint is reached in either volume; and either terminating the first and second plasma simultaneously when a first endpoint is reached in
  • a computer readable medium may be provided, having instructions stored thereon which, when executed, causes a twin chamber processing system having a first process chamber, a second process chamber, and shared processing resources to perform any of the methods disclosed herein.
  • FIG. 1 depicts a schematic top view of a processing system in accordance with some embodiments of the present invention.
  • FIG. 3 depicts a flow chart for a method of processing substrates in each chamber of a twin chamber processing system in accordance with some embodiments of the present invention.
  • inventive methods advantageous control operation of chamber processes, such process gas flow, plasma generation, and the like, when shared resources are used between each chamber of a twin chamber processing system, or other processing systems having two or more process chambers having shared resources, such that processes can be run simultaneously on substrates in each process chamber and process quality can be maintained.
  • An exemplary twin chamber processing system as disclosed herein may be a standalone processing system or a part of a cluster tool having several twin chamber processing systems coupled thereto, for example, such as a processing system 100 illustrated in FIG. 1 .
  • the processing system 100 may generally comprise a vacuum-tight processing platform 104 , a factory interface 102 , one or more twin chamber processing systems 101 , 103 , 105 and a system controller 144 .
  • Examples of a processing system that may be suitably modified in accordance with the teachings provided herein include the CENTURA® integrated processing system, one of the PRODUCER® line of processing systems (such as the PRODUCER® GTTM), ADVANTEDGETM processing systems, or other suitable processing systems commercially available from Applied Materials, Inc., located in Santa Clara, Calif. Other processing systems may be adapted to benefit from the invention. Although disclosed herein with reference to a twin chamber processing system, other multiple chamber processing systems (e.g., two or more) having shared resources may be modified and operated in accordance with the teachings provided herein. Another example of a twin chamber processing system is described in U.S. Provisional Patent Application Ser. No. 61/330,156, filed Apr. 30, 2010, by Ming Xu, et al., and entitled, “Twin Chamber Processing System.”
  • the platform 104 includes a vacuum substrate transfer chamber 136 having the one or more twin chamber processing systems 101 , 103 , 105 (three shown in FIG. 1 ) coupled thereto.
  • Each twin chamber processing system includes two process chambers (e.g., 110 and 111 , 112 and 132 , and 120 and 128 ).
  • the platform further includes at least one load-lock chamber 122 (two shown in FIG. 1 ) that are coupled to the vacuum substrate transfer chamber 136 .
  • the factory interface 102 is coupled to the transfer chamber 136 via the load lock chambers 122 .
  • Each twin chamber processing system 101 , 103 , 105 includes independent processing volumes that may be isolated from each other.
  • Each twin chamber processing system 101 , 103 , 105 may be configured to share resources (e.g., process gas supply, vacuum pump, or the like) between each process chamber of the twin chamber processing system as discussed below and illustrated in FIG. 2 .
  • the factory interface 102 may comprise at least one docking station 108 and at least one factory interface robot (two shown in FIG. 1 ) 114 to facilitate transfer of substrates.
  • the docking station 108 may be configured to accept one or more (two shown in FIG. 1 ) front opening unified pods (FOUPs) 106 A-B.
  • the factory interface robot 114 may comprise a blade 116 disposed on one end of the robot 114 configured to transfer the substrate from the factory interface 102 to the processing platform 104 for processing through the load lock chambers 122 .
  • one or more metrology stations 118 may be connected to a terminal 126 of the factory interface 102 to facilitate measurement of the substrate from the FOU Ps 106 A-B.
  • Each of the load lock chambers 122 may comprise a first port 123 coupled to the factory interface 102 and a second port 125 coupled to the transfer chamber 136 .
  • the load lock chambers 122 may be coupled to a pressure control system (not shown) which pumps down and vents the load lock chambers 122 to facilitate passing the substrate between the vacuum environment of the transfer chamber 136 and the substantially ambient (e.g., atmospheric) environment of the factory interface 102 .
  • the transfer chamber 136 has a vacuum robot 130 disposed therein.
  • the vacuum robot 130 may include one or more transfer blades 134 (two shown in FIG. 1 ) coupled to a movable arm 131 .
  • the vacuum robot 130 may comprise two parallel blades 134 configured such that the vacuum robot 130 may simultaneously transfer two substrates 124 , 126 from the load lock chambers 122 to the process chambers of a twin chamber processing system, for example, process chambers 110 , 111 of the twin chamber processing system 101 .
  • each twin chamber processing system 101 , 103 , 105 may be any type of process chamber utilized in substrate processing, for example, such as etch chambers, deposition chambers, or the like.
  • each process chamber of the twin chamber processing system are configured for the same function, for example, etching.
  • each process chamber may include a plasma source, for example, an inductive or capacitively coupled plasma source, a remote plasma source or the like.
  • each process chamber of a twin chamber processing system may use a halogen-containing gas, for example, provided by a shared gas panel (as discussed below), to etch substrates (e.g., substrates 124 , 126 ) disposed therein.
  • halogen-containing gas include hydrogen bromide (HBr), chlorine (Cl 2 ), carbon tetrafluoride (CF 4 ), and the like.
  • halogen-containing residues may remain on the substrate surface.
  • the halogen-containing residues may be removed by a thermal treatment process in the load lock chambers 122 , or by other suitable means.
  • FIG. 2 depicts a schematic side view of a twin chamber processing system, for example twin chamber processing system 101 , in accordance with some embodiments of the present invention.
  • the twin chamber processing system 101 includes the process chambers 110 , 111 , wherein the process chambers 110 , 111 share resources, for example, such as a shared vacuum pump 202 and a shared gas panel 204 as shown in FIG. 2 .
  • each twin chamber processing system coupled to the processing system 100 may be similarly configured.
  • the process chamber 110 (e.g., a first process chamber) has a first processing volume 208 that includes a first substrate support 201 disposed therein to support a substrate (first substrate 203 shown in FIG. 2 ).
  • the process chamber 110 further includes a first vacuum pump 206 for maintaining a first operating pressure in the first processing volume 208 .
  • the first vacuum pump 206 may be, for example, a turbomolecular pump or the like.
  • the first vacuum pump 206 may include a low pressure side 205 proximate the first processing volume 208 and a high pressure side 207 which may be selectively coupled to the shared vacuum pump 202 as discussed below.
  • the first vacuum pump 206 may be selectively isolated from the first processing volume 208 by a first gate valve 210 disposed between the first processing volume 208 and the first vacuum pump 206 , for example proximate the low pressure side 205 of the first vacuum pump 206 .
  • the process chamber 111 (e.g., a second process chamber) of the twin chamber processing system 101 includes a second processing volume 214 having a second substrate support 209 disposed therein to support a substrate (second substrate 215 shown in FIG. 2 ).
  • the process chamber 111 further includes a second vacuum pump 212 for maintaining a second operating pressure in the second processing volume 214 .
  • the second vacuum pump 212 may be, for example, a turbomolecular pump or the like.
  • the second vacuum pump 212 may include a low pressure side 211 proximate the second processing volume 214 and a high pressure side 213 which may be selectively coupled to the shared vacuum pump 202 as discussed below.
  • the second vacuum pump 212 may be selectively isolated from the second processing volume 214 by a second gate valve 216 disposed between the second processing volume 214 and the second vacuum pump 212 , for example proximate the low pressure side 211 of the second vacuum pump 212 .
  • the first and second processing volumes 208 , 214 may be isolated from each other to facilitate substantially independent processing of substrates in each respective process chamber 110 , 111 .
  • the isolated processing volumes of the process chambers within the twin chamber processing system advantageously reduces or eliminates processing problems that may arise due to multi-substrate processing systems where the processing volumes are fluidly coupled during processing.
  • the twin chamber processing system further advantageously utilizes shared resources that facilitate reduced system footprint, hardware expense, utilities usage and cost, maintenance, and the like, while at the same time promoting higher substrate throughput.
  • shared hardware may include one or more of a process foreline and roughing pump, AC distribution and DC power supplies, cooling water distribution, chillers, multi-channel thermo controllers, gas panels, controllers, and the like.
  • the shared vacuum pump 202 may be selectively coupled to or isolated from any of the first and second processing volumes 208 , 214 or the first and second vacuum pumps 206 , 212 .
  • the shared vacuum pump 202 may be coupled to the first and second processing volumes 208 , 214 for reducing a pressure in each processing volume below a critical pressure level prior to opening the first and second gate valves 210 , 216 .
  • the critical pressure level may be a higher pressure than either of the first and second operating pressure provided by the first and second vacuum pumps 206 , 212 respectively.
  • the critical pressure level may be required for the first and second vacuum pumps 206 , 212 to begin operation.
  • the shared vacuum pump 202 may be selectively coupled to the first processing volume 208 while bypassing the first vacuum pump 206 by a first roughing valve 218 disposed between the first processing volume 208 and the shared vacuum pump 202 .
  • the first vacuum pump 206 may be isolated from the first processing volume 208 by the first gate valve 210 while a pressure of the first processing volume 208 is lowered to below the critical pressure level, for example, suitable for operation of the first vacuum pump 206 . Additional embodiments where the first vacuum pump 206 may be bypassed are also discussed below.
  • the shared vacuum pump 202 may be selectively coupled to the second processing volume 214 while bypassing the second vacuum pump 212 by a second roughing valve 220 disposed between the second processing volume 214 and the shared vacuum pump 202 .
  • the second vacuum pump 212 may be isolated from the second processing volume 214 by the second gate valve 216 while a pressure of the second processing volume 214 is lowered to below the critical pressure level, for example, suitable for operation of the second vacuum pump 206 . Additional method embodiments where the second vacuum pump 212 may be bypassed are also discussed below.
  • the shared vacuum pump 202 may be selectively coupled to the first vacuum pump 206 by a first isolation valve 222 .
  • the first isolation valve 222 may be disposed between the high pressure 207 of the first vacuum pump 206 and the shared vacuum pump 202 .
  • the first isolation valve is open to allow gases or the like removed from the first processing volume 208 by the first vacuum pump 206 to be exhausted from the high pressure side 207 of the first vacuum pump 206 to the shared vacuum pump 202 .
  • the shared vacuum pump 202 may be selectively coupled to the second vacuum pump 212 by a second isolation valve 224 .
  • the second isolation valve 224 may be disposed between the high pressure 213 of the second vacuum pump 212 and the shared vacuum pump 202 .
  • the second isolation valve is open to allow gases or the like removed from the second processing volume 214 by the second vacuum pump 212 to be exhausted from the high pressure side 213 of the second vacuum pump 212 to the shared vacuum pump 202 .
  • the shared gas panel 204 may be coupled to each of the process chambers 110 , 111 for providing one or more process gases to the first and second processing volumes 208 , 214 .
  • the shared gas panel may include one or more gases sources (not shown), for example where a gas from each gas source is metered out to each process chamber by one or more flow controllers, such as a mass flow controller, flow ratio controller or the like.
  • Each gas source may be provided to each processing volume independently or to both processing volumes simultaneously, for example, to perform the same process in both process chambers 110 , 111 simultaneously.
  • simultaneously means that the processes being performed in the two processing volumes at least partially overlap, begin after both substrates are delivered to the two processing volumes, and end prior to removal of either substrate from either of the two processing volumes.
  • a first three-way valve 226 can be disposed between the shared gas panel and the first processing volume 208 of the process chamber 110 to provide a process gas from the shared gas panel 204 to the first processing volume 208 .
  • the process gas may enter the process chamber 110 at a first showerhead 228 or any suitable gas inlet(s) used for providing a process gas to a process chamber.
  • the first three-way valve 226 may divert the process gas from the shared gas panel 204 (e.g., bypassing the first processing volume 208 ) into a foreline conduit 230 coupled to the shared vacuum pump 202 .
  • the foreline conduit 230 may couple the shared vacuum pump 202 to the high pressure side 207 of the first vacuum pump 206 and directly couple the shared vacuum pump 202 to the first processing volume 208 .
  • the first showerhead 228 may include an electrode having a first RF power source 229 coupled thereto, for example, for striking a plasma in the first processing volume 208 from a process gas.
  • the first RF power source 229 may be coupled to an electrode separate from the first showerhead 228 (not shown) or coupled to one or more inductive coils (not shown) disposed outside the first processing volume 208 .
  • a second three-way valve 232 can be disposed between the shared gas panel and second processing volume 208 of the process chamber 111 to provide a process gas from the shared gas panel 204 to the second processing volume 208 .
  • the process gas may enter the process chamber 111 at a second showerhead 234 or any suitable gas inlet(s) used for providing a process gas to a process chamber.
  • the second three-way valve 232 may divert the process gas from the shared gas panel 204 (e.g., bypassing the second processing volume 214 ) into the foreline conduit 230 coupled to the shared vacuum pump 202 .
  • the foreline conduit 230 may couple the shared vacuum pump 202 to the high pressure side 213 of the second vacuum pump 212 and directly couple the shared vacuum pump 202 to the second processing volume 214 .
  • the second showerhead 234 may include an electrode having a second RF power source 235 coupled thereto, for example, for striking a plasma in the second processing volume 214 from a process gas.
  • the second RF power source 235 may be coupled to an electrode separate from the second showerhead 234 (not shown) or coupled to one or more inductive coils (not shown) disposed outside the second processing volume 214 .
  • the first and second three-way valves 226 , 232 may operate in response to a process endpoint detected, for example, by a first endpoint detector 236 for detecting the process endpoint in the process chamber 110 and by a second endpoint detector 238 for detecting the process endpoint in the process chamber 111 .
  • the first and second endpoint detectors 236 , 238 may be configured to determine an endpoint by optical emission spectroscopy (OES), interferometry, or other suitable means of endpoint detection.
  • the first and second endpoint detectors 236 , 238 may be part of a process controller and may be configured to determine an endpoint by elapsed time based upon empirical or modeled calculations.
  • the process endpoint time may be part of a process recipe in the process controller and, in some embodiments, may be adjusted via advanced process control techniques (such as, incoming film or substrate variations, feedback and/or feedforward information, or the like).
  • a controller for example such as the system controller 144 or a individual controller (not shown) coupled to one or more of the components of the twin chamber processing system 101 , may be configured to receive a first signal form the first endpoint detector 236 when the process endpoint is reached in the process chamber 110 and to terminate the process, for example, by instructing the first three-way valve 226 to divert a process gas into the foreline conduit 230 and/or by turning off the RF power to the process chamber, if the process endpoint has not been reached in a process running in the process chamber 111 .
  • process endpoints in each chamber may vary, for example, due to any one or more of: substrate variation between process chambers, upstream processing prior to entering each process chamber 110 , 111 , feedforward control to correct substrate variations due to upstream processing, feedback control to adjust process parameters in response to downstream processing, or the like.
  • the controller may be configured to receive a second signal from the second endpoint detector 238 when the process endpoint is reached in the process chamber 111 and to instruct the second three-way valve 232 to divert a process gas into the foreline conduit 230 if the process endpoint has not been reached in a process running in the process chamber 110 .
  • the controller 144 may shut off a plasma in each process chamber 110 , 111 by turning off the respective first and second RF power sources 231 , 235 .
  • the process gas may continue to flow to each process chamber, or the process gas flow may be turned off along with each RF power source, or the process gas may be diverted by each three-way valve as discussed above.
  • a process need not be precisely synchronized in both process chambers 110 , 111 and for example may begin in each chamber when a substrate has reached the appropriate process temperature or another similar process condition. Accordingly, when a process endpoint is reach in a given chamber, the process gas is diverted by a three-way valve into the foreline conduit 230 until the process endpoint is reached in the adjacent chamber prior to removing the substrates from the chambers 110 , 111 or prior to beginning a further processing step.
  • the shared gas panel may further provide a gas for purging the process chambers 110 , 111 .
  • a vent line 240 may be selectively coupled to each of the first and second processing volumes 208 , 214 either directly (as shown) or via the high pressure sides 207 , 213 of respective first and second vacuum pumps 206 , 212 (not shown).
  • the purge gas may include nitrogen (N 2 ), argon (Ar), helium (He), or the like.
  • the purge gas may be selectively provided to the first processing volume 208 via a first purge valve 242 disposed between the shared gas panel 204 and the first processing volume 208 .
  • the purge gas may be selectively provided to the second processing volume 214 via a second purge valve 244 disposed between the shared gas panel 204 and the second processing volume 214 .
  • a vent (not shown), for example such as a valve or the like, may be provided for each chamber 110 , 111 such that each chamber 110 , 111 may be vented to atmosphere independently from the other chamber.
  • the system controller 144 is coupled to the processing system 100 .
  • the system controller 144 controls the operation of the system 100 using a direct control of the process chambers 110 , 111 , 112 , 132 , 128 , 120 of the system 100 or alternatively, by controlling individual controllers (not shown) associated with the process chambers 110 , 111 , 112 , 132 , 128 , 120 and/or each twin chamber processing system 101 , 103 , 105 and the system 100 .
  • the system controller 144 enables data collection and feedback from the respective chambers and system controller 144 to optimize performance of the system 100 .
  • the system controller 144 generally includes a central processing unit (CPU) 138 , a memory 140 , and support circuit 142 .
  • the CPU 138 may be one of any form of a general purpose computer processor that can be used in an industrial setting.
  • the support circuits 142 are conventionally coupled to the CPU 138 and may comprise cache, clock circuits, input/output subsystems, power supplies, and the like.
  • the software routines such as a method 300 , 400 , or 500 described below for controlling one or more chamber processes, such as reducing pressure, venting or purging each chamber of a twin chamber processing system, when executed by the CPU 138 , transform the CPU 138 into a specific purpose computer (controller) 144 .
  • the software routines may also be stored and/or executed by a second controller (not shown) that is located remotely from the system 100 .
  • a method 300 for processing substrates in the process chambers of a twin chamber processing system is depicted in FIG. 3 and described below with respect to the twin chamber processing system 101 depicted in FIG. 2 .
  • the inventive methods disclosed herein need not be limited to only two process chambers sharing common resources, such as the twin chamber processing system 101 .
  • the inventors contemplate that processing systems having three or more process chambers which shared common resources (not shown) may benefit from the inventive methods disclosed herein.
  • the inventive method facilitates the operation of individual process chambers having shared resources according to their own individual requirements, while enabling synchronization of shared resources, such as a shared gas panel, that provides resources to multiple process chambers.
  • a shared resource such as process gases
  • a plasma may be formed by providing RF to begin processing.
  • the process may be terminated (for example, by turning off RF power) and the process chamber may wait until all other process chambers coupled to the shared resource are finished processing.
  • the shared resource may be set at desired for a next step and the cycle may continue.
  • the individual process chambers can run independently while the shared resource is in a given state, and the process chambers may wait until all process chambers are ready for the next transition of the shared resource to a new state desired for subsequent processing.
  • the method 300 begins at 302 by flowing a process gas from the shared gas panel 204 of the twin chamber processing system 101 to the first processing volume 208 of the process chamber 110 having the first substrate 203 disposed therein and to the second processing volume 214 of the process chamber 111 having the second substrate 215 disposed therein.
  • the first three-way valve 226 and the second three-way valve 232 may be configured to provide the process gas to the first and second showerheads 228 , 234 respectively such that the process gas enters the first and second processing volumes 208 , 214 .
  • a first plasma may be formed from the process gas in the first processing volume 208 to process the first substrate 203 and a second plasma may be formed from the process gas in the second processing volume 214 to process the second substrate 215 .
  • the first plasma may be formed by providing RF power from the first RF power source 229 to first processing volume 208 to form the first plasma from the process gas.
  • the second plasma may be formed by providing RF power from the second RF power source 235 to the second processing volume 214 to from the second plasma for the process gas.
  • a process performed in the process chambers 110 , 111 may be synchronized or unsynchronized. In a synchronized process, processing does not occur in either chamber until both chambers have reached a desired state to form the plasma and begin processing. In an unsynchronized process, processing may begin in either chamber as soon as the particular chamber has reached a desired state to form the plasma and begin processing. For example, in some embodiments, at least one of heating the first and second substrates 203 , 215 to a substantially similar temperature, providing a substantially similar pressure in both the first and second processing volumes 208 , 214 , or providing a substantially similar flow rate of the process gas to both the first and second processing volumes 208 , 214 occurs prior to forming the first and second plasmas.
  • the process chambers 110 , 111 may be synchronized prior to forming the first and second plasma in the process chambers 110 , 111 . Synchronizing the process conditions in the process chambers 110 , 111 may be utilized such that a first endpoint for processing the first substrate 203 may be reached at about the same time as a second endpoint for processing the second substrate 215 . However, in some embodiments, even if the process conditions are synchronized, the first and second endpoints may be reached at different times.
  • the first plasma may be formed from the process gas prior to the second plasma.
  • at least one of the first processing volume 208 reaches at least one of an operating pressure or operating flow rate of the process gas prior to second processing volume 214 , or the first substrate 203 reaches an operating temperature prior to the second substrate 215 .
  • the first plasma may be formed prior to the second plasma. Accordingly, when the process conditions are unsynchronized, the first endpoint for processing the first endpoint for processing the first substrate 203 may be reached prior to the second endpoint for processing the second substrate 215 .
  • the first processing volume 208 may be monitored with the first endpoint detection system 236 and the second processing volume 214 may be monitored with a second endpoint detection system 238 to determine if a process endpoint is reached in either processing volume.
  • the process endpoint may be determined by monitoring one or more of a critical concentration of the process gas, a material from the first and second substrates or a byproduct from a reaction between the first and second substrates and the process gas and/or first and second plasmas.
  • the process endpoint may be determined by optical measurement or any suitable means for detecting any of the aforementioned process endpoints.
  • the method 300 may proceed by either of two alternatives, 308 A or 308 B, where the processes in the first and second process chambers 110 , 111 are terminated either simultaneously or sequentially and either upon reaching the first process endpoint or the second process endpoint.
  • the decision of whether or not to terminate the first-endpoint reached process may be made based at least in part upon the selectivity of the process being performed. For example, if an etch process is being performed in each process chamber 110 , 111 and the process is highly selective (e.g., the etch process does not etch a mask and/or materials underlying the desired material being etched, or etches such materials at a comparatively low rate), then a decision may be made to continue processing in the chamber until the second process endpoint is reached.
  • continuing the first process may not present much risk of defect or damage to the substrate or structures being formed thereon due to the high selectivity of the process.
  • the selectivity is low (e.g., the etch process etches the mask and/or materials underlying the desired material being etched at a rate near the etch rate of the desired material being etched)
  • a decision may be made to terminate processing in the chamber immediately upon reaching the process endpoint.
  • continuing the first process may present a high risk of defect or damage to the substrate or structures being formed thereon due to the low selectivity of the process.
  • the first and second plasma may be simultaneously terminated.
  • the flow of the process gas may be stopped at the shared gas panel 204 , or the flow of the process gas may be diverted to the foreline conduit 230 by both the first and second three-way valves 226 , 232 , the first and second RF power sources 229 , 235 may be turned off, or any combinations thereof.
  • the first endpoint may be reached prior to a second endpoint in the second processing volume 214 for processing the second substrate 215 . Accordingly, the first and second plasmas can be terminated based upon the first endpoint being an earlier endpoint than the second endpoint. Alternatively, in some embodiments, the first endpoint may be reached after the second endpoint in the second processing volume 214 . Accordingly, the first and second plasmas can be terminated based upon the first endpoint being a later endpoint than the second endpoint. In some embodiments, the first endpoint may be reached about simultaneously with the second endpoint in the second processing volume 214 for processing the second substrate. Thus, according to 308 A, both plasmas are terminated about simultaneously, and may be terminated upon the first endpoint being detected (or both endpoints being about simultaneously detected) or upon the last endpoint being detected.
  • the first plasma may be terminated while continuing to provide the second plasma in the second processing volume 214 until the second endpoint is reached for processing the second substrate 215 .
  • the first plasma may be terminated in any suitable manner, such as turning off the RF power source 231 .
  • RF power provided to the first processing volume 208 by the first RF power source 229 may be turned off while continuing to flow the process gas to the first processing volume 208 after the RF power provided by the first RF power source 229 is terminated.
  • the flow of the process gas to the first processing volume 208 may continue until the second endpoint is reached.
  • RF power provided to the first processing volume 208 by the first RF power source 229 may be turned off and the process gas may be diverted prior to entering the first processing volume 208 when the RF power provided by the first RF power source 229 is terminated.
  • the three-way valve 226 may be used to divert the process gas into the foreline conduit 230 .
  • the process gas may be diverted into the foreline conduit 230 by the three-way valve 226 until the second endpoint is reached for processing the second substrate 215 .
  • the second plasma may be terminated.
  • the second plasma may be terminated by any of the methods discussed above for terminating the first plasma, such as diverting the process gas into the foreline conduit 230 using the three-way valve 232 or the like. The method similarly proceeds if the second endpoint is reached prior to the first endpoint being detected.
  • the first and second plasmas are treated independently and extinguished solely upon the process endpoint being detected for the particular process chamber.
  • the first and second plasmas can be terminated when the first and second endpoints are individually reached in each process chamber 110 , 111 .
  • 302 - 308 A or 302 - 308 B may be repeated with a second process gas to further process the first and second substrates 203 , 215 .
  • a second process gas for example, if 302 - 308 A were used to process the first and second substrates 203 , 215 with the process gas, either of 302 - 308 A or 302 - 308 B may be used to further process the first and second substrates 203 , 215 .
  • steps 302 - 308 B were used to process the first and second substrates 203 , 215 with the process gas, either steps 302 - 308 A or 302 - 308 B may be used to further process the first and second substrates 203 , 215 .
  • the repeated processing may occur, for example, for multiple sub-steps of a multiple step recipe, or for multiple etch processes that may be performed on a substrate in a single chamber.
  • the substrates may be removed by the substrate transfer robot and delivered to another process chamber or to the load lock chambers for removal from the processing system.
  • new first and second substrates may be placed in the respective process chambers by the substrate transfer robot, either from different process chambers in the processing system or from the factory interface via the load lock chambers, to begin processing.
  • the method 300 described above may then be repeated for the new first and second substrates.
  • each process chamber may operate independently, for example where the first and second plasmas are selectively terminated when the first and second endpoints are independently reached in each chamber.
  • independent operation of each process chamber 110 , 111 when the shared resource is in the same state may include running different processes, such as plasma or non-plasma processes, or adjusting process conditions in each chamber such as substrate temperature, flow rate, RF power intensity or the like based upon feedforward or feedback control based on substrate variations, chamber variations or the like.
  • the process chambers 110 , 111 may be synchronized again, for example, when switching the shared resource to a new state, for example when the shared resource is providing the second process gas to each process chamber 110 , 111 as discussed above.
  • inventive methods for processing substrates in a twin chamber processing system are disclosed herein.
  • the inventive methods advantageously control operation of chamber processes, such process gas flow, plasma generation, and the like, when shared resources are used between each chamber of the twin chamber processing system, such that processes can be run simultaneously on substrates in each process chamber of the twin chamber processing system and process quality can be maintained.

Abstract

Methods for processing substrates in twin chamber processing systems having first and second process chambers and shared processing resources are provided herein. In some embodiments, a method may include flowing a process gas from a shared gas panel to a processing volume of the first process chamber and to a processing volume of the second process chamber; forming a first plasma in the first processing volume to process the first substrate and a second plasma to process the second substrate; monitoring the first processing volume and the second processing volume to determine if a process endpoint is reached in either volume; and either terminating the first and second plasma simultaneously when a first endpoint is reached; or terminating the first plasma when a first endpoint is reached in the first processing volume while continuing to provide the second plasma in the second processing volume until a second endpoint is reached.

Description

    CROSS-REFERENCE TO RELATED APPLICATIONS
  • This application claims benefit of U.S. provisional patent application Ser. No. 61/330,021, filed Apr. 30 2010, which is herein incorporated by reference.
  • FIELD
  • Embodiments of the present invention generally relate to substrate processing systems.
  • BACKGROUND
  • In order to increase productivity and reduce cost of ownership, substrate processing systems have been developed that process multiple substrate simultaneously. This has been done conventionally by using process chambers that can process multiple substrates in a common volume. However, the inventors have discovered that uniformity issues may arise when using multiple substrates in a common volume that do not arise in single substrate processing systems. In addition, the inventors have observed that conventional single substrate processing systems are unable to satisfactorily share chamber resources, thereby frustrating attempts to reduce the cost of ownership and increase process throughput.
  • Accordingly, the inventors have provided methods for processing substrates in twin chamber processing systems using shared chamber resources.
  • SUMMARY
  • Methods for processing substrates in twin chamber processing systems are provided herein. In some embodiments, method of processing substrates in a twin chamber processing system having a first process chamber, a second process chamber, and shared processing resources may include flowing a process gas from a shared gas panel of the twin chamber processing system to a first processing volume of the first process chamber having a first substrate disposed in the first processing volume and to a second processing volume of the second process chamber having a second substrate disposed in the second processing volume; forming a first plasma from the process gas in the first processing volume to process the first substrate by providing RF power from a first RF power source coupled to the first process chamber and a second plasma from the process gas in the second processing volume to process the second substrate by providing RF power from a second RF power source coupled to the second process chamber; monitoring the first processing volume with a first endpoint detection system and the second processing volume with a second endpoint detection system to determine if a process endpoint is reached in either volume; and either terminating the first and second plasma simultaneously when a first endpoint is reached in the first processing volume for processing the first substrate; or terminating the first plasma when a first endpoint is reached in the first processing volume for processing the first substrate while continuing to provide the second plasma in the second processing volume until a second endpoint is reached.
  • In some embodiments, a computer readable medium may be provided, having instructions stored thereon which, when executed, causes a twin chamber processing system having a first process chamber, a second process chamber, and shared processing resources to perform any of the methods disclosed herein.
  • Other and further embodiments of the present invention are described below.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • Embodiments of the present invention, briefly summarized above and discussed in greater detail below, can be understood by reference to the illustrative embodiments of the invention depicted in the appended drawings. It is to be noted, however, that the appended drawings illustrate only typical embodiments of this invention and are therefore not to be considered limiting of its scope, for the invention may admit to other equally effective embodiments.
  • FIG. 1 depicts a schematic top view of a processing system in accordance with some embodiments of the present invention.
  • FIG. 2 depicts a schematic side view of a twin chamber processing system in accordance with some embodiments of the present invention.
  • FIG. 3 depicts a flow chart for a method of processing substrates in each chamber of a twin chamber processing system in accordance with some embodiments of the present invention.
  • To facilitate understanding, identical reference numerals have been used, where possible, to designate identical elements that are common to the figures. The figures are not drawn to scale and may be simplified for clarity. It is contemplated that elements and features of one embodiment may be beneficially incorporated in other embodiments without further recitation.
  • DETAILED DESCRIPTION
  • Methods for processing substrates in a twin chamber processing system are disclosed herein. The inventive methods advantageous control operation of chamber processes, such process gas flow, plasma generation, and the like, when shared resources are used between each chamber of a twin chamber processing system, or other processing systems having two or more process chambers having shared resources, such that processes can be run simultaneously on substrates in each process chamber and process quality can be maintained.
  • An exemplary twin chamber processing system as disclosed herein may be a standalone processing system or a part of a cluster tool having several twin chamber processing systems coupled thereto, for example, such as a processing system 100 illustrated in FIG. 1. Referring to FIG. 1, in some embodiments, the processing system 100 may generally comprise a vacuum-tight processing platform 104, a factory interface 102, one or more twin chamber processing systems 101, 103, 105 and a system controller 144. Examples of a processing system that may be suitably modified in accordance with the teachings provided herein include the CENTURA® integrated processing system, one of the PRODUCER® line of processing systems (such as the PRODUCER® GT™), ADVANTEDGE™ processing systems, or other suitable processing systems commercially available from Applied Materials, Inc., located in Santa Clara, Calif. Other processing systems may be adapted to benefit from the invention. Although disclosed herein with reference to a twin chamber processing system, other multiple chamber processing systems (e.g., two or more) having shared resources may be modified and operated in accordance with the teachings provided herein. Another example of a twin chamber processing system is described in U.S. Provisional Patent Application Ser. No. 61/330,156, filed Apr. 30, 2010, by Ming Xu, et al., and entitled, “Twin Chamber Processing System.”
  • The platform 104 includes a vacuum substrate transfer chamber 136 having the one or more twin chamber processing systems 101, 103, 105 (three shown in FIG. 1) coupled thereto. Each twin chamber processing system includes two process chambers (e.g., 110 and 111, 112 and 132, and 120 and 128). The platform further includes at least one load-lock chamber 122 (two shown in FIG. 1) that are coupled to the vacuum substrate transfer chamber 136. The factory interface 102 is coupled to the transfer chamber 136 via the load lock chambers 122.
  • Each twin chamber processing system 101, 103, 105 includes independent processing volumes that may be isolated from each other. Each twin chamber processing system 101, 103, 105 may be configured to share resources (e.g., process gas supply, vacuum pump, or the like) between each process chamber of the twin chamber processing system as discussed below and illustrated in FIG. 2.
  • The factory interface 102 may comprise at least one docking station 108 and at least one factory interface robot (two shown in FIG. 1) 114 to facilitate transfer of substrates. The docking station 108 may be configured to accept one or more (two shown in FIG. 1) front opening unified pods (FOUPs) 106A-B. The factory interface robot 114 may comprise a blade 116 disposed on one end of the robot 114 configured to transfer the substrate from the factory interface 102 to the processing platform 104 for processing through the load lock chambers 122. Optionally, one or more metrology stations 118 may be connected to a terminal 126 of the factory interface 102 to facilitate measurement of the substrate from the FOU Ps 106A-B.
  • Each of the load lock chambers 122 may comprise a first port 123 coupled to the factory interface 102 and a second port 125 coupled to the transfer chamber 136. The load lock chambers 122 may be coupled to a pressure control system (not shown) which pumps down and vents the load lock chambers 122 to facilitate passing the substrate between the vacuum environment of the transfer chamber 136 and the substantially ambient (e.g., atmospheric) environment of the factory interface 102.
  • The transfer chamber 136 has a vacuum robot 130 disposed therein. The vacuum robot 130 may include one or more transfer blades 134 (two shown in FIG. 1) coupled to a movable arm 131. For example, in some embodiments, where twin chamber processing systems are coupled to the transfer chamber 136 as shown, the vacuum robot 130 may comprise two parallel blades 134 configured such that the vacuum robot 130 may simultaneously transfer two substrates 124, 126 from the load lock chambers 122 to the process chambers of a twin chamber processing system, for example, process chambers 110, 111 of the twin chamber processing system 101. Alternatively, in some embodiments, the vacuum robot 130 may be configured to selectively provide a substrate 124 to one process chamber of the twin chamber processing system, for example, process chamber 110 of the twin chamber processing system 101. In such embodiments, in operation, the vacuum robot 130 may provide only one substrate to a particular process chamber or may sequentially provide, for example, a first substrate to a first process chamber of the twin processing chamber system (e.g., provide substrate 126 to process chamber 111) followed by a second substrate to a second process chamber of the twin processing chamber system (e.g., provide substrate 124 to process chamber 110).
  • The process chambers 110, 111 or 112, 132 or 128, 120 of each twin chamber processing system 101, 103, 105 may be any type of process chamber utilized in substrate processing, for example, such as etch chambers, deposition chambers, or the like. In some embodiments, each process chamber of the twin chamber processing system are configured for the same function, for example, etching. For example, in embodiments where each process chamber of a twin chamber processing system is an etch chamber, each process chamber may include a plasma source, for example, an inductive or capacitively coupled plasma source, a remote plasma source or the like. Further, each process chamber of a twin chamber processing system may use a halogen-containing gas, for example, provided by a shared gas panel (as discussed below), to etch substrates (e.g., substrates 124, 126) disposed therein. Examples of halogen-containing gas include hydrogen bromide (HBr), chlorine (Cl2), carbon tetrafluoride (CF4), and the like. For example, after etching the substrates 124, 126, halogen-containing residues may remain on the substrate surface. The halogen-containing residues may be removed by a thermal treatment process in the load lock chambers 122, or by other suitable means.
  • FIG. 2 depicts a schematic side view of a twin chamber processing system, for example twin chamber processing system 101, in accordance with some embodiments of the present invention. The twin chamber processing system 101 includes the process chambers 110, 111, wherein the process chambers 110, 111 share resources, for example, such as a shared vacuum pump 202 and a shared gas panel 204 as shown in FIG. 2. In some embodiments, each twin chamber processing system coupled to the processing system 100 may be similarly configured.
  • The process chamber 110 (e.g., a first process chamber) has a first processing volume 208 that includes a first substrate support 201 disposed therein to support a substrate (first substrate 203 shown in FIG. 2). The process chamber 110 further includes a first vacuum pump 206 for maintaining a first operating pressure in the first processing volume 208. The first vacuum pump 206 may be, for example, a turbomolecular pump or the like. The first vacuum pump 206 may include a low pressure side 205 proximate the first processing volume 208 and a high pressure side 207 which may be selectively coupled to the shared vacuum pump 202 as discussed below. The first vacuum pump 206 may be selectively isolated from the first processing volume 208 by a first gate valve 210 disposed between the first processing volume 208 and the first vacuum pump 206, for example proximate the low pressure side 205 of the first vacuum pump 206.
  • The process chamber 111 (e.g., a second process chamber) of the twin chamber processing system 101 includes a second processing volume 214 having a second substrate support 209 disposed therein to support a substrate (second substrate 215 shown in FIG. 2). The process chamber 111 further includes a second vacuum pump 212 for maintaining a second operating pressure in the second processing volume 214. The second vacuum pump 212 may be, for example, a turbomolecular pump or the like. The second vacuum pump 212 may include a low pressure side 211 proximate the second processing volume 214 and a high pressure side 213 which may be selectively coupled to the shared vacuum pump 202 as discussed below. The second vacuum pump 212 may be selectively isolated from the second processing volume 214 by a second gate valve 216 disposed between the second processing volume 214 and the second vacuum pump 212, for example proximate the low pressure side 211 of the second vacuum pump 212.
  • The first and second processing volumes 208, 214 may be isolated from each other to facilitate substantially independent processing of substrates in each respective process chamber 110, 111. The isolated processing volumes of the process chambers within the twin chamber processing system advantageously reduces or eliminates processing problems that may arise due to multi-substrate processing systems where the processing volumes are fluidly coupled during processing. However, the twin chamber processing system further advantageously utilizes shared resources that facilitate reduced system footprint, hardware expense, utilities usage and cost, maintenance, and the like, while at the same time promoting higher substrate throughput. For example, shared hardware may include one or more of a process foreline and roughing pump, AC distribution and DC power supplies, cooling water distribution, chillers, multi-channel thermo controllers, gas panels, controllers, and the like.
  • The shared vacuum pump 202 may be selectively coupled to or isolated from any of the first and second processing volumes 208, 214 or the first and second vacuum pumps 206, 212. For example, the shared vacuum pump 202 may be coupled to the first and second processing volumes 208, 214 for reducing a pressure in each processing volume below a critical pressure level prior to opening the first and second gate valves 210, 216. For example, the critical pressure level may be a higher pressure than either of the first and second operating pressure provided by the first and second vacuum pumps 206, 212 respectively. However, the critical pressure level may be required for the first and second vacuum pumps 206, 212 to begin operation.
  • The shared vacuum pump 202 may be selectively coupled to the first processing volume 208 while bypassing the first vacuum pump 206 by a first roughing valve 218 disposed between the first processing volume 208 and the shared vacuum pump 202. For example, and as discussed in the methods below, the first vacuum pump 206 may be isolated from the first processing volume 208 by the first gate valve 210 while a pressure of the first processing volume 208 is lowered to below the critical pressure level, for example, suitable for operation of the first vacuum pump 206. Additional embodiments where the first vacuum pump 206 may be bypassed are also discussed below.
  • Similarly, the shared vacuum pump 202 may be selectively coupled to the second processing volume 214 while bypassing the second vacuum pump 212 by a second roughing valve 220 disposed between the second processing volume 214 and the shared vacuum pump 202. For example, and as discussed in the methods below, the second vacuum pump 212 may be isolated from the second processing volume 214 by the second gate valve 216 while a pressure of the second processing volume 214 is lowered to below the critical pressure level, for example, suitable for operation of the second vacuum pump 206. Additional method embodiments where the second vacuum pump 212 may be bypassed are also discussed below.
  • The shared vacuum pump 202 may be selectively coupled to the first vacuum pump 206 by a first isolation valve 222. For example, the first isolation valve 222 may be disposed between the high pressure 207 of the first vacuum pump 206 and the shared vacuum pump 202. In some embodiments, for example when the first vacuum pump 206 is in operation, the first isolation valve is open to allow gases or the like removed from the first processing volume 208 by the first vacuum pump 206 to be exhausted from the high pressure side 207 of the first vacuum pump 206 to the shared vacuum pump 202.
  • Similarly, the shared vacuum pump 202 may be selectively coupled to the second vacuum pump 212 by a second isolation valve 224. For example, the second isolation valve 224 may be disposed between the high pressure 213 of the second vacuum pump 212 and the shared vacuum pump 202. In some embodiments, for example when the second vacuum pump 212 is in operation, the second isolation valve is open to allow gases or the like removed from the second processing volume 214 by the second vacuum pump 212 to be exhausted from the high pressure side 213 of the second vacuum pump 212 to the shared vacuum pump 202.
  • The shared gas panel 204 may be coupled to each of the process chambers 110, 111 for providing one or more process gases to the first and second processing volumes 208, 214. For example, the shared gas panel may include one or more gases sources (not shown), for example where a gas from each gas source is metered out to each process chamber by one or more flow controllers, such as a mass flow controller, flow ratio controller or the like. Each gas source may be provided to each processing volume independently or to both processing volumes simultaneously, for example, to perform the same process in both process chambers 110, 111 simultaneously. As used herein, simultaneously means that the processes being performed in the two processing volumes at least partially overlap, begin after both substrates are delivered to the two processing volumes, and end prior to removal of either substrate from either of the two processing volumes.
  • A first three-way valve 226 can be disposed between the shared gas panel and the first processing volume 208 of the process chamber 110 to provide a process gas from the shared gas panel 204 to the first processing volume 208. For example, the process gas may enter the process chamber 110 at a first showerhead 228 or any suitable gas inlet(s) used for providing a process gas to a process chamber. Further, the first three-way valve 226 may divert the process gas from the shared gas panel 204 (e.g., bypassing the first processing volume 208) into a foreline conduit 230 coupled to the shared vacuum pump 202. Further, as shown, the foreline conduit 230 may couple the shared vacuum pump 202 to the high pressure side 207 of the first vacuum pump 206 and directly couple the shared vacuum pump 202 to the first processing volume 208.
  • The first showerhead 228 may include an electrode having a first RF power source 229 coupled thereto, for example, for striking a plasma in the first processing volume 208 from a process gas. Alternatively, the first RF power source 229 may be coupled to an electrode separate from the first showerhead 228 (not shown) or coupled to one or more inductive coils (not shown) disposed outside the first processing volume 208.
  • A second three-way valve 232 can be disposed between the shared gas panel and second processing volume 208 of the process chamber 111 to provide a process gas from the shared gas panel 204 to the second processing volume 208. For example, the process gas may enter the process chamber 111 at a second showerhead 234 or any suitable gas inlet(s) used for providing a process gas to a process chamber. Further, the second three-way valve 232 may divert the process gas from the shared gas panel 204 (e.g., bypassing the second processing volume 214) into the foreline conduit 230 coupled to the shared vacuum pump 202. Further, as shown, the foreline conduit 230 may couple the shared vacuum pump 202 to the high pressure side 213 of the second vacuum pump 212 and directly couple the shared vacuum pump 202 to the second processing volume 214.
  • The second showerhead 234 may include an electrode having a second RF power source 235 coupled thereto, for example, for striking a plasma in the second processing volume 214 from a process gas. Alternatively, the second RF power source 235 may be coupled to an electrode separate from the second showerhead 234 (not shown) or coupled to one or more inductive coils (not shown) disposed outside the second processing volume 214.
  • The first and second three- way valves 226, 232 may operate in response to a process endpoint detected, for example, by a first endpoint detector 236 for detecting the process endpoint in the process chamber 110 and by a second endpoint detector 238 for detecting the process endpoint in the process chamber 111. The first and second endpoint detectors 236, 238 may be configured to determine an endpoint by optical emission spectroscopy (OES), interferometry, or other suitable means of endpoint detection. In some embodiments, the first and second endpoint detectors 236, 238 may be part of a process controller and may be configured to determine an endpoint by elapsed time based upon empirical or modeled calculations. In some embodiments, the process endpoint time may be part of a process recipe in the process controller and, in some embodiments, may be adjusted via advanced process control techniques (such as, incoming film or substrate variations, feedback and/or feedforward information, or the like). For example, a controller, for example such as the system controller 144 or a individual controller (not shown) coupled to one or more of the components of the twin chamber processing system 101, may be configured to receive a first signal form the first endpoint detector 236 when the process endpoint is reached in the process chamber 110 and to terminate the process, for example, by instructing the first three-way valve 226 to divert a process gas into the foreline conduit 230 and/or by turning off the RF power to the process chamber, if the process endpoint has not been reached in a process running in the process chamber 111. For example, although a process may be synchronized in each process chamber 110, 111 initially, the process may end at different times in each process chamber 110, 111 due to, for example, small variations in a substrate being processed, substrate temperature, plasma density or flux, or the like in each process chamber 110, 111. In addition, process endpoints in each chamber may vary, for example, due to any one or more of: substrate variation between process chambers, upstream processing prior to entering each process chamber 110, 111, feedforward control to correct substrate variations due to upstream processing, feedback control to adjust process parameters in response to downstream processing, or the like. Similarly, the controller may be configured to receive a second signal from the second endpoint detector 238 when the process endpoint is reached in the process chamber 111 and to instruct the second three-way valve 232 to divert a process gas into the foreline conduit 230 if the process endpoint has not been reached in a process running in the process chamber 110.
  • Alternatively or in combination, and as discussed in the method 300 below, when an endpoint is reached in either process chamber 110, 111 as determined by the respective first and second detectors 236, 238, the controller 144 may shut off a plasma in each process chamber 110, 111 by turning off the respective first and second RF power sources 231, 235. When each RF power source is turned off, the process gas may continue to flow to each process chamber, or the process gas flow may be turned off along with each RF power source, or the process gas may be diverted by each three-way valve as discussed above.
  • Alternatively, a process need not be precisely synchronized in both process chambers 110, 111 and for example may begin in each chamber when a substrate has reached the appropriate process temperature or another similar process condition. Accordingly, when a process endpoint is reach in a given chamber, the process gas is diverted by a three-way valve into the foreline conduit 230 until the process endpoint is reached in the adjacent chamber prior to removing the substrates from the chambers 110, 111 or prior to beginning a further processing step.
  • The shared gas panel may further provide a gas for purging the process chambers 110, 111. For example, a vent line 240 may be selectively coupled to each of the first and second processing volumes 208, 214 either directly (as shown) or via the high pressure sides 207, 213 of respective first and second vacuum pumps 206, 212 (not shown). For example, the purge gas may include nitrogen (N2), argon (Ar), helium (He), or the like. The purge gas may be selectively provided to the first processing volume 208 via a first purge valve 242 disposed between the shared gas panel 204 and the first processing volume 208. Similarly, the purge gas may be selectively provided to the second processing volume 214 via a second purge valve 244 disposed between the shared gas panel 204 and the second processing volume 214. Further, in applications where the purge gas is utilized to vent each process chamber 110, 111 to atmosphere, a vent (not shown), for example such as a valve or the like, may be provided for each chamber 110, 111 such that each chamber 110, 111 may be vented to atmosphere independently from the other chamber.
  • Returning to FIG. 1, the system controller 144 is coupled to the processing system 100. The system controller 144 controls the operation of the system 100 using a direct control of the process chambers 110, 111, 112, 132, 128, 120 of the system 100 or alternatively, by controlling individual controllers (not shown) associated with the process chambers 110, 111, 112, 132, 128, 120 and/or each twin chamber processing system 101, 103, 105 and the system 100. In operation, the system controller 144 enables data collection and feedback from the respective chambers and system controller 144 to optimize performance of the system 100.
  • The system controller 144 generally includes a central processing unit (CPU) 138, a memory 140, and support circuit 142. The CPU 138 may be one of any form of a general purpose computer processor that can be used in an industrial setting. The support circuits 142 are conventionally coupled to the CPU 138 and may comprise cache, clock circuits, input/output subsystems, power supplies, and the like. The software routines, such as a method 300, 400, or 500 described below for controlling one or more chamber processes, such as reducing pressure, venting or purging each chamber of a twin chamber processing system, when executed by the CPU 138, transform the CPU 138 into a specific purpose computer (controller) 144. The software routines may also be stored and/or executed by a second controller (not shown) that is located remotely from the system 100.
  • A method 300 for processing substrates in the process chambers of a twin chamber processing system is depicted in FIG. 3 and described below with respect to the twin chamber processing system 101 depicted in FIG. 2. Further, the inventive methods disclosed herein need not be limited to only two process chambers sharing common resources, such as the twin chamber processing system 101. For example, the inventors contemplate that processing systems having three or more process chambers which shared common resources (not shown) may benefit from the inventive methods disclosed herein. The inventive method facilitates the operation of individual process chambers having shared resources according to their own individual requirements, while enabling synchronization of shared resources, such as a shared gas panel, that provides resources to multiple process chambers. For example, a shared resource (such as process gases) may be provided to all process chambers. As soon as any process chamber is ready for processing, a plasma may be formed by providing RF to begin processing. When the process is done, the process may be terminated (for example, by turning off RF power) and the process chamber may wait until all other process chambers coupled to the shared resource are finished processing. Upon completion of processing by all process chambers, the shared resource may be set at desired for a next step and the cycle may continue. Thus, the individual process chambers can run independently while the shared resource is in a given state, and the process chambers may wait until all process chambers are ready for the next transition of the shared resource to a new state desired for subsequent processing.
  • The method 300 begins at 302 by flowing a process gas from the shared gas panel 204 of the twin chamber processing system 101 to the first processing volume 208 of the process chamber 110 having the first substrate 203 disposed therein and to the second processing volume 214 of the process chamber 111 having the second substrate 215 disposed therein. For example, the first three-way valve 226 and the second three-way valve 232 may be configured to provide the process gas to the first and second showerheads 228, 234 respectively such that the process gas enters the first and second processing volumes 208, 214.
  • At 304, a first plasma may be formed from the process gas in the first processing volume 208 to process the first substrate 203 and a second plasma may be formed from the process gas in the second processing volume 214 to process the second substrate 215. For example, the first plasma may be formed by providing RF power from the first RF power source 229 to first processing volume 208 to form the first plasma from the process gas. Similarly, the second plasma may be formed by providing RF power from the second RF power source 235 to the second processing volume 214 to from the second plasma for the process gas.
  • A process performed in the process chambers 110, 111 may be synchronized or unsynchronized. In a synchronized process, processing does not occur in either chamber until both chambers have reached a desired state to form the plasma and begin processing. In an unsynchronized process, processing may begin in either chamber as soon as the particular chamber has reached a desired state to form the plasma and begin processing. For example, in some embodiments, at least one of heating the first and second substrates 203, 215 to a substantially similar temperature, providing a substantially similar pressure in both the first and second processing volumes 208, 214, or providing a substantially similar flow rate of the process gas to both the first and second processing volumes 208, 214 occurs prior to forming the first and second plasmas. Accordingly, by attempting to substantially equilibrate one or more processing conditions in both process chambers 110, 111, the process chambers 110, 111 may be synchronized prior to forming the first and second plasma in the process chambers 110, 111. Synchronizing the process conditions in the process chambers 110, 111 may be utilized such that a first endpoint for processing the first substrate 203 may be reached at about the same time as a second endpoint for processing the second substrate 215. However, in some embodiments, even if the process conditions are synchronized, the first and second endpoints may be reached at different times.
  • Alternatively, the first plasma may be formed from the process gas prior to the second plasma. For example, at least one of the first processing volume 208 reaches at least one of an operating pressure or operating flow rate of the process gas prior to second processing volume 214, or the first substrate 203 reaches an operating temperature prior to the second substrate 215. Accordingly, due to the processing conditions being reached in the process chamber 110 prior to the process chamber 111, the first plasma may be formed prior to the second plasma. Accordingly, when the process conditions are unsynchronized, the first endpoint for processing the first endpoint for processing the first substrate 203 may be reached prior to the second endpoint for processing the second substrate 215.
  • At 306, the first processing volume 208 may be monitored with the first endpoint detection system 236 and the second processing volume 214 may be monitored with a second endpoint detection system 238 to determine if a process endpoint is reached in either processing volume. For example, the process endpoint may be determined by monitoring one or more of a critical concentration of the process gas, a material from the first and second substrates or a byproduct from a reaction between the first and second substrates and the process gas and/or first and second plasmas. For example, the process endpoint may be determined by optical measurement or any suitable means for detecting any of the aforementioned process endpoints.
  • At 308, the method 300 may proceed by either of two alternatives, 308A or 308B, where the processes in the first and second process chambers 110, 111 are terminated either simultaneously or sequentially and either upon reaching the first process endpoint or the second process endpoint. The decision of whether or not to terminate the first-endpoint reached process may be made based at least in part upon the selectivity of the process being performed. For example, if an etch process is being performed in each process chamber 110, 111 and the process is highly selective (e.g., the etch process does not etch a mask and/or materials underlying the desired material being etched, or etches such materials at a comparatively low rate), then a decision may be made to continue processing in the chamber until the second process endpoint is reached. In such a scenario, continuing the first process may not present much risk of defect or damage to the substrate or structures being formed thereon due to the high selectivity of the process. One the other hand, where the selectivity is low (e.g., the etch process etches the mask and/or materials underlying the desired material being etched at a rate near the etch rate of the desired material being etched), then a decision may be made to terminate processing in the chamber immediately upon reaching the process endpoint. In such a scenario, continuing the first process may present a high risk of defect or damage to the substrate or structures being formed thereon due to the low selectivity of the process.
  • At 308A, when a first endpoint is reached in the first processing volume 208 for processing the first substrate 203, the first and second plasma may be simultaneously terminated. For example, the flow of the process gas may be stopped at the shared gas panel 204, or the flow of the process gas may be diverted to the foreline conduit 230 by both the first and second three- way valves 226, 232, the first and second RF power sources 229, 235 may be turned off, or any combinations thereof.
  • In some embodiments, the first endpoint may be reached prior to a second endpoint in the second processing volume 214 for processing the second substrate 215. Accordingly, the first and second plasmas can be terminated based upon the first endpoint being an earlier endpoint than the second endpoint. Alternatively, in some embodiments, the first endpoint may be reached after the second endpoint in the second processing volume 214. Accordingly, the first and second plasmas can be terminated based upon the first endpoint being a later endpoint than the second endpoint. In some embodiments, the first endpoint may be reached about simultaneously with the second endpoint in the second processing volume 214 for processing the second substrate. Thus, according to 308A, both plasmas are terminated about simultaneously, and may be terminated upon the first endpoint being detected (or both endpoints being about simultaneously detected) or upon the last endpoint being detected.
  • Alternatively, at 308B, when the first endpoint is reached in the first processing volume 208 for processing the first substrate 203, the first plasma may be terminated while continuing to provide the second plasma in the second processing volume 214 until the second endpoint is reached for processing the second substrate 215. For example, the first plasma may be terminated in any suitable manner, such as turning off the RF power source 231. In some embodiments, RF power provided to the first processing volume 208 by the first RF power source 229 may be turned off while continuing to flow the process gas to the first processing volume 208 after the RF power provided by the first RF power source 229 is terminated. In some embodiments, the flow of the process gas to the first processing volume 208 may continue until the second endpoint is reached.
  • In some embodiments, RF power provided to the first processing volume 208 by the first RF power source 229 may be turned off and the process gas may be diverted prior to entering the first processing volume 208 when the RF power provided by the first RF power source 229 is terminated. For example, the three-way valve 226 may be used to divert the process gas into the foreline conduit 230. In some embodiments, the process gas may be diverted into the foreline conduit 230 by the three-way valve 226 until the second endpoint is reached for processing the second substrate 215.
  • After the second endpoint is reached for processing the second substrate 215 in the second processing volume 214, the second plasma may be terminated. For example, the second plasma may be terminated by any of the methods discussed above for terminating the first plasma, such as diverting the process gas into the foreline conduit 230 using the three-way valve 232 or the like. The method similarly proceeds if the second endpoint is reached prior to the first endpoint being detected. Thus, at 308B, the first and second plasmas are treated independently and extinguished solely upon the process endpoint being detected for the particular process chamber. For example, if an etch process is being performed in each process chamber 110, 111 simultaneously, where the etch process is highly selective, for example where a first material of the substrate is etched a substantially faster rate than a second material of the substrate, then the first and second plasmas can be terminated when the first and second endpoints are individually reached in each process chamber 110, 111.
  • Optionally, at 310, 302-308A or 302-308B may be repeated with a second process gas to further process the first and second substrates 203, 215. For example, if 302-308A were used to process the first and second substrates 203, 215 with the process gas, either of 302-308A or 302-308B may be used to further process the first and second substrates 203, 215. Similarly, if the steps 302-308B were used to process the first and second substrates 203, 215 with the process gas, either steps 302-308A or 302-308B may be used to further process the first and second substrates 203, 215. The repeated processing may occur, for example, for multiple sub-steps of a multiple step recipe, or for multiple etch processes that may be performed on a substrate in a single chamber. In addition, upon completion of the processing of both substrates in both process chambers, the substrates may be removed by the substrate transfer robot and delivered to another process chamber or to the load lock chambers for removal from the processing system. Subsequently, new first and second substrates may be placed in the respective process chambers by the substrate transfer robot, either from different process chambers in the processing system or from the factory interface via the load lock chambers, to begin processing. The method 300 described above may then be repeated for the new first and second substrates.
  • Further, and as discussed above, in each process where the shared resource is in the same state, for example when the shared resource is providing the first process gas to each process chamber 110, 111 as discussed above, each process chamber may operate independently, for example where the first and second plasmas are selectively terminated when the first and second endpoints are independently reached in each chamber. Alternatively, other examples of independent operation of each process chamber 110, 111 when the shared resource is in the same state, may include running different processes, such as plasma or non-plasma processes, or adjusting process conditions in each chamber such as substrate temperature, flow rate, RF power intensity or the like based upon feedforward or feedback control based on substrate variations, chamber variations or the like. After each chamber 110,111 has completed a process in synchronization or having independently terminating endpoints, the process chambers 110, 111 may be synchronized again, for example, when switching the shared resource to a new state, for example when the shared resource is providing the second process gas to each process chamber 110, 111 as discussed above.
  • Thus, methods for processing substrates in a twin chamber processing system are disclosed herein. The inventive methods advantageously control operation of chamber processes, such process gas flow, plasma generation, and the like, when shared resources are used between each chamber of the twin chamber processing system, such that processes can be run simultaneously on substrates in each process chamber of the twin chamber processing system and process quality can be maintained.
  • While the foregoing is directed to embodiments of the present invention, other and further embodiments of the invention may be devised without departing from the basic scope thereof.

Claims (20)

1. A method of processing substrates in a twin chamber processing system having a first process chamber, a second process chamber, and shared processing resources, comprising:
flowing a process gas from a shared gas panel of a twin chamber processing system to a first processing volume of the first process chamber having a first substrate disposed in the first processing volume and to a second processing volume of the second process chamber having a second substrate disposed in the second processing volume;
forming a first plasma from the process gas in the first processing volume to process the first substrate by providing RF power from a first RF power source coupled to the first process chamber and a second plasma from the process gas in the second processing volume to process the second substrate by providing RF power from a second RF power source coupled to the second process chamber;
monitoring the first processing volume with a first endpoint detection system and the second processing volume with a second endpoint detection system to determine if a process endpoint is reached in either volume; and
terminating the first and second plasma simultaneously when a first endpoint is reached in the first processing volume for processing the first substrate.
2. The method of claim 1, wherein the first endpoint is reached prior to a second endpoint in the second processing volume for processing the second substrate.
3. The method of claim 1, wherein the first endpoint is reached after a second endpoint in the second processing volume for processing the second substrate.
4. The method of claim 1, wherein the first endpoint is reached about simultaneously with a second endpoint in the second processing volume for processing the second substrate.
5. The method of claim 1, further comprising at least one of:
heating the first and second substrates to a substantially similar temperature;
providing a substantially similar pressure in both the first and second processing volumes; or
providing a substantially similar flow rate of the process gas in both the first and second processing volumes prior to forming the first and second plasmas.
6. The method of claim 1, further comprising:
forming the first plasma from the process gas prior to forming the second plasma from the process gas.
7. The method of claim 6, wherein at least one of the first processing volume reaches at least one of an operating pressure or operating flow rate of the process gas prior to the second processing volume, or the first substrate reaches an operating temperature prior to the second substrate.
8. A method of processing substrates in a twin chamber processing system having shared processing resources, comprising:
flowing a process gas from a shared gas panel of a twin chamber processing system to a first processing volume of a first process chamber having a first substrate disposed in the first processing volume and to a second processing volume of a second process chamber having a second substrate disposed in the second processing volume;
forming a first plasma from the process gas in the first processing volume to process the first substrate by providing RF power from a first RF power source coupled to the first process chamber and a second plasma from the process gas in the second processing volume to process the second substrate by providing RF power from a second RF power source coupled to the second process chamber;
monitoring the first processing volume with a first endpoint detection system and the second processing volume with a second endpoint detection system to determine if an endpoint is reached in either volume; and
terminating the first plasma when a first endpoint is reached in the first processing volume for processing the first substrate while continuing to provide the second plasma in the second processing volume until a second endpoint is reached.
9. The method of claim 8, wherein terminating the first plasma further comprises:
terminating the RF power provided to the first processing volume by the first RF power source.
10. The method of claim 9, wherein terminating the first plasma further comprises:
continuing to flow the process gas to the first processing volume after the RF power from the first RF power source is terminated; or
diverting the process gas prior to entering the first processing volume when the RF power provided by the first RF power source is terminated.
11. The method of claim 8, further comprising, prior to flowing the process gas from the shared gas panel:
simultaneously providing the first substrate to the first process chamber and the second substrate to the second process chamber.
12. The method of claim 8, further comprising:
adjusting one or more process conditions for processing the first and second substrates independently in either or both of the first and second process chambers such that the first and second endpoints are reached at different times.
13. The method of claim 12, wherein the one or more process conditions are adjusted in each chamber based on at least one of substrate composition, feedback control resulting from downstream processing of a prior processed substrate, or feedforward control resulting from upstream processing of the substrate.
14. The method of claim 8, further comprising:
at least one of heating the first and second substrates to a substantially similar temperature, providing a substantially similar pressure in both the first and second processing volumes, or providing a substantially similar flow rate of the process gas in both the first and second processing volumes prior to forming the first and second plasmas.
15. The method of claim 8, further comprising:
forming the first plasma from the process gas prior to forming the second plasma from the process gas.
16. The method of claim 15, wherein at least one of the first processing volume reaches at least one of an operating pressure or operating flow rate of the process gas prior to the second processing volume, or the first substrate reaches an operating temperature prior to the second substrate.
17. The method of claim 8, further comprising:
terminating the second plasma after the second endpoint is reached; and
flowing a second process gas from the shared gas panel to the first processing volume having the first substrate disposed in the first processing volume and to the second processing volume having the second substrate disposed in the second processing volume; and
forming a plasma from the second process gas in the first processing volume to process the first substrate by providing RF power from the first RF power source and a plasma from the second process gas in the second processing volume to process the second substrate by providing RF power from the second RF power source.
18. The method of claim 17, further comprising:
terminating the plasmas formed from the second process gas simultaneously in both processing volumes when an endpoint is reached in the first processing volume for processing the first substrate.
19. The method of claim 17, further comprising:
terminating the plasma formed from the second process gas in the first processing volume when an endpoint is reached in the first processing volume for processing the first substrate while continuing to provide the plasma formed from the second process gas in the second processing volume until a second endpoint is reached in the second processing volume.
20. A computer readable medium, having instructions stored thereon which, when executed, causes a twin chamber processing system having a first process chamber, a second process chamber, and shared processing resources to perform a method, comprising:
flowing a process gas from a shared gas panel of the twin chamber processing system to a first processing volume of the first process chamber having a first substrate disposed in the first processing volume and to a second processing volume of the second process chamber having a second substrate disposed in the second processing volume;
forming a first plasma from the process gas in the first processing volume to process the first substrate by providing RF power from a first RF power source coupled to the first process chamber and a second plasma from the process gas in the second processing volume to process the second substrate by providing RF power from a second RF power source coupled to the second process chamber;
monitoring the first processing volume with a first endpoint detection system and the second processing volume with a second endpoint detection system to determine if a process endpoint is reached in either volume; and either
terminating the first and second plasma simultaneously when a first endpoint is reached in the first processing volume for processing the first substrate; or
terminating the first plasma when a first endpoint is reached in the first processing volume for processing the first substrate while continuing to provide the second plasma in the second processing volume until a second endpoint is reached.
US12/915,240 2010-04-30 2010-10-29 Methods for processing substrates in process systems having shared resources Active 2032-01-20 US8496756B2 (en)

Priority Applications (4)

Application Number Priority Date Filing Date Title
US12/915,240 US8496756B2 (en) 2010-04-30 2010-10-29 Methods for processing substrates in process systems having shared resources
TW100112699A TWI434366B (en) 2010-04-30 2011-04-12 Methods for processing substrates in process systems having shared resources
TW102143472A TWI487053B (en) 2010-04-30 2011-04-12 Methods for processing substrates in process systems having shared resources
PCT/US2011/033047 WO2011136982A2 (en) 2010-04-30 2011-04-19 Methods for processing substrates in process systems having shared resources

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US33002110P 2010-04-30 2010-04-30
US12/915,240 US8496756B2 (en) 2010-04-30 2010-10-29 Methods for processing substrates in process systems having shared resources

Publications (2)

Publication Number Publication Date
US20110265814A1 true US20110265814A1 (en) 2011-11-03
US8496756B2 US8496756B2 (en) 2013-07-30

Family

ID=44857289

Family Applications (2)

Application Number Title Priority Date Filing Date
US12/915,240 Active 2032-01-20 US8496756B2 (en) 2010-04-30 2010-10-29 Methods for processing substrates in process systems having shared resources
US12/916,462 Active 2032-05-05 US8721798B2 (en) 2010-04-30 2010-10-29 Methods for processing substrates in process systems having shared resources

Family Applications After (1)

Application Number Title Priority Date Filing Date
US12/916,462 Active 2032-05-05 US8721798B2 (en) 2010-04-30 2010-10-29 Methods for processing substrates in process systems having shared resources

Country Status (3)

Country Link
US (2) US8496756B2 (en)
TW (2) TWI434366B (en)
WO (1) WO2011136982A2 (en)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20120208371A1 (en) * 2011-02-15 2012-08-16 Applied Materials, Inc. Method and apparatus for multizone plasma generation
US20180061616A1 (en) * 2016-08-26 2018-03-01 Applied Materials, Inc. Low pressure lift pin cavity hardware
WO2018039419A1 (en) * 2016-08-25 2018-03-01 Applied Materials, Inc. Multi chamber processing system with shared vacuum systems
US20210296102A1 (en) * 2017-12-05 2021-09-23 Tokyo Electron Limited Exhaust device, processing apparatus, and exhausting method
US11708636B2 (en) * 2019-04-16 2023-07-25 Beijing Naura Microelectronics Equipment Co., Ltd. Reaction gas supply system and control method thereof

Families Citing this family (417)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) * 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9091397B2 (en) * 2012-03-27 2015-07-28 Lam Research Corporation Shared gas panels in plasma processing chambers employing multi-zone gas feeds
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US20140271097A1 (en) * 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US10672591B2 (en) * 2013-06-21 2020-06-02 Applied Materials, Inc. Apparatus for removing particles from a twin chamber processing system
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US10692765B2 (en) * 2014-11-07 2020-06-23 Applied Materials, Inc. Transfer arm for film frame substrate handling during plasma singulation of wafers
KR102300403B1 (en) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. Method of depositing thin film
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
KR102263121B1 (en) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. Semiconductor device and manufacuring method thereof
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
JP5947435B1 (en) * 2015-08-27 2016-07-06 株式会社日立国際電気 Substrate processing apparatus, semiconductor device manufacturing method, program, and recording medium
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
WO2017052905A1 (en) * 2015-09-22 2017-03-30 Applied Materials, Inc. Apparatus and method for selective deposition
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (en) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. Method of forming metal interconnection and method of fabricating semiconductor device using the same
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (en) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (en) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and method of operating the same
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
KR102546317B1 (en) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Gas supply unit and substrate processing apparatus including the same
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (en) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (en) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US20180230597A1 (en) * 2017-02-14 2018-08-16 Applied Materials, Inc. Method and apparatus of remote plasmas flowable cvd chamber
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
KR102457289B1 (en) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. Method for depositing a thin film and manufacturing a semiconductor device
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
KR20190009245A (en) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. Methods for forming a semiconductor device structure and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (en) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (en) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (en) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate and a device manufactured by the same
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
JP7214724B2 (en) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. Storage device for storing wafer cassettes used in batch furnaces
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TW202325889A (en) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 Deposition method
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (en) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 Method for depositing ruthenium-containing films on substrates by cyclical deposition processes
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (en) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. Substrate processing method and apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
TWI766433B (en) 2018-02-28 2022-06-01 美商應用材料股份有限公司 Systems and methods to form airgaps
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (en) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (en) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. Substrate processing method
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
TW202344708A (en) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
TW202349473A (en) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 Methods for forming a doped metal carbide film on a substrate and related semiconductor device structures
KR102596988B1 (en) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate and a device manufactured by the same
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (en) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing system
CN112292478A (en) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 Cyclic deposition methods for forming metal-containing materials and films and structures containing metal-containing materials
KR20210027265A (en) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. Periodic deposition method for forming metal-containing material and film and structure comprising metal-containing material
KR20200002519A (en) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. Method for depositing a thin film and manufacturing a semiconductor device
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
JP6896682B2 (en) * 2018-09-04 2021-06-30 株式会社Kokusai Electric Manufacturing method of substrate processing equipment and semiconductor equipment
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (en) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. Method for deposition of a thin film
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
CN110970344A (en) 2018-10-01 2020-04-07 Asm Ip控股有限公司 Substrate holding apparatus, system including the same, and method of using the same
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (en) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and apparatuses for depositing thin film and processing the substrate including the same
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (en) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
KR102546322B1 (en) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (en) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and substrate processing apparatus including the same
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (en) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. A method for cleaning a substrate processing apparatus
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (en) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 Method of forming device structure, structure formed by the method and system for performing the method
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
TWI819180B (en) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
KR20200091543A (en) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. Semiconductor processing device
CN111524788B (en) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 Method for topologically selective film formation of silicon oxide
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
KR102638425B1 (en) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. Method and apparatus for filling a recess formed within a substrate surface
KR102626263B1 (en) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. Cyclical deposition method including treatment step and apparatus for same
TW202104632A (en) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
TW202100794A (en) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing apparatus and method for processing substrate
KR20200108243A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Structure Including SiOC Layer and Method of Forming Same
KR20200108248A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. STRUCTURE INCLUDING SiOCN LAYER AND METHOD OF FORMING SAME
KR20200108242A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Method for Selective Deposition of Silicon Nitride Layer and Structure Including Selectively-Deposited Silicon Nitride Layer
JP2020167398A (en) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー Door opener and substrate processing apparatus provided therewith
KR20200116855A (en) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. Method of manufacturing semiconductor device
KR20200123380A (en) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. Layer forming method and apparatus
KR20200125453A (en) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. Gas-phase reactor system and method of using same
KR20200130118A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Method for Reforming Amorphous Carbon Polymer Film
KR20200130121A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Chemical source vessel with dip tube
KR20200130652A (en) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. Method of depositing material onto a surface and structure formed according to the method
JP2020188255A (en) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. Wafer boat handling device, vertical batch furnace, and method
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (en) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. Method of using a gas-phase reactor system including analyzing exhausted gas
KR20200143254A (en) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. Method of forming an electronic structure using an reforming gas, system for performing the method, and structure formed using the method
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (en) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. Temperature control assembly for substrate processing apparatus and method of using same
JP2021015791A (en) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. Plasma device and substrate processing method using coaxial waveguide
CN112216646A (en) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 Substrate supporting assembly and substrate processing device comprising same
KR20210010307A (en) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR20210010820A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Methods of forming silicon germanium structures
KR20210010816A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Radical assist ignition plasma system and method
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (en) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 Method of forming topologically controlled amorphous carbon polymer films
TW202113936A (en) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation
CN112309899A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112309900A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (en) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. Liquid level sensor for a chemical source vessel
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (en) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. Production apparatus of mixed gas of film deposition raw material and film deposition apparatus
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (en) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for forming a structure with a hole
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024420A (en) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (en) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. Methods for selective deposition using a sacrificial capping layer
KR20210029663A (en) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (en) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 Method for forming topologically selective silicon oxide film by cyclic plasma enhanced deposition process
TW202129060A (en) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 Substrate processing device, and substrate processing method
TW202115273A (en) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 Method of forming a photoresist underlayer and structure including same
KR20210045930A (en) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. Method of Topology-Selective Film Formation of Silicon Oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (en) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. Apparatus and methods for selectively etching films
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (en) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. Structures with doped semiconductor layers and methods and systems for forming same
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (en) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
CN112951697A (en) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 Substrate processing apparatus
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885693A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112885692A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
JP2021090042A (en) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. Substrate processing apparatus and substrate processing method
KR20210070898A (en) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
CN112992667A (en) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 Method of forming vanadium nitride layer and structure including vanadium nitride layer
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210095050A (en) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. Method of forming thin film and method of modifying surface of thin film
TW202130846A (en) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 Method of forming structures including a vanadium or indium layer
KR20210100010A (en) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. Method and apparatus for transmittance measurements of large articles
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (en) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Method for growing phosphorous-doped silicon layer and system of the same
KR20210116249A (en) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. lockout tagout assembly and system and method of using same
KR20210116240A (en) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. Substrate handling device with adjustable joints
CN113394086A (en) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 Method for producing a layer structure having a target topological profile
KR20210124042A (en) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. Thin film forming method
TW202146689A (en) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 Method for forming barrier layer and method for manufacturing semiconductor device
TW202145344A (en) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 Apparatus and methods for selectively etching silcon oxide films
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132576A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Method of forming vanadium nitride-containing layer and structure comprising the same
KR20210132600A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
TW202146831A (en) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Vertical batch furnace assembly, and method for cooling vertical batch furnace
KR20210134226A (en) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. Solid source precursor vessel
KR20210134869A (en) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Fast FOUP swapping with a FOUP handler
KR20210141379A (en) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. Laser alignment fixture for a reactor system
KR20210143653A (en) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR20210145078A (en) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. Structures including multiple carbon layers and methods of forming and using same
TW202201602A (en) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing device
TW202218133A (en) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Method for forming a layer provided with silicon
TW202217953A (en) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing method
KR20220010438A (en) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. Structures and methods for use in photolithography
TW202204662A (en) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 Method and system for depositing molybdenum layers
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (en) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 Method of depositing material on stepped structure
TW202217037A (en) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Method of depositing vanadium metal, structure, device and a deposition assembly
TW202223136A (en) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 Method for forming layer on substrate, and semiconductor processing system
TW202235675A (en) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 Injector, and substrate processing apparatus
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (en) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 Transition metal deposition method, transition metal layer, and deposition assembly for depositing transition metal on substrate
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6312554B1 (en) 1996-12-05 2001-11-06 Applied Materials, Inc. Apparatus and method for controlling the ratio of reactive to non-reactive ions in a semiconductor wafer processing chamber
US6228773B1 (en) * 1998-04-14 2001-05-08 Matrix Integrated Systems, Inc. Synchronous multiplexed near zero overhead architecture for vacuum processes
US6383402B1 (en) 1998-04-23 2002-05-07 Sandia Corporation Method and apparatus for monitoring plasma processing operations
US6949203B2 (en) 1999-12-28 2005-09-27 Applied Materials, Inc. System level in-situ integrated dielectric etch process particularly useful for copper dual damascene
US6962644B2 (en) 2002-03-18 2005-11-08 Applied Materials, Inc. Tandem etch chamber plasma processing system
US6843881B2 (en) * 2002-04-02 2005-01-18 Applied Materials, Inc. Detecting chemiluminescent radiation in the cleaning of a substrate processing chamber
US7422983B2 (en) * 2005-02-24 2008-09-09 International Business Machines Corporation Ta-TaN selective removal process for integrated device fabrication
US7813895B2 (en) * 2007-07-27 2010-10-12 Applied Materials, Inc. Methods for plasma matching between different chambers and plasma stability monitoring and control

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20120208371A1 (en) * 2011-02-15 2012-08-16 Applied Materials, Inc. Method and apparatus for multizone plasma generation
US9809881B2 (en) * 2011-02-15 2017-11-07 Applied Materials, Inc. Method and apparatus for multizone plasma generation
WO2018039419A1 (en) * 2016-08-25 2018-03-01 Applied Materials, Inc. Multi chamber processing system with shared vacuum systems
CN109643678A (en) * 2016-08-25 2019-04-16 应用材料公司 Multi-chamber processing system with shared vacuum system
US20180061616A1 (en) * 2016-08-26 2018-03-01 Applied Materials, Inc. Low pressure lift pin cavity hardware
US20210296102A1 (en) * 2017-12-05 2021-09-23 Tokyo Electron Limited Exhaust device, processing apparatus, and exhausting method
US11708636B2 (en) * 2019-04-16 2023-07-25 Beijing Naura Microelectronics Equipment Co., Ltd. Reaction gas supply system and control method thereof

Also Published As

Publication number Publication date
TW201415570A (en) 2014-04-16
US8721798B2 (en) 2014-05-13
US20110266256A1 (en) 2011-11-03
TW201201310A (en) 2012-01-01
TWI434366B (en) 2014-04-11
US8496756B2 (en) 2013-07-30
WO2011136982A3 (en) 2012-02-16
TWI487053B (en) 2015-06-01
WO2011136982A2 (en) 2011-11-03

Similar Documents

Publication Publication Date Title
US8496756B2 (en) Methods for processing substrates in process systems having shared resources
US20110265884A1 (en) Twin chamber processing system with shared vacuum pump
TWI618135B (en) Processing systems and methods for halide scavenging
US20180061679A1 (en) Multi chamber processing system with shared vacuum system
US20090139657A1 (en) Etch system
WO2011137069A2 (en) Twin chamber processing system
KR101801696B1 (en) Methods for depositing layers having reduced interfacial contamination
US10672591B2 (en) Apparatus for removing particles from a twin chamber processing system
KR102630920B1 (en) Systems and methods for reducing copper contamination due to substrate processing chambers with components made of alloys including copper
US8473247B2 (en) Methods for monitoring processing equipment
TW201937597A (en) Cleaning method and plasma processing apparatus
US9385003B1 (en) Residue free systems and methods for isotropically etching silicon in tight spaces
US20220301867A1 (en) Methods and apparatus for processing a substrate
KR20180101598A (en) System and method for determining completion of a post heat treatment of a dry etching process
KR102658106B1 (en) Residue free systems and methods for isotropically etching silicon in tight spaces

Legal Events

Date Code Title Description
AS Assignment

Owner name: APPLIED MATERIALS, INC., CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:CRUSE, JAMES P.;CANTWELL, DERMOT;XU, MING;AND OTHERS;SIGNING DATES FROM 20101213 TO 20110105;REEL/FRAME:025951/0614

STCF Information on status: patent grant

Free format text: PATENTED CASE

FPAY Fee payment

Year of fee payment: 4

MAFP Maintenance fee payment

Free format text: PAYMENT OF MAINTENANCE FEE, 8TH YEAR, LARGE ENTITY (ORIGINAL EVENT CODE: M1552); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

Year of fee payment: 8