US20110069288A1 - Reticle Transport Apparatus, Exposure Apparatus, Reticle Transport Method, and Reticle Processing Method - Google Patents

Reticle Transport Apparatus, Exposure Apparatus, Reticle Transport Method, and Reticle Processing Method Download PDF

Info

Publication number
US20110069288A1
US20110069288A1 US12/857,805 US85780510A US2011069288A1 US 20110069288 A1 US20110069288 A1 US 20110069288A1 US 85780510 A US85780510 A US 85780510A US 2011069288 A1 US2011069288 A1 US 2011069288A1
Authority
US
United States
Prior art keywords
reticle
cover
protective cover
cleaning
exposure
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US12/857,805
Inventor
Hajime Yamamoto
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Nikon Corp
Original Assignee
Nikon Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Nikon Corp filed Critical Nikon Corp
Priority to US12/857,805 priority Critical patent/US20110069288A1/en
Publication of US20110069288A1 publication Critical patent/US20110069288A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70691Handling of masks or workpieces
    • G03F7/70733Handling masks and workpieces, e.g. exchange of workpiece or mask, transport of workpiece or mask
    • G03F7/70741Handling masks outside exposure position, e.g. reticle libraries
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/708Construction of apparatus, e.g. environment aspects, hygiene aspects or materials
    • G03F7/70983Optical system protection, e.g. pellicles or removable covers for protection of mask

Definitions

  • the present invention relates to a reticle transport apparatus for transporting a reticle with respect to an exposure atmosphere chamber, an exposure apparatus comprising the reticle transport apparatus, a reticle transport method, and a reticle processing method.
  • EUV extreme ultraviolet
  • a reflecting type reticle is typically used in the EUVL exposure apparatus, because few materials have a high transmittivity with respect to short wavelength light.
  • a transparent thin film called a pellicle may protect the pattern surface of a reticle used in an exposure apparatus that uses normal visible light or ultraviolet rays.
  • it is not possible to form a pellicle because there are few materials that have high transmittivity with respect to short wavelength light. Therefore, at the time of reticle transport and storage, the reticle is covered within a protective cover (protective member), and the pattern region of the reticle is protected (see U.S. Pat. No. 6,239,863).
  • the reticle and the protective cover may come into contact, and debris may be generated at that contact point. Foreign matter that has occurred due to the generation of debris may thus accumulate, and the pattern region of the reticle may be contaminated.
  • a reticle transport apparatus prevents foreign matter from adhering to the reticle.
  • a reticle transport apparatus transports a reticle to and from a processing atmosphere.
  • a reticle loader loads the reticle into the processing atmosphere, with at least a portion of the reticle being covered by a cover.
  • a cover manipulator in the processing atmosphere, removes the reticle from the cover and transfers the cover, without the removed reticle, to the reticle loader for unloading the cover from the processing atmosphere.
  • a cover cleaner outside of the processing atmosphere, cleans the cover.
  • a reticle transport method transports a reticle to and from a processing atmosphere.
  • the method includes the steps of: loading the reticle into the processing atmosphere, at least a portion of the reticle being covered by a cover; detaching the cover from the reticle in the processing atmosphere; and unloading the detached cover from the processing atmosphere.
  • the method may further include the step of cleaning the cover.
  • FIG. 1 is a schematic diagram of an exposure apparatus.
  • FIG. 2 shows a protective cover for a reticle.
  • FIG. 3 shows an exploded view of the protective cover of FIG. 2 .
  • FIG. 4 is a plan view of a reticle.
  • FIG. 5 is a partially schematic view of the protective cover of FIG. 2 in a prealignment chamber.
  • FIG. 6 is a schematic view of an EUV exposure device.
  • FIG. 7 is a flow chart of a reticle loading process.
  • FIG. 8 is a schematic diagram of an exposure apparatus.
  • FIG. 9 is a flow chart a microdevice manufacturing process.
  • a reticle transport apparatus transports a reticle into and out of a processing atmosphere chamber.
  • a reticle loader loads the reticle into the processing atmosphere chamber. At least a portion of the reticle is covered by a cover.
  • a cover unloader unloads the cover, which is detached from the reticle, from the processing atmosphere chamber.
  • a cover cleaner cleans the unloaded cover.
  • the reticle transport apparatus removes foreign matter adhered to the cover.
  • the cover cleaner cleans the cover for covering at least the region of the reticle where a pattern is formed. Therefore, it is possible to prevent foreign matter from adhering to the reticle, while the reticle is covered by the cover. This improves exposure performance.
  • the cover may be removed from the exposure atmosphere chamber while the reticle is within the exposure atmosphere chamber.
  • the cover covering at least a portion of the reticle is detached from the reticle. Therefore, the cover may be inspected to determine whether or not debris is adhering to the cover.
  • the cover may also be cleaned or replaced. Therefore, it is possible to prevent foreign matter from transferring to and adhering to the reticle.
  • a contaminated cover may be discarded or cleaned.
  • FIG. 1 shows a schematic configuration of the exposure apparatus relating to the first embodiment.
  • An exposure part 3 may expose a pattern formed on a reticle onto a photosensitive substrate.
  • a reticle transport part (reticle transport apparatus) 4 loads and unloads the reticle into and out of the exposure part 3 via a load lock chamber 12 .
  • a control part 26 controls the operation of the exposure apparatus and preferably includes a programmable processor such as a microprocessor.
  • an air reticle library 6 holds a plurality of reticles on which various patterns are formed.
  • An air side robot 8 loads the prescribed reticle from the air reticle library 6 and transports the reticle to the load lock chamber 12 .
  • a cleaning chamber 10 cleans a protective cover that covers the reticle.
  • the air reticle library 6 accommodates reticles that are covered by a protective cover.
  • the surfaces of the reticles on which a pattern is formed are covered by a protective cover prepared to respectively correspond thereto.
  • FIG. 2 shows a protective cover 2 that covers a reticle 1
  • FIG. 3 is an exploded view for explaining the configuration of the protective cover 2
  • the protective cover 2 includes an upper cover 2 a and a lower cover 2 b.
  • the upper cover 2 a preferably formed of a metal such as aluminum, for example, has a filter 2 c and a transparent window 2 d.
  • the filter 2 c promotes an adequate air flow, while preventing fine particles such as debris from penetrating to the interior of the protective cover 2 .
  • the protective cover 2 goes back and forth between ambient air and vacuum.
  • the transparent window 2 d is for observing the status of the reticle 1 from outside the protective cover 2 .
  • Two protruding parts 2 e provided on the upper cover 2 a at two locations, catch on a holding member and are held.
  • the lower cover 2 b is lowered, and the upper cover 2 a and the lower cover 2 b are separated.
  • the upper cover 2 a includes two position detection marks 2 f for detecting the position thereof. The position of the upper cover 2 a may be detected by measuring this position using a position measurement apparatus.
  • the lower cover 2 b preferably formed of a metal such as aluminum, for example, has positioning pins 2 g in pairs of two in the four corners and reticle holding protrusions 2 h in three locations.
  • the positioning pins 2 g position the reticle 1 in the planar direction when holding the reticle 1 .
  • the reticle holding protrusions 2 h support the reticle 1 at three points.
  • Two transparent windows 2 i, 2 j are provided on the lower cover 2 b, and marks and ID marks formed on the reticle 1 are observed through the transparent windows 2 i, 2 j from the lower side of the lower cover 2 b.
  • FIG. 3 shows transparent windows 2 i, 2 j, but if the positions of the marks and ID marks are fixed on the reticle 1 , only one transparent window may be needed.
  • the reticle 1 accommodated in the protective cover 2 , is put into the air reticle library 6 .
  • FIG. 4 shows a plan view of one example of a pattern surface of the reticle 1 from the lower side.
  • a pattern such as a semiconductor circuit to be transferred onto a wafer is formed in a central pattern region 24 .
  • a non-reflection region 22 such as an absorbing body, surrounds the pattern region 24 .
  • Reference reflecting surfaces 21 are outside of the non-reflecting region 22 .
  • Alignment marks and ID marks are formed in a mark region 23 .
  • the protective cover 2 preferably covers at least pattern region 24 of the reticle 1 .
  • the protective cover 2 preferably protects the reticle 1 from problems such as adherence of debris by covering the entire pattern surface of the reticle 1 as well as the entire reticle 1 .
  • the protective cover 2 covers the entire reticle 1 using an upper cover 2 a and a lower cover 2 b.
  • a protective cover such as the protective cover disclosed in U.S. Pat. No. 6,239,863.
  • the protective cover may protect only the pattern surface of the reticle 1 , or the protective cover may protect only the pattern region of the reticle 1 .
  • the present invention may be used even if it is other than these reticle covers, and it may be applied to a reticle cover that covers only a portion of the reticle.
  • the load lock chamber 12 receives the reticle 1 from the reticle transport part 4 .
  • a prealignment chamber 14 pre-aligns the reticle 1 .
  • a vacuum side robot 16 transports the reticle 1 and the protective cover 2 between the load lock chamber 12 and the prealignment chamber 14 .
  • the exposure part 3 , the prealignment chamber 14 , and the vacuum side robot 16 are all within a vacuum atmosphere chamber (exposure atmosphere chamber, processing atmosphere chamber).
  • the load lock chamber 12 is configured for vacuum exhaust and air release.
  • FIG. 5 shows the prealignment chamber 14 .
  • a CFP opener 25 separates the protective cover 2 into the upper cover 2 a and the lower cover 2 b.
  • the reticle 1 is on the lower cover 2 b.
  • the CFP opener 25 is a one-level rack having vertical plates 25 a and a horizontal plate 25 b (the other vertical plate 25 a is omitted from the drawing).
  • the protruding parts 2 e of the upper cover 2 a catch onto the horizontal plate 25 b, and the upper plate 2 a is suspended.
  • the protective cover 2 is lowered from above the horizontal plate 25 b.
  • the front end part of the vacuum side robot 16 supports the lower surface of the lower cover 2 b .
  • the protruding parts 2 e of the upper cover 2 a catch on the horizontal plate 25 b, and the vacuum side robot 16 is lowered.
  • the lower cover 2 b and the reticle 1 that is loaded thereupon then drop downward.
  • the upper cover 2 a remains held on the horizontal plate 25 b, because the protrusion parts 2 e catch on the horizontal plate 25 b .
  • the upper cover 2 a thus separates from the lower cover 2 b and the reticle 1 .
  • the reticle 1 mounted on the lower cover 2 b, may be extracted by pulling the vacuum side robot 16 in the direction of the arrow.
  • An alignment stage 22 may be provided on the CFP opener 25 .
  • the alignment stage 22 moves in the X direction, as shown in the drawing, moves in the Y direction perpendicular to the X direction, and rotates ( ⁇ ) around a Z direction axis, which is perpendicular to the X direction and the Y direction.
  • the horizontal plate 25 b onto which the upper cover 2 a is mounted is fixed, but it is also possible to provide a drive means to move it and adjust the position of the upper cover.
  • the position of the reticle 1 may be pre-aligned.
  • the vacuum side robot 16 is lowered, while the front end part of the vacuum side robot 16 holds the lower cover 2 b , on which the reticle 1 is mounted.
  • the lower cover 2 b is thus mounted on the alignment stage 22 .
  • the center part of the alignment stage 22 preferably has a protruding shape, so that the vacuum side robot 16 can be lowered to a position such that the front end part of the vacuum side robot 16 does not come into contact with the lower cover 2 b.
  • a through hole 22 a provided at the center part of the alignment stage 22 , enables detection of the position of the lower cover 2 b and the position of the reticle 1 by a position detection apparatus.
  • a reticle holder 18 holds the reticle 1 loaded by the vacuum side robot 16 .
  • the reticle holder 18 adsorbs the surface at the opposite side of the pattern surface of the reticle 1 shown in FIG. 4 by, for example, an electrostatic chuck.
  • a light source illuminates the pattern of the reticle 1 , and a projection optical system projects the pattern onto a photosensitive substrate. The photosensitive substrate is thus exposed to the pattern of the reticle.
  • FIG. 6 is an outline diagram showing an EUV exposure device.
  • EUV light 32 emitted from an EUV light source 311 enters an illumination optical system 33 , becomes an approximately parallel light beam by a concave reflecting mirror 34 which acts as a collimator, and enters an optical integrator 35 comprising a pair of a fly-eye mirrors 35 a and 35 b.
  • the fly-eye mirrors 35 a and 35 b the fly-eye mirrors disclosed in U.S. Pat. No. 6,452,661, the contents of which are incorporated herein by reference, may be used.
  • a substantial extended light source having a specific shape is formed in the vicinity of the reflection plane of the second fly-eye mirror 35 b, namely in the vicinity of the exit plane of the optical integrator 35 .
  • Light from the substantial extended light source is deflected by a plane reflecting mirror 36 , and forms an arc shaped illumination area on a reticle 1 .
  • Light from an illuminated pattern of the reticle 1 forms an image of the reticle pattern on a wafer W by a projection optical system PL comprising multiple reflecting mirrors (six reflecting mirrors M 1 ⁇ M 6 are shown in FIG. 6 as an example).
  • the reticle 1 is mounted on a reticle stage 55 and can be moved in each of the x, y, and z axes directions and rotated around each of the axes.
  • the wafer W is mounted on a wafer stage 56 and can be moved in each of the x, y, and z axes directions and rotated around each of these axes. Freedom of movement may also be fewer than this.
  • the x and y direction positions of the wafer stage and the reticle stage are measured independently by an interferometer, and the measured data are input to a control device 51 .
  • the control device 51 outputs driving signals 57 and 58 to the reticle stage 55 and the wafer stage 56 .
  • Each stage moves by an actuator such as a linear motor or an air actuator.
  • a light source 52 emits light toward the wafer W
  • a photo-detector 54 detects the light reflected from the wafer W to measure the position of the wafer W.
  • a light source 61 emits light toward the reticle 1
  • a photo-detector 62 detects the light reflected from the reticle 1 to measure the position of the wafer W.
  • the control device 51 receives the measured data.
  • the reticle 1 which has been protected by the protective cover 2 , is extracted from the air reticle library 6 and loaded into the load lock chamber 12 using the air side robot 8 (step S 10 ).
  • step S 10 After the reticle 1 that has been protected by the protective cover 2 in step S 10 is loaded into the lock chamber 12 , the load lock chamber 12 is exhausted for loading the reticle 1 into the vacuum atmosphere of the exposure part 3 , and the interior of the load lock chamber 12 is made into a vacuum atmosphere (step S 11 ). At this time, the interior of the protective cover 2 also undergoes vacuum exhaust and becomes a vacuum atmosphere. Next, the vacuum side robot 16 extracts the reticle 1 covered by the protective cover 2 from the load lock chamber 12 and loads it into the prealignment chamber 14 . The reticle 1 is pre-aligned (step S 12 ). At this time, the upper cover 2 a of the protective cover 2 is removed.
  • the vacuum side robot 16 is used to transfer the reticle 1 that is covered by the lower cover 2 b of the protective cover 2 from the prealignment chamber 14 . Then, the reticle 1 is moved to the reticle holder 18 . The reticle 1 is adsorbed to the reticle holder 18 (step S 13 ), and the lower cover 2 b of the protective cover 2 is removed. Next, after the reticle 1 is adsorbed by the reticle holder 18 in step S 13 , the vacuum side robot 16 unloads the protective cover 2 , which includes the upper cover 2 a and the lower cover 2 b, from the exposure part 3 (step S 14 : protective cover unloading process) and loads it into the load lock chamber 12 . Debris may fly about within the load lock chamber 12 when the atmosphere of the load lock chamber 12 changes from vacuum to ambient air. Therefore, the upper cover 2 a and the lower cover 2 b may be closed during the unloading so that debris does not enter the interior space.
  • step S 15 air release of the load lock chamber 12 is performed, and the interior of the load lock chamber 12 changes to air atmosphere.
  • the interior of the protective cover 2 is also air released, and it is made an air atmosphere.
  • the air side robot 8 extracts the protective cover 2 from the load lock chamber 12 and transports the protective cover 2 to the cleaning chamber 10 , and the protective cover 2 is cleaned (step S 16 : protective cover cleaning process).
  • the positioning pins 2 g and the reticle holding protrusions 2 h contact with the reticle 1 when the reticle 1 is accommodated within the protective cover 2 .
  • Dust generation may occur in the vicinity of the positioning pins 2 g and the reticle holding protrusion 2 h. Any foreign matter (debris) produced by this dust generation may adhere to the reticle holding protrusions 2 h and the reticle 1 .
  • the foreign matter may accumulate on the protective cover 2 and the reticle 1 by repeated unloading and loading of the reticle 1 , and foreign matter may adhere to the pattern region of the reticle 1 , contaminating the pattern region of the reticle 1 .
  • Various well known cleaning methods can be used as the specific cleaning method in step S 16 . It is possible to use various cleaning methods such as wet cleaning, dry cleaning, or cleaning in which wind is produced by a blower, etc. to blow foreign matter off. Essentially, any cleaning technique that removes substances such as liquid, solid bodies, etc., that adversely affect exposure from the cover 2 , can be used for the cleaning. For example, drying (baking) may be performed after performing ultrasonic cleaning (cleaning for approximately 3 minutes in an Absol liquid+approximately 2 minutes in an alkali cleaning solution+approximately 1 minute in pure water). After cleaning step S 16 , a particle counter, etc. may be used to confirm whether or not foreign matter (debris) is adhering. If foreign matter is not adhering, cleaning ends. If foreign matter is adhering, cleaning continues or is repeated.
  • drying may be performed after performing ultrasonic cleaning (cleaning for approximately 3 minutes in an Absol liquid+approximately 2 minutes in an alkali cleaning solution+approx
  • the air side robot 8 transfers the protective cover 2 , for which cleaning is completed, from the cleaning chamber 10 to the load lock chamber 12 (step S 17 ).
  • vacuum exhausting of the load lock chamber 12 is performed, and the interior of the load lock chamber 12 is made into a vacuum atmosphere (step S 18 ).
  • the interior of the protective cover 2 is also vacuum exhausted, and it becomes a vacuum atmosphere.
  • the vacuum side robot 16 transfers the protective cover 2 from the load lock chamber 12 to the prealignment chamber 14 .
  • the protective cover stands by until the reticle 1 is unloaded from the exposure part 3 (step S 19 ).
  • the vacuum side robot 16 unloads the lower cover 2 b from the prealignment chamber 14 , and the lower cover 2 b is loaded into the exposure part 3 .
  • the reticle 1 covered by the lower cover 2 b, is carried to the prealignment chamber 14 .
  • the lower cover 2 b and the upper cover 2 a are closed in the prealignment chamber 14 , and the reticle 1 is accommodated within protective cover 2 .
  • the reticle 1 in the protective cover 2 , is unloaded to outside the vacuum atmosphere chamber via the load lock chamber 12 .
  • the protective cover 2 may be maintained in a clean status, and the adherence of foreign matter to the reticle 1 is restricted, so exposure performance increases.
  • the reticle 1 since it is possible to unload the protective cover 2 to outside the vacuum atmosphere chamber in a status in which the reticle 1 is arranged within the clean atmosphere of the interior of the vacuum atmosphere chamber, the reticle 1 does not become contaminated when the protective cover 2 is cleaned.
  • the protective cover 2 is merely standing by while the reticle 1 is being used in exposure, so it is possible to use this idle time to clean the protective cover 2 , so no adverse effects are exerted on the yield per unit time of the device to be manufactured.
  • the reticle transport part includes a cleaning chamber for cleaning the protective cover, and cleaning of the protective cover is performed in the reticle transport part, but cleaning of the protective cover at other locations may also be performed in the case in which the reticle transport part does not comprise a cleaning chamber.
  • protective covers are prepared to respectively correspond to the individual reticles, but one protective cover may also be used to perform unloading and loading of a plurality of reticles.
  • the cleaned protective cover 2 stands by in the prealignment chamber 14 .
  • a different reticle, loaded into the exposure part 3 may be protected by the cleaned protective cover 2 .
  • exposure is performed as the reticle processing, but it is also possible to apply the present invention to other processing of the reticle.
  • FIG. 8 shows an exposure apparatus according to the second embodiment.
  • the exposure apparatus according to the second embodiment includes a protective cover library 30 and a vacuum reticle library 31 in which reticles covered by a protective cover are able to stand by.
  • the protective cover library 30 is arranged in the reticle transport part 4 ′ of FIG. 8 .
  • a plurality of cleaned protective covers are arranged in the protective cover library 30 .
  • the protective cover 2 transported along with the reticle to a vacuum atmosphere via the load lock chamber 12 , is separated from the reticle. After that, only the protective cover 2 is unloaded to the standby side via the load lock chamber 12 . Processes up to this point are similar to those of the first embodiment.
  • a different protective cover arranged in the protective cover library 30 is used. Specifically, a separate protective cover arranged in the protective cover library 30 is loaded into the interior of the vacuum atmosphere via the load lock chamber, and the reticle 1 is covered after exposure processing has ended. The reticle 1 , covered by the protective cover, may standby in the vacuum reticle library 31 , and it may be unloaded to the air side as-is. When this is done, a cleaning chamber 10 need not be arranged beside the exposure apparatus. This reduces the footprint of the exposure apparatus. In addition, since it is possible to use a cleaned protective cover, it is possible to prevent contamination of the reticle.
  • an ID information rewriter may be included in the protective cover library 30 or at another location. For such rewriting, it is possible to use various well known techniques according to the type of ID information. For example, it is possible to mechanically replace an ID consisting of a barcode or to use software to rewrite ID information. The ID information may be read by an ID reader.
  • transport apparatuses 4 , 4 ′ are arranged beside the load lock chamber 12 .
  • the protective cover is cleaned or replaced each time the reticle and the protective cover separate, but this can be set to any timing.
  • this timing may be decided based on the number of times that the reticle cover is used (it is possible to use the count of the number of times of attachment to the reticle or the number of times, etc. carried in from the air to vacuum as the number of times used) or the usage time, etc.
  • a user may input the replacement timing directly from a keyboard and store it in a storage part arranged within the control part 26 .
  • Replacement or cleaning commands may be output from the control part 26 based on this stored data.
  • the storage part may be arranged separately from the control part 26 .
  • a user may send data via a network to the storage part.
  • data may be recorded in advance to a variety of recording media such as optical disks, magnetic disks, USB memories, etc.
  • the recording media may be connected to the exposure apparatus to transfer replacement or cleaning timing to the storage part 26 .
  • Data such as the number of times, the time, etc. may be directly taken in as timing data, but it is also possible to input other parameters for computing these data to obtain and use the number of times or the time by means of calculations by the control part 26 .
  • a debris detection apparatus may detect the presence or absence of debris at the reticle holding protrusions 2 h that connect with the reticle, the positioning pins 2 g, or the periphery thereof. Replacement or cleaning may be performed based on the debris detection results. For example, the replacement or cleaning timing may be upon cases where foreign matter is present or upon cases where the amount of foreign matter has exceeded a threshold value.
  • an optical system debris detection apparatus 50 has a light source 51 and a detector 52 .
  • the detector 52 detects light that has emerged from the light source 51 and has been reflected by the reticle cover. When foreign matter is present, the foreign matter scatters light and the intensity of the light detected by the detector 52 changes. It is possible to detect the presence or absence of foreign matter from these changes. Any type of apparatus may be used as the foreign matter detection apparatus, as long as it is able to detect the desired foreign matter. A commercially available particle counter may be used. In the example shown in FIG. 5 , foreign matter is detected in the prealignment chamber 14 , but it may be detected at other locations. For example, such a foreign matter detection mechanism may be arranged in the vacuum reticle library 31 to perform foreign matter detection as in the application example shown in FIG. 8 .
  • An ID mark that indicates distinguishing characteristics related to the reticle cover may be associated with the ID of the reticle and controlled.
  • the reticle cover is stored outside the exposure apparatus, while the reticle is in the exposure apparatus.
  • the ID of the reticle cover identifies the type of reticle.
  • the ID may be read by machine, or it may be directly read by an operator.
  • RSP reticle SMIF pod
  • the ID of the reticle and the ID of the reticle cover are associated to perform control, when replacement of the reticle cover is performed while in progress as discussed above, it is preferable that the ID of the new reticle cover and the ID of the reticle accommodated therein be associated again or that the ID of the new reticle cover be rewritten or replaced.
  • the reticle covers be controlled so that ID of the reticle cover is stored and a determination is made as to which reticle cover from among the plurality of reticle covers that are present is to be replaced or cleaned. When this is done, it is possible to independently determine the replacement or cleaning times of the respective reticle covers even if a plurality of reticle covers are present.
  • the explanations used exposure processing as an example of reticle processing, but, for example, the present invention may be applied to other processing performed on the reticle, such as the case in which the reticle is cleaned.
  • the embodiments use a reticle in a clean status in which foreign matter is not adhering.
  • the exposure process for example, it is possible to perform the desired transfer, so it is possible to reduce the defect rate of the devices to be manufactured.
  • a microdevice such as a semiconductor device, is produced by performing, for example, a step S 30 of designing the function and the performance of the microdevice, a step S 32 of producing a mask (reticle) based on the designing step, a step S 34 of producing a substrate as a base material for the device, an exposure process step S 36 of exposing the substrate with a pattern of the reticle by using the exposure apparatus, a step S 38 of assembling the device (including processing steps such as a dicing step, a bonding step, and a packaging step), and an inspection step S 40 .
  • the exposure process step includes processes of cleaning or replacing the reticle cover during the wafer exposure process.

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Health & Medical Sciences (AREA)
  • Environmental & Geological Engineering (AREA)
  • Epidemiology (AREA)
  • Public Health (AREA)
  • Library & Information Science (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)

Abstract

A reticle transport apparatus transports a reticle to and from a processing atmosphere. A reticle loader loads the reticle into the processing atmosphere, with at least a portion of the reticle being covered by a cover. A cover manipulator, in the processing atmosphere, removes the reticle from the cover and transfers the cover, without the removed reticle, to the reticle loader, and the reticle loader unloads the cover from the processing atmosphere. A cover cleaner, outside of the processing atmosphere, cleans the cover. When processing involving the reticle is completed, the reticle is returned to the cleaned cover or is placed in a different cover.

Description

  • This is a divisional of U.S. application Ser. No. 11/645,659, which claims priority to U.S. Provisional Patent Application No. 60/853,450, filed on Oct. 23, 2006. The contents of both application Ser. Nos. 11/645,659 and 60/853,450 are incorporated herein by reference. This application also claims priority to Japanese Patent Application No. 2005-377668, filed on Dec. 28, 2005, the contents of which are incorporated herein by reference.
  • BACKGROUND OF THE INVENTION
  • A. Field of the Invention
  • The present invention relates to a reticle transport apparatus for transporting a reticle with respect to an exposure atmosphere chamber, an exposure apparatus comprising the reticle transport apparatus, a reticle transport method, and a reticle processing method.
  • B. Description of the Related Art
  • Recently developed projection exposure apparatuses expose the pattern of a reticle onto a photosensitive substrate using extreme ultraviolet (EUV) light in the range of wavelengths of approximately 5˜40 nm. In EUVL (extreme ultraviolet light lithography) exposure apparatuses, because EUV light is absorbed by air, a high degree of vacuum is maintained within the chamber that accommodates the apparatus, and special contrivances are also necessary when transporting the reticle.
  • A reflecting type reticle is typically used in the EUVL exposure apparatus, because few materials have a high transmittivity with respect to short wavelength light. A transparent thin film called a pellicle may protect the pattern surface of a reticle used in an exposure apparatus that uses normal visible light or ultraviolet rays. However, in the EUV exposure apparatus, it is not possible to form a pellicle, because there are few materials that have high transmittivity with respect to short wavelength light. Therefore, at the time of reticle transport and storage, the reticle is covered within a protective cover (protective member), and the pattern region of the reticle is protected (see U.S. Pat. No. 6,239,863).
  • While the reticle is protected within the protective cover and transported, the reticle and the protective cover may come into contact, and debris may be generated at that contact point. Foreign matter that has occurred due to the generation of debris may thus accumulate, and the pattern region of the reticle may be contaminated.
  • SUMMARY OF THE INVENTION
  • In one embodiment of the present invention, a reticle transport apparatus prevents foreign matter from adhering to the reticle.
  • Additional objects and advantages of the invention will be set forth in part in the description which follows, and in part will be obvious from the description, or may be learned by practice of the invention. The objects and advantages of the invention will be realized and attained by means of the elements and combinations particularly pointed out in the appended claims.
  • To achieve the objects and in accordance with the purpose of the invention, as embodied and broadly described herein, a reticle transport apparatus transports a reticle to and from a processing atmosphere. A reticle loader loads the reticle into the processing atmosphere, with at least a portion of the reticle being covered by a cover. A cover manipulator, in the processing atmosphere, removes the reticle from the cover and transfers the cover, without the removed reticle, to the reticle loader for unloading the cover from the processing atmosphere. A cover cleaner, outside of the processing atmosphere, cleans the cover.
  • To further achieve the objects and in accordance with the purpose of the invention, as embodied and broadly described herein, a reticle transport method transports a reticle to and from a processing atmosphere. The method includes the steps of: loading the reticle into the processing atmosphere, at least a portion of the reticle being covered by a cover; detaching the cover from the reticle in the processing atmosphere; and unloading the detached cover from the processing atmosphere. The method may further include the step of cleaning the cover.
  • It is to be understood that both the foregoing general description and the following detailed description are exemplary and explanatory only and are not restrictive of the invention, as claimed.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • The accompanying drawings, which are incorporated in and constitute a part of this specification, illustrate embodiments of the invention and together with the description, serve to explain the principles of the invention.
  • FIG. 1 is a schematic diagram of an exposure apparatus.
  • FIG. 2 shows a protective cover for a reticle.
  • FIG. 3 shows an exploded view of the protective cover of FIG. 2.
  • FIG. 4 is a plan view of a reticle.
  • FIG. 5 is a partially schematic view of the protective cover of FIG. 2 in a prealignment chamber.
  • FIG. 6 is a schematic view of an EUV exposure device.
  • FIG. 7 is a flow chart of a reticle loading process.
  • FIG. 8 is a schematic diagram of an exposure apparatus.
  • FIG. 9 is a flow chart a microdevice manufacturing process.
  • DESCRIPTION OF THE PREFERRED EMBODIMENTS
  • Reference will now be made in detail to the present preferred embodiments of the invention, examples of which are illustrated in the accompanying drawings. Wherever possible, the same reference numbers will be used throughout the drawings to refer to the same or like parts.
  • A reticle transport apparatus transports a reticle into and out of a processing atmosphere chamber. A reticle loader loads the reticle into the processing atmosphere chamber. At least a portion of the reticle is covered by a cover. A cover unloader unloads the cover, which is detached from the reticle, from the processing atmosphere chamber. A cover cleaner cleans the unloaded cover.
  • The reticle transport apparatus removes foreign matter adhered to the cover. In particular, the cover cleaner cleans the cover for covering at least the region of the reticle where a pattern is formed. Therefore, it is possible to prevent foreign matter from adhering to the reticle, while the reticle is covered by the cover. This improves exposure performance.
  • In addition, the cover may be removed from the exposure atmosphere chamber while the reticle is within the exposure atmosphere chamber. The cover covering at least a portion of the reticle is detached from the reticle. Therefore, the cover may be inspected to determine whether or not debris is adhering to the cover. The cover may also be cleaned or replaced. Therefore, it is possible to prevent foreign matter from transferring to and adhering to the reticle.
  • In addition, if the reticle is covered with a new cover, a contaminated cover may be discarded or cleaned.
  • The exposure apparatus relating to a first embodiment of the present invention will be explained while referring to drawings. FIG. 1 shows a schematic configuration of the exposure apparatus relating to the first embodiment. An exposure part 3 may expose a pattern formed on a reticle onto a photosensitive substrate. A reticle transport part (reticle transport apparatus) 4 loads and unloads the reticle into and out of the exposure part 3 via a load lock chamber 12. A control part 26 controls the operation of the exposure apparatus and preferably includes a programmable processor such as a microprocessor.
  • In the reticle transport part 4, an air reticle library 6 holds a plurality of reticles on which various patterns are formed. An air side robot 8 loads the prescribed reticle from the air reticle library 6 and transports the reticle to the load lock chamber 12. A cleaning chamber 10 cleans a protective cover that covers the reticle. The air reticle library 6 accommodates reticles that are covered by a protective cover. The surfaces of the reticles on which a pattern is formed are covered by a protective cover prepared to respectively correspond thereto.
  • FIG. 2 shows a protective cover 2 that covers a reticle 1, and FIG. 3 is an exploded view for explaining the configuration of the protective cover 2. The protective cover 2 includes an upper cover 2 a and a lower cover 2 b. The upper cover 2 a, preferably formed of a metal such as aluminum, for example, has a filter 2 c and a transparent window 2 d. The filter 2 c promotes an adequate air flow, while preventing fine particles such as debris from penetrating to the interior of the protective cover 2. The protective cover 2 goes back and forth between ambient air and vacuum. When the upper cover 2 a and the lower cover 2 b of the protective cover 2 are engaged to form an interior space, the air pressure difference between the exterior and interior space of the protective cover 2 is reduced so that force resulting from the pressure difference is not exerted on the upper cover 2 a and the lower cover 2 b. The transparent window 2 d is for observing the status of the reticle 1 from outside the protective cover 2.
  • Two protruding parts 2 e, provided on the upper cover 2 a at two locations, catch on a holding member and are held. The lower cover 2 b is lowered, and the upper cover 2 a and the lower cover 2 b are separated. The upper cover 2 a includes two position detection marks 2 f for detecting the position thereof. The position of the upper cover 2 a may be detected by measuring this position using a position measurement apparatus.
  • The lower cover 2 b, preferably formed of a metal such as aluminum, for example, has positioning pins 2 g in pairs of two in the four corners and reticle holding protrusions 2 h in three locations. The positioning pins 2 g position the reticle 1 in the planar direction when holding the reticle 1. The reticle holding protrusions 2 h support the reticle 1 at three points.
  • Two transparent windows 2 i, 2 j, preferably made of glass, are provided on the lower cover 2 b, and marks and ID marks formed on the reticle 1 are observed through the transparent windows 2 i, 2 j from the lower side of the lower cover 2 b. FIG. 3 shows transparent windows 2 i, 2 j, but if the positions of the marks and ID marks are fixed on the reticle 1, only one transparent window may be needed. The reticle 1, accommodated in the protective cover 2, is put into the air reticle library 6.
  • FIG. 4 shows a plan view of one example of a pattern surface of the reticle 1 from the lower side. On the pattern surface of the reticle 1, a pattern such as a semiconductor circuit to be transferred onto a wafer is formed in a central pattern region 24. A non-reflection region 22, such as an absorbing body, surrounds the pattern region 24. Reference reflecting surfaces 21 are outside of the non-reflecting region 22. Alignment marks and ID marks are formed in a mark region 23.
  • The protective cover 2 preferably covers at least pattern region 24 of the reticle 1. The protective cover 2 preferably protects the reticle 1 from problems such as adherence of debris by covering the entire pattern surface of the reticle 1 as well as the entire reticle 1. In the present embodiment, the protective cover 2 covers the entire reticle 1 using an upper cover 2 a and a lower cover 2 b. It is also possible to use a protective cover such as the protective cover disclosed in U.S. Pat. No. 6,239,863. The protective cover may protect only the pattern surface of the reticle 1, or the protective cover may protect only the pattern region of the reticle 1. In addition, the present invention may be used even if it is other than these reticle covers, and it may be applied to a reticle cover that covers only a portion of the reticle.
  • In the exposure apparatus, the load lock chamber 12 receives the reticle 1 from the reticle transport part 4. A prealignment chamber 14 pre-aligns the reticle 1. A vacuum side robot 16 transports the reticle 1 and the protective cover 2 between the load lock chamber 12 and the prealignment chamber 14. The exposure part 3, the prealignment chamber 14, and the vacuum side robot 16 are all within a vacuum atmosphere chamber (exposure atmosphere chamber, processing atmosphere chamber). In addition, the load lock chamber 12 is configured for vacuum exhaust and air release.
  • FIG. 5 shows the prealignment chamber 14. A CFP opener 25 separates the protective cover 2 into the upper cover 2 a and the lower cover 2 b. The reticle 1 is on the lower cover 2 b. The CFP opener 25 is a one-level rack having vertical plates 25 a and a horizontal plate 25 b (the other vertical plate 25 a is omitted from the drawing). The protruding parts 2 e of the upper cover 2 a catch onto the horizontal plate 25 b, and the upper plate 2 a is suspended.
  • The protective cover 2 is lowered from above the horizontal plate 25 b. The front end part of the vacuum side robot 16 supports the lower surface of the lower cover 2 b. The protruding parts 2 e of the upper cover 2 a catch on the horizontal plate 25 b, and the vacuum side robot 16 is lowered. The lower cover 2 b and the reticle 1 that is loaded thereupon then drop downward. The upper cover 2 a, however, remains held on the horizontal plate 25 b, because the protrusion parts 2 e catch on the horizontal plate 25 b. The upper cover 2 a thus separates from the lower cover 2 b and the reticle 1. Then, the reticle 1, mounted on the lower cover 2 b, may be extracted by pulling the vacuum side robot 16 in the direction of the arrow.
  • An alignment stage 22 may be provided on the CFP opener 25. The alignment stage 22 moves in the X direction, as shown in the drawing, moves in the Y direction perpendicular to the X direction, and rotates (θ) around a Z direction axis, which is perpendicular to the X direction and the Y direction. In this example, the horizontal plate 25 b onto which the upper cover 2 a is mounted is fixed, but it is also possible to provide a drive means to move it and adjust the position of the upper cover.
  • The position of the reticle 1 may be pre-aligned. The vacuum side robot 16 is lowered, while the front end part of the vacuum side robot 16 holds the lower cover 2 b, on which the reticle 1 is mounted. The lower cover 2 b is thus mounted on the alignment stage 22. The center part of the alignment stage 22 preferably has a protruding shape, so that the vacuum side robot 16 can be lowered to a position such that the front end part of the vacuum side robot 16 does not come into contact with the lower cover 2 b. A through hole 22 a, provided at the center part of the alignment stage 22, enables detection of the position of the lower cover 2 b and the position of the reticle 1 by a position detection apparatus. In addition, it is possible to perform prealignment of the reticle 1 by moving the alignment stage 22.
  • In the exposure part 3, a reticle holder 18 holds the reticle 1 loaded by the vacuum side robot 16. The reticle holder 18 adsorbs the surface at the opposite side of the pattern surface of the reticle 1 shown in FIG. 4 by, for example, an electrostatic chuck. A light source illuminates the pattern of the reticle 1, and a projection optical system projects the pattern onto a photosensitive substrate. The photosensitive substrate is thus exposed to the pattern of the reticle.
  • FIG. 6 is an outline diagram showing an EUV exposure device. EUV light 32 emitted from an EUV light source 311 enters an illumination optical system 33, becomes an approximately parallel light beam by a concave reflecting mirror 34 which acts as a collimator, and enters an optical integrator 35 comprising a pair of a fly-eye mirrors 35 a and 35 b. As the pair of fly-eye mirrors 35 a and 35 b, the fly-eye mirrors disclosed in U.S. Pat. No. 6,452,661, the contents of which are incorporated herein by reference, may be used.
  • In the vicinity of the reflection plane of the second fly-eye mirror 35 b, namely in the vicinity of the exit plane of the optical integrator 35, a substantial extended light source having a specific shape is formed. Light from the substantial extended light source is deflected by a plane reflecting mirror 36, and forms an arc shaped illumination area on a reticle 1. Light from an illuminated pattern of the reticle 1 forms an image of the reticle pattern on a wafer W by a projection optical system PL comprising multiple reflecting mirrors (six reflecting mirrors M1˜M6 are shown in FIG. 6 as an example). The reticle 1 is mounted on a reticle stage 55 and can be moved in each of the x, y, and z axes directions and rotated around each of the axes.
  • The wafer W is mounted on a wafer stage 56 and can be moved in each of the x, y, and z axes directions and rotated around each of these axes. Freedom of movement may also be fewer than this. The x and y direction positions of the wafer stage and the reticle stage are measured independently by an interferometer, and the measured data are input to a control device 51. Also, the control device 51 outputs driving signals 57 and 58 to the reticle stage 55 and the wafer stage 56. Each stage moves by an actuator such as a linear motor or an air actuator.
  • A light source 52 emits light toward the wafer W, a photo-detector 54 detects the light reflected from the wafer W to measure the position of the wafer W. Similarly, a light source 61 emits light toward the reticle 1, and a photo-detector 62 detects the light reflected from the reticle 1 to measure the position of the wafer W. The control device 51 receives the measured data.
  • Next, a reticle transport method that transports the reticle 1 into and out of the exposure part 3 by the reticle transport part 4 relating to the first embodiment will be explained while referring to the flowchart in FIG. 7.
  • The reticle 1, which has been protected by the protective cover 2, is extracted from the air reticle library 6 and loaded into the load lock chamber 12 using the air side robot 8 (step S10).
  • After the reticle 1 that has been protected by the protective cover 2 in step S10 is loaded into the lock chamber 12, the load lock chamber 12 is exhausted for loading the reticle 1 into the vacuum atmosphere of the exposure part 3, and the interior of the load lock chamber 12 is made into a vacuum atmosphere (step S11). At this time, the interior of the protective cover 2 also undergoes vacuum exhaust and becomes a vacuum atmosphere. Next, the vacuum side robot 16 extracts the reticle 1 covered by the protective cover 2 from the load lock chamber 12 and loads it into the prealignment chamber 14. The reticle 1 is pre-aligned (step S12). At this time, the upper cover 2 a of the protective cover 2 is removed.
  • Next, the vacuum side robot 16 is used to transfer the reticle 1 that is covered by the lower cover 2 b of the protective cover 2 from the prealignment chamber 14. Then, the reticle 1 is moved to the reticle holder 18. The reticle 1 is adsorbed to the reticle holder 18 (step S13), and the lower cover 2 b of the protective cover 2 is removed. Next, after the reticle 1 is adsorbed by the reticle holder 18 in step S13, the vacuum side robot 16 unloads the protective cover 2, which includes the upper cover 2 a and the lower cover 2 b, from the exposure part 3 (step S14: protective cover unloading process) and loads it into the load lock chamber 12. Debris may fly about within the load lock chamber 12 when the atmosphere of the load lock chamber 12 changes from vacuum to ambient air. Therefore, the upper cover 2 a and the lower cover 2 b may be closed during the unloading so that debris does not enter the interior space.
  • Next, after a protective cover 2 is loaded into the load lock chamber 12, air release of the load lock chamber 12 is performed, and the interior of the load lock chamber 12 changes to air atmosphere (step S15). At this time, the interior of the protective cover 2 is also air released, and it is made an air atmosphere. The air side robot 8 extracts the protective cover 2 from the load lock chamber 12 and transports the protective cover 2 to the cleaning chamber 10, and the protective cover 2 is cleaned (step S16: protective cover cleaning process).
  • The positioning pins 2 g and the reticle holding protrusions 2 h contact with the reticle 1 when the reticle 1 is accommodated within the protective cover 2. Dust generation may occur in the vicinity of the positioning pins 2 g and the reticle holding protrusion 2 h. Any foreign matter (debris) produced by this dust generation may adhere to the reticle holding protrusions 2 h and the reticle 1. The foreign matter may accumulate on the protective cover 2 and the reticle 1 by repeated unloading and loading of the reticle 1, and foreign matter may adhere to the pattern region of the reticle 1, contaminating the pattern region of the reticle 1. In this way, due to the pattern region of the reticle 1 being contaminated by foreign matter, it is not possible to accurately expose the pattern of the reticle 1 onto the photosensitive substrate in the exposure part 3. This leads to a reduction in the conforming products rate of the semiconductor devices manufactured. Therefore, it is necessary to remove any foreign matter produced by dust generated in the vicinity of the positioning pins 2 g and the reticle holding protrusions 2 h.
  • Various well known cleaning methods can be used as the specific cleaning method in step S16. It is possible to use various cleaning methods such as wet cleaning, dry cleaning, or cleaning in which wind is produced by a blower, etc. to blow foreign matter off. Essentially, any cleaning technique that removes substances such as liquid, solid bodies, etc., that adversely affect exposure from the cover 2, can be used for the cleaning. For example, drying (baking) may be performed after performing ultrasonic cleaning (cleaning for approximately 3 minutes in an Absol liquid+approximately 2 minutes in an alkali cleaning solution+approximately 1 minute in pure water). After cleaning step S16, a particle counter, etc. may be used to confirm whether or not foreign matter (debris) is adhering. If foreign matter is not adhering, cleaning ends. If foreign matter is adhering, cleaning continues or is repeated.
  • Next, the air side robot 8 transfers the protective cover 2, for which cleaning is completed, from the cleaning chamber 10 to the load lock chamber 12 (step S17). Next, after the protective cover 2 is reloaded into the load lock chamber 12 in step S17, vacuum exhausting of the load lock chamber 12 is performed, and the interior of the load lock chamber 12 is made into a vacuum atmosphere (step S18). At this time, the interior of the protective cover 2 is also vacuum exhausted, and it becomes a vacuum atmosphere.
  • Next, the vacuum side robot 16 transfers the protective cover 2 from the load lock chamber 12 to the prealignment chamber 14. Then, the protective cover stands by until the reticle 1 is unloaded from the exposure part 3 (step S19). When the reticle 1 is unloaded from the exposure part 3, the vacuum side robot 16 unloads the lower cover 2 b from the prealignment chamber 14, and the lower cover 2 b is loaded into the exposure part 3. Then, the reticle 1, covered by the lower cover 2 b, is carried to the prealignment chamber 14. The lower cover 2 b and the upper cover 2 a are closed in the prealignment chamber 14, and the reticle 1 is accommodated within protective cover 2. The reticle 1, in the protective cover 2, is unloaded to outside the vacuum atmosphere chamber via the load lock chamber 12.
  • Through the exposure apparatus relating to the first embodiment, foreign matter may be removed from a protective cover 2, because the cleaning chamber 10 cleans the protective cover 2. Therefore, the protective cover 2 may be maintained in a clean status, and the adherence of foreign matter to the reticle 1 is restricted, so exposure performance increases. In particular, since it is possible to unload the protective cover 2 to outside the vacuum atmosphere chamber in a status in which the reticle 1 is arranged within the clean atmosphere of the interior of the vacuum atmosphere chamber, the reticle 1 does not become contaminated when the protective cover 2 is cleaned.
  • In addition, the protective cover 2 is merely standing by while the reticle 1 is being used in exposure, so it is possible to use this idle time to clean the protective cover 2, so no adverse effects are exerted on the yield per unit time of the device to be manufactured.
  • In the first embodiment, the reticle transport part includes a cleaning chamber for cleaning the protective cover, and cleaning of the protective cover is performed in the reticle transport part, but cleaning of the protective cover at other locations may also be performed in the case in which the reticle transport part does not comprise a cleaning chamber.
  • In addition, in the first embodiment, protective covers are prepared to respectively correspond to the individual reticles, but one protective cover may also be used to perform unloading and loading of a plurality of reticles. Specifically, in the first embodiment, for protecting the reticle 1 unloaded from the exposure part 3, the cleaned protective cover 2 stands by in the prealignment chamber 14. However, a different reticle, loaded into the exposure part 3, may be protected by the cleaned protective cover 2.
  • In addition, in the first embodiment, exposure is performed as the reticle processing, but it is also possible to apply the present invention to other processing of the reticle.
  • Next, the exposure apparatus relating to a second embodiment will be explained. FIG. 8 shows an exposure apparatus according to the second embodiment. As shown in FIG. 8, the exposure apparatus according to the second embodiment includes a protective cover library 30 and a vacuum reticle library 31 in which reticles covered by a protective cover are able to stand by.
  • While a cleaning chamber 10 was arranged in the reticle transport part 4 of FIG. 1, the protective cover library 30 is arranged in the reticle transport part 4′ of FIG. 8. A plurality of cleaned protective covers are arranged in the protective cover library 30. The protective cover 2, transported along with the reticle to a vacuum atmosphere via the load lock chamber 12, is separated from the reticle. After that, only the protective cover 2 is unloaded to the standby side via the load lock chamber 12. Processes up to this point are similar to those of the first embodiment.
  • In the second embodiment, instead of cleaning the protective cover 2, a different protective cover arranged in the protective cover library 30 is used. Specifically, a separate protective cover arranged in the protective cover library 30 is loaded into the interior of the vacuum atmosphere via the load lock chamber, and the reticle 1 is covered after exposure processing has ended. The reticle 1, covered by the protective cover, may standby in the vacuum reticle library 31, and it may be unloaded to the air side as-is. When this is done, a cleaning chamber 10 need not be arranged beside the exposure apparatus. This reduces the footprint of the exposure apparatus. In addition, since it is possible to use a cleaned protective cover, it is possible to prevent contamination of the reticle.
  • If ID information related to the reticle, etc. is assigned to the protective cover 2, an ID information rewriter may be included in the protective cover library 30 or at another location. For such rewriting, it is possible to use various well known techniques according to the type of ID information. For example, it is possible to mechanically replace an ID consisting of a barcode or to use software to rewrite ID information. The ID information may be read by an ID reader.
  • In the first and second embodiments, transport apparatuses 4, 4′ are arranged beside the load lock chamber 12. Instead of this, for example, it is possible to transport the reticle using an Automated Guided vehicle with robot, and it is possible to use a configuration in which all or part of the transport apparatus 4,4′ is not arranged beside the exposure apparatus. In this case, it is possible to share the air reticle library 6, the cleaning chamber 10, or the protective cover library 30 among a plurality of exposure apparatuses. When this is done, the footprint of the exposure apparatus in the clean room may be reduced.
  • In the first and second embodiments, the protective cover is cleaned or replaced each time the reticle and the protective cover separate, but this can be set to any timing. For example, this timing may be decided based on the number of times that the reticle cover is used (it is possible to use the count of the number of times of attachment to the reticle or the number of times, etc. carried in from the air to vacuum as the number of times used) or the usage time, etc.
  • For example, a user may input the replacement timing directly from a keyboard and store it in a storage part arranged within the control part 26. Replacement or cleaning commands may be output from the control part 26 based on this stored data. The storage part may be arranged separately from the control part 26. In addition, a user may send data via a network to the storage part. In addition, data may be recorded in advance to a variety of recording media such as optical disks, magnetic disks, USB memories, etc. The recording media may be connected to the exposure apparatus to transfer replacement or cleaning timing to the storage part 26. Data such as the number of times, the time, etc. may be directly taken in as timing data, but it is also possible to input other parameters for computing these data to obtain and use the number of times or the time by means of calculations by the control part 26.
  • A debris detection apparatus may detect the presence or absence of debris at the reticle holding protrusions 2 h that connect with the reticle, the positioning pins 2 g, or the periphery thereof. Replacement or cleaning may be performed based on the debris detection results. For example, the replacement or cleaning timing may be upon cases where foreign matter is present or upon cases where the amount of foreign matter has exceeded a threshold value.
  • As shown in FIG. 5, an optical system debris detection apparatus 50 has a light source 51 and a detector 52. The detector 52 detects light that has emerged from the light source 51 and has been reflected by the reticle cover. When foreign matter is present, the foreign matter scatters light and the intensity of the light detected by the detector 52 changes. It is possible to detect the presence or absence of foreign matter from these changes. Any type of apparatus may be used as the foreign matter detection apparatus, as long as it is able to detect the desired foreign matter. A commercially available particle counter may be used. In the example shown in FIG. 5, foreign matter is detected in the prealignment chamber 14, but it may be detected at other locations. For example, such a foreign matter detection mechanism may be arranged in the vacuum reticle library 31 to perform foreign matter detection as in the application example shown in FIG. 8.
  • An ID mark that indicates distinguishing characteristics related to the reticle cover (the mark may be secured to the cover or removably arranged, and the mark may be a rewritable mark) may be associated with the ID of the reticle and controlled. The reticle cover is stored outside the exposure apparatus, while the reticle is in the exposure apparatus. The ID of the reticle cover, however, identifies the type of reticle. The ID may be read by machine, or it may be directly read by an operator. Of course, in the case where it is possible to directly confirm the type of reticle by means of the reticle cover itself being transparent or a window being provided in the reticle cover, such association need not be performed. In addition, it is also possible to accommodate the reticle cover inside a reticle SMIF pod (RSP) and control the reticle based on an ID mark assigned to the RSP.
  • Where the ID of the reticle and the ID of the reticle cover are associated to perform control, when replacement of the reticle cover is performed while in progress as discussed above, it is preferable that the ID of the new reticle cover and the ID of the reticle accommodated therein be associated again or that the ID of the new reticle cover be rewritten or replaced.
  • It is preferable that the reticle covers be controlled so that ID of the reticle cover is stored and a determination is made as to which reticle cover from among the plurality of reticle covers that are present is to be replaced or cleaned. When this is done, it is possible to independently determine the replacement or cleaning times of the respective reticle covers even if a plurality of reticle covers are present.
  • In the embodiments discussed above, the explanations used exposure processing as an example of reticle processing, but, for example, the present invention may be applied to other processing performed on the reticle, such as the case in which the reticle is cleaned.
  • As has been explained, the embodiments use a reticle in a clean status in which foreign matter is not adhering. In the exposure process, for example, it is possible to perform the desired transfer, so it is possible to reduce the defect rate of the devices to be manufactured.
  • As shown in FIG. 9, a microdevice, such as a semiconductor device, is produced by performing, for example, a step S30 of designing the function and the performance of the microdevice, a step S32 of producing a mask (reticle) based on the designing step, a step S34 of producing a substrate as a base material for the device, an exposure process step S36 of exposing the substrate with a pattern of the reticle by using the exposure apparatus, a step S38 of assembling the device (including processing steps such as a dicing step, a bonding step, and a packaging step), and an inspection step S40. The exposure process step includes processes of cleaning or replacing the reticle cover during the wafer exposure process.
  • Other embodiments of the invention will be apparent to those skilled in the art from consideration of the specification and practice of the invention disclosed herein. It is intended that the specification and examples be considered as exemplary only, with a true scope and spirit of the invention being indicated by the following claims.

Claims (11)

1-31. (canceled)
32. An exposure apparatus that forms a pattern of a reticle on an image plane; comprising:
a projection optical system; and
a controller for outputting the timing of replacement or cleaning of a cover that covers at least a portion of the reticle.
33. An exposure apparatus according to claim 32, further comprising a storage for storing distinguishing characteristics related to the cover.
34. An exposure apparatus according to claim 32, further comprising a sensor for detecting the presence of debris on the cover.
35. An exposure apparatus according to claim 32, further comprising a storage for storing the timing of replacement or cleaning of the cover.
36. A reticle cover management method; comprising determining the timing of replacement or cleaning of the reticle cover using distinguishing characteristics related to the reticle cover.
37. A method according to claim 36, wherein the timing of replacement or cleaning of the reticle cover is determined based on the number of times of usage of the reticle cover.
38. A method according to claim 36, wherein the timing of replacement or cleaning of the reticle cover is determined based on the usage time of the reticle cover.
39. A method according to claim 36, further comprising detecting the presence of foreign matter on the reticle cover and the timing of replacement or cleaning of the reticle cover is determined based on the detection.
40. A method according to claim 39, wherein distinguishing characteristics related to the reticle cover are associated with the distinguishing characteristics related to the reticle and stored.
41. A method according to claim 40; wherein the distinguishing characteristics related to the reticle cover and the reticle are ID marks.
US12/857,805 2005-12-28 2010-08-17 Reticle Transport Apparatus, Exposure Apparatus, Reticle Transport Method, and Reticle Processing Method Abandoned US20110069288A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US12/857,805 US20110069288A1 (en) 2005-12-28 2010-08-17 Reticle Transport Apparatus, Exposure Apparatus, Reticle Transport Method, and Reticle Processing Method

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
JP2005-377668 2005-12-28
JP2005377668 2005-12-28
US85345006P 2006-10-23 2006-10-23
US11/645,659 US7808616B2 (en) 2005-12-28 2006-12-27 Reticle transport apparatus, exposure apparatus, reticle transport method, and reticle processing method
US12/857,805 US20110069288A1 (en) 2005-12-28 2010-08-17 Reticle Transport Apparatus, Exposure Apparatus, Reticle Transport Method, and Reticle Processing Method

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US11/645,659 Division US7808616B2 (en) 2005-12-28 2006-12-27 Reticle transport apparatus, exposure apparatus, reticle transport method, and reticle processing method

Publications (1)

Publication Number Publication Date
US20110069288A1 true US20110069288A1 (en) 2011-03-24

Family

ID=38193252

Family Applications (2)

Application Number Title Priority Date Filing Date
US11/645,659 Active 2029-04-01 US7808616B2 (en) 2005-12-28 2006-12-27 Reticle transport apparatus, exposure apparatus, reticle transport method, and reticle processing method
US12/857,805 Abandoned US20110069288A1 (en) 2005-12-28 2010-08-17 Reticle Transport Apparatus, Exposure Apparatus, Reticle Transport Method, and Reticle Processing Method

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US11/645,659 Active 2029-04-01 US7808616B2 (en) 2005-12-28 2006-12-27 Reticle transport apparatus, exposure apparatus, reticle transport method, and reticle processing method

Country Status (1)

Country Link
US (2) US7808616B2 (en)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI448842B (en) * 2012-09-20 2014-08-11 Univ Nat Cheng Kung Pattern-transferring substrate apparatus and pattern-transferring substrate thereof
US10684559B2 (en) 2017-11-20 2020-06-16 Taiwan Semiconductor Manufacturing Co., Ltd. Apparatus and method for cleaning reticle stage

Families Citing this family (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
NL1036785A1 (en) 2008-04-18 2009-10-20 Asml Netherlands Bv Rapid exchange device for lithography reticles.
TW201206787A (en) * 2010-04-30 2012-02-16 Fortrend Engineering Corp Opener for extreme ultra violet lithography reticle pods
CN102789132B (en) * 2011-01-28 2014-07-16 家登精密工业股份有限公司 Extreme ultraviolet light photo mask storage delivery box having fixation structure
JP2013041947A (en) * 2011-08-12 2013-02-28 Canon Inc Lithographic device and article manufacturing method
USD702245S1 (en) * 2012-01-11 2014-04-08 Victor Susman Scanning frame
TWM496146U (en) * 2014-09-24 2015-02-21 Gudeng Prec Ind Co Ltd Extreme ultraviolet optical mask box having mark
US11914287B2 (en) * 2017-07-21 2024-02-27 Entegris, Inc. Container for holding and transporting reticles having a transparent window assembly
US11982937B2 (en) * 2020-05-14 2024-05-14 Gudeng Precision Industrial Co., Ltd. Reticle pod provided with optically identifiable marks and method for identifying the same

Citations (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6090176A (en) * 1997-03-18 2000-07-18 Kabushiki Kaisha Toshiba Sample transferring method and sample transfer supporting apparatus
US6153044A (en) * 1998-04-30 2000-11-28 Euv Llc Protection of lithographic components from particle contamination
US6239863B1 (en) * 1999-10-08 2001-05-29 Silicon Valley Group, Inc. Removable cover for protecting a reticle, system including and method of using the same
US6317479B1 (en) * 1996-05-17 2001-11-13 Canon Kabushiki Kaisha X-ray mask, and exposure method and apparatus using the same
US6327021B1 (en) * 1998-07-06 2001-12-04 Mitsubishi Denki Kabushiki Kaisha Mask inspection system and method of manufacturing semiconductor device
US6387602B1 (en) * 2000-02-15 2002-05-14 Silicon Valley Group, Inc. Apparatus and method of cleaning reticles for use in a lithography tool
US6452661B1 (en) * 1998-02-27 2002-09-17 Nikon Corporation Illumination system and exposure apparatus and method
US6619903B2 (en) * 2001-08-10 2003-09-16 Glenn M. Friedman System and method for reticle protection and transport
US6646720B2 (en) * 2001-09-21 2003-11-11 Intel Corporation Euv reticle carrier with removable pellicle
US20040090605A1 (en) * 2002-11-12 2004-05-13 Applid Materials Israel Ltd Advanced mask cleaning and handling
US6753945B2 (en) * 2002-03-01 2004-06-22 Asml Netherlands B.V. Transfer method for a mask or substrate, storage box, apparatus adapted for use in such method, and device manufacturing method including such a transfer method
US6826451B2 (en) * 2002-07-29 2004-11-30 Asml Holding N.V. Lithography tool having a vacuum reticle library coupled to a vacuum chamber
US20050081605A1 (en) * 2003-10-20 2005-04-21 Kyoung-Hwan Chin Vacuum leakage detecting device for use in semiconductor manufacturing system
US6906783B2 (en) * 2002-02-22 2005-06-14 Asml Holding N.V. System for using a two part cover for protecting a reticle
US6912043B2 (en) * 2003-01-09 2005-06-28 Asml Holding, N.V. Removable reticle window and support frame using magnetic force
US6984474B2 (en) * 2003-07-29 2006-01-10 Asml Holding N.V. Reticle barrier system for extreme ultra-violet lithography
US20060020485A1 (en) * 2004-07-20 2006-01-26 Schierholt Hans K System and method for service parts planning
WO2006028228A1 (en) * 2004-09-10 2006-03-16 Nippon Valqua Industries, Ltd. Glass substrate storage case, glass substrate exchange device, glass substrate management device, glass substrate distribution method, seal member, and seal structure using the seal member
US7057711B2 (en) * 2002-07-29 2006-06-06 Asml Holding N.V. Lithography tool having a vacuum reticle library coupled to a vacuum chamber
US7123344B2 (en) * 2003-09-29 2006-10-17 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7153612B2 (en) * 2001-03-01 2006-12-26 Asml Netherlands B.V. Mask handling method, and mask and device or apparatus comprising a gripper therefor, device manufacturing method and device manufactured thereby
US20070002516A1 (en) * 2005-06-30 2007-01-04 Canon Kabushiki Kaisha Container and method of transporting substrate using the same

Patent Citations (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6728332B2 (en) * 1996-05-17 2004-04-27 Canon Kabushiki Kaisha X-ray mask, and exposure method and apparatus using the same
US6317479B1 (en) * 1996-05-17 2001-11-13 Canon Kabushiki Kaisha X-ray mask, and exposure method and apparatus using the same
US6281510B1 (en) * 1997-03-18 2001-08-28 Kabushiki Kaisha Toshiba Sample transferring method and sample transfer supporting apparatus
US6090176A (en) * 1997-03-18 2000-07-18 Kabushiki Kaisha Toshiba Sample transferring method and sample transfer supporting apparatus
US6452661B1 (en) * 1998-02-27 2002-09-17 Nikon Corporation Illumination system and exposure apparatus and method
US6253464B1 (en) * 1998-04-30 2001-07-03 Euv Llc Method for protection of lithographic components from particle contamination
US6153044A (en) * 1998-04-30 2000-11-28 Euv Llc Protection of lithographic components from particle contamination
US6327021B1 (en) * 1998-07-06 2001-12-04 Mitsubishi Denki Kabushiki Kaisha Mask inspection system and method of manufacturing semiconductor device
US6239863B1 (en) * 1999-10-08 2001-05-29 Silicon Valley Group, Inc. Removable cover for protecting a reticle, system including and method of using the same
US6387602B1 (en) * 2000-02-15 2002-05-14 Silicon Valley Group, Inc. Apparatus and method of cleaning reticles for use in a lithography tool
US7153612B2 (en) * 2001-03-01 2006-12-26 Asml Netherlands B.V. Mask handling method, and mask and device or apparatus comprising a gripper therefor, device manufacturing method and device manufactured thereby
US6619903B2 (en) * 2001-08-10 2003-09-16 Glenn M. Friedman System and method for reticle protection and transport
US6991416B2 (en) * 2001-08-10 2006-01-31 Asml Holding N.V. System and method for reticle protection and transport
US6646720B2 (en) * 2001-09-21 2003-11-11 Intel Corporation Euv reticle carrier with removable pellicle
US6906783B2 (en) * 2002-02-22 2005-06-14 Asml Holding N.V. System for using a two part cover for protecting a reticle
US6753945B2 (en) * 2002-03-01 2004-06-22 Asml Netherlands B.V. Transfer method for a mask or substrate, storage box, apparatus adapted for use in such method, and device manufacturing method including such a transfer method
US6826451B2 (en) * 2002-07-29 2004-11-30 Asml Holding N.V. Lithography tool having a vacuum reticle library coupled to a vacuum chamber
US7057711B2 (en) * 2002-07-29 2006-06-06 Asml Holding N.V. Lithography tool having a vacuum reticle library coupled to a vacuum chamber
US20040090605A1 (en) * 2002-11-12 2004-05-13 Applid Materials Israel Ltd Advanced mask cleaning and handling
US6912043B2 (en) * 2003-01-09 2005-06-28 Asml Holding, N.V. Removable reticle window and support frame using magnetic force
US7042554B2 (en) * 2003-01-09 2006-05-09 Asml Holding N.V. Removable reticle window and support frame using magnetic force
US6984474B2 (en) * 2003-07-29 2006-01-10 Asml Holding N.V. Reticle barrier system for extreme ultra-violet lithography
US7123344B2 (en) * 2003-09-29 2006-10-17 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US20050081605A1 (en) * 2003-10-20 2005-04-21 Kyoung-Hwan Chin Vacuum leakage detecting device for use in semiconductor manufacturing system
US20060020485A1 (en) * 2004-07-20 2006-01-26 Schierholt Hans K System and method for service parts planning
WO2006028228A1 (en) * 2004-09-10 2006-03-16 Nippon Valqua Industries, Ltd. Glass substrate storage case, glass substrate exchange device, glass substrate management device, glass substrate distribution method, seal member, and seal structure using the seal member
US20080173560A1 (en) * 2004-09-10 2008-07-24 Toshiya Umeda Glass Substrate Storage Case, Glass Substrate Transfer Apparatus, Glass Substrate Management Apparatus, Glass Substrate Distribution Method , Sealing Member, And Sealing Structure
US20070002516A1 (en) * 2005-06-30 2007-01-04 Canon Kabushiki Kaisha Container and method of transporting substrate using the same

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI448842B (en) * 2012-09-20 2014-08-11 Univ Nat Cheng Kung Pattern-transferring substrate apparatus and pattern-transferring substrate thereof
US10684559B2 (en) 2017-11-20 2020-06-16 Taiwan Semiconductor Manufacturing Co., Ltd. Apparatus and method for cleaning reticle stage
TWI701520B (en) * 2017-11-20 2020-08-11 台灣積體電路製造股份有限公司 Apparatus for lithography, method and apparatus of cleaning electrostatic reticle holder
US11054756B2 (en) 2017-11-20 2021-07-06 Taiwan Semiconductor Manufacturing Co., Ltd. Apparatus and method for cleaning reticle stage

Also Published As

Publication number Publication date
US20070146681A1 (en) 2007-06-28
US7808616B2 (en) 2010-10-05

Similar Documents

Publication Publication Date Title
US7808616B2 (en) Reticle transport apparatus, exposure apparatus, reticle transport method, and reticle processing method
JP4710308B2 (en) Reticle conveying apparatus, exposure apparatus, and reticle conveying method
KR101435214B1 (en) Reticle carrier, exposure device, reticle carrying method, reticle processing method, device manufacturing method and reticle cover managing method
JP5418511B2 (en) Reticle protection apparatus and exposure apparatus
TWI589863B (en) Apparatus and methods for reticle handling in an euv reticle inspection tool
US6833903B2 (en) Inert gas purge method and apparatus, exposure apparatus, reticle stocker, reticle inspection apparatus, reticle transfer box, and device manufacturing method
JP2000349022A (en) Device for handling mask of projector for lithography
US20080024751A1 (en) Reticle holding member, reticle stage, exposure apparatus, projection-exposure method and device manufacturing method
JPWO2002021583A1 (en) Exposure apparatus and device manufacturing method
US20040117055A1 (en) Configuration and method for detecting defects on a substrate in a processing tool
KR20210010754A (en) method for managing Extreme Ultraviolet light reticle and manufacturing method of semiconductor device including the same
JP6448491B2 (en) Particle measurement mask
JP2006319163A (en) Euv exposure device
JP2004063934A (en) Storage device, aligner, cleaning processing method and exposure method
JP3167089B2 (en) Exposure apparatus and method
JPH1020478A (en) Aligner
TW548716B (en) Reticle protection case and aligner using the same
JPWO2002093626A1 (en) Exposure method and apparatus, and substrate transfer method and apparatus
JPH0473775B2 (en)
JPH08330217A (en) Cleaning device
JP2021167862A (en) Exposure device, and method for manufacturing article
JP2008147281A (en) Inspection device, substrate transfer apparatus and exposure apparatus

Legal Events

Date Code Title Description
STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION