US11824026B2 - Connector structure and method of forming same - Google Patents

Connector structure and method of forming same Download PDF

Info

Publication number
US11824026B2
US11824026B2 US17/113,480 US202017113480A US11824026B2 US 11824026 B2 US11824026 B2 US 11824026B2 US 202017113480 A US202017113480 A US 202017113480A US 11824026 B2 US11824026 B2 US 11824026B2
Authority
US
United States
Prior art keywords
width
layer
passivation layer
over
connector
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active, expires
Application number
US17/113,480
Other versions
US20210118833A1 (en
Inventor
Chen-Shien Chen
Sheng-Yu Wu
Mirng-Ji Lii
Chita Chuang
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority to US17/113,480 priority Critical patent/US11824026B2/en
Publication of US20210118833A1 publication Critical patent/US20210118833A1/en
Application granted granted Critical
Publication of US11824026B2 publication Critical patent/US11824026B2/en
Active legal-status Critical Current
Adjusted expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/11Manufacturing methods
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/03Manufacturing methods
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L24/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L24/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L24/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/03Manufacturing methods
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/03Manufacturing methods
    • H01L2224/034Manufacturing methods by blanket deposition of the material of the bonding area
    • H01L2224/03444Manufacturing methods by blanket deposition of the material of the bonding area in gaseous form
    • H01L2224/0345Physical vapour deposition [PVD], e.g. evaporation, or sputtering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/03Manufacturing methods
    • H01L2224/034Manufacturing methods by blanket deposition of the material of the bonding area
    • H01L2224/03444Manufacturing methods by blanket deposition of the material of the bonding area in gaseous form
    • H01L2224/03452Chemical vapour deposition [CVD], e.g. laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/03Manufacturing methods
    • H01L2224/034Manufacturing methods by blanket deposition of the material of the bonding area
    • H01L2224/0346Plating
    • H01L2224/03462Electroplating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/03Manufacturing methods
    • H01L2224/034Manufacturing methods by blanket deposition of the material of the bonding area
    • H01L2224/0346Plating
    • H01L2224/03464Electroless plating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/03Manufacturing methods
    • H01L2224/036Manufacturing methods by patterning a pre-deposited material
    • H01L2224/0361Physical or chemical etching
    • H01L2224/03614Physical or chemical etching by chemical means only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/03Manufacturing methods
    • H01L2224/039Methods of manufacturing bonding areas involving a specific sequence of method steps
    • H01L2224/03912Methods of manufacturing bonding areas involving a specific sequence of method steps the bump being used as a mask for patterning the bonding area
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/03Manufacturing methods
    • H01L2224/039Methods of manufacturing bonding areas involving a specific sequence of method steps
    • H01L2224/03916Methods of manufacturing bonding areas involving a specific sequence of method steps a passivation layer being used as a mask for patterning the bonding area
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/0401Bonding areas specifically adapted for bump connectors, e.g. under bump metallisation [UBM]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05075Plural internal layers
    • H01L2224/0508Plural internal layers being stacked
    • H01L2224/05082Two-layer arrangements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05099Material
    • H01L2224/051Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05163Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than 1550°C
    • H01L2224/05166Titanium [Ti] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05099Material
    • H01L2224/05186Material with a principal constituent of the material being a non metallic, non metalloid inorganic material
    • H01L2224/05187Ceramics, e.g. crystalline carbides, nitrides or oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/0555Shape
    • H01L2224/05552Shape in top view
    • H01L2224/05553Shape in top view being rectangular
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/056Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05617Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C
    • H01L2224/05624Aluminium [Al] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/056Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05638Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/05639Silver [Ag] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/056Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05638Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/05644Gold [Au] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/056Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05638Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/05647Copper [Cu] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/056Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05638Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/05655Nickel [Ni] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/056Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05663Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than 1550°C
    • H01L2224/05684Tungsten [W] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/11Manufacturing methods
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/11Manufacturing methods
    • H01L2224/113Manufacturing methods by local deposition of the material of the bump connector
    • H01L2224/1131Manufacturing methods by local deposition of the material of the bump connector in liquid form
    • H01L2224/1132Screen printing, i.e. using a stencil
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/11Manufacturing methods
    • H01L2224/113Manufacturing methods by local deposition of the material of the bump connector
    • H01L2224/1133Manufacturing methods by local deposition of the material of the bump connector in solid form
    • H01L2224/11334Manufacturing methods by local deposition of the material of the bump connector in solid form using preformed bumps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/11Manufacturing methods
    • H01L2224/114Manufacturing methods by blanket deposition of the material of the bump connector
    • H01L2224/1143Manufacturing methods by blanket deposition of the material of the bump connector in solid form
    • H01L2224/11436Lamination of a preform, e.g. foil, sheet or layer
    • H01L2224/1144Lamination of a preform, e.g. foil, sheet or layer by transfer printing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/11Manufacturing methods
    • H01L2224/114Manufacturing methods by blanket deposition of the material of the bump connector
    • H01L2224/11444Manufacturing methods by blanket deposition of the material of the bump connector in gaseous form
    • H01L2224/1145Physical vapour deposition [PVD], e.g. evaporation, or sputtering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/11Manufacturing methods
    • H01L2224/114Manufacturing methods by blanket deposition of the material of the bump connector
    • H01L2224/1146Plating
    • H01L2224/11462Electroplating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/11Manufacturing methods
    • H01L2224/114Manufacturing methods by blanket deposition of the material of the bump connector
    • H01L2224/1146Plating
    • H01L2224/11464Electroless plating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/11Manufacturing methods
    • H01L2224/1147Manufacturing methods using a lift-off mask
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/11Manufacturing methods
    • H01L2224/119Methods of manufacturing bump connectors involving a specific sequence of method steps
    • H01L2224/11901Methods of manufacturing bump connectors involving a specific sequence of method steps with repetition of the same manufacturing step
    • H01L2224/11902Multiple masking steps
    • H01L2224/11906Multiple masking steps with modification of the same mask
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13005Structure
    • H01L2224/13007Bump connector smaller than the underlying bonding area, e.g. than the under bump metallisation [UBM]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/1301Shape
    • H01L2224/13012Shape in top view
    • H01L2224/13013Shape in top view being rectangular or square
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/1302Disposition
    • H01L2224/13021Disposition the bump connector being disposed in a recess of the surface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13075Plural core members
    • H01L2224/1308Plural core members being stacked
    • H01L2224/13082Two-layer arrangements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13099Material
    • H01L2224/131Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13099Material
    • H01L2224/131Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/13101Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of less than 400°C
    • H01L2224/13109Indium [In] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13099Material
    • H01L2224/131Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/13101Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of less than 400°C
    • H01L2224/13111Tin [Sn] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13099Material
    • H01L2224/131Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/13117Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C
    • H01L2224/13124Aluminium [Al] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13099Material
    • H01L2224/131Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/13138Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/13139Silver [Ag] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13099Material
    • H01L2224/131Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/13138Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/13144Gold [Au] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13099Material
    • H01L2224/131Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/13138Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/13147Copper [Cu] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13099Material
    • H01L2224/131Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/13138Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/13155Nickel [Ni] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13099Material
    • H01L2224/131Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/13163Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than 1550°C
    • H01L2224/13164Palladium [Pd] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/14Structure, shape, material or disposition of the bump connectors prior to the connecting process of a plurality of bump connectors
    • H01L2224/141Disposition
    • H01L2224/1412Layout
    • H01L2224/1413Square or rectangular array
    • H01L2224/14131Square or rectangular array being uniform, i.e. having a uniform pitch across the array
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • H01L2224/1601Structure
    • H01L2224/16012Structure relative to the bonding area, e.g. bond pad
    • H01L2224/16014Structure relative to the bonding area, e.g. bond pad the bump connector being smaller than the bonding area, e.g. bond pad
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • H01L2224/161Disposition
    • H01L2224/16135Disposition the bump connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip
    • H01L2224/16145Disposition the bump connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • H01L2224/161Disposition
    • H01L2224/16151Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/16221Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/16225Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • H01L2224/16227Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation the bump connector connecting to a bond pad of the item
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • H01L2224/161Disposition
    • H01L2224/16151Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/16221Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/16245Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being metallic
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/81Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector
    • H01L2224/8119Arrangement of the bump connectors prior to mounting
    • H01L2224/81191Arrangement of the bump connectors prior to mounting wherein the bump connectors are disposed only on the semiconductor or solid-state body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/81Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector
    • H01L2224/818Bonding techniques
    • H01L2224/81801Soldering or alloying
    • H01L2224/81805Soldering or alloying involving forming a eutectic alloy at the bonding interface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/81Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector
    • H01L2224/818Bonding techniques
    • H01L2224/81801Soldering or alloying
    • H01L2224/81815Reflow soldering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/81Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector
    • H01L2224/818Bonding techniques
    • H01L2224/81801Soldering or alloying
    • H01L2224/8182Diffusion bonding
    • H01L2224/81825Solid-liquid interdiffusion
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/81Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector
    • H01L2224/818Bonding techniques
    • H01L2224/81801Soldering or alloying
    • H01L2224/8182Diffusion bonding
    • H01L2224/8183Solid-solid interdiffusion
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/93Batch processes
    • H01L2224/94Batch processes at wafer-level, i.e. with connecting carried out on a wafer comprising a plurality of undiced individual devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L24/81Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/93Batch processes
    • H01L24/94Batch processes at wafer-level, i.e. with connecting carried out on a wafer comprising a plurality of undiced individual devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/00012Relevant to the scope of the group, the symbol of which is combined with the symbol of this group
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/00014Technical content checked by a classifier the subject-matter covered by the group, the symbol of which is combined with the symbol of this group, being disclosed without further technical details
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/013Alloys
    • H01L2924/014Solder alloys
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/049Nitrides composed of metals from groups of the periodic table
    • H01L2924/04955th Group
    • H01L2924/04953TaN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/30Technical effects
    • H01L2924/35Mechanical effects
    • H01L2924/351Thermal stress
    • H01L2924/3512Cracking
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/30Technical effects
    • H01L2924/38Effects and problems related to the device integration
    • H01L2924/381Pitch distance

Definitions

  • Modern integrated circuits are made up of literally millions of active devices such as transistors and capacitors. These devices are initially isolated from each other, but are later interconnected together to form functional circuits.
  • Typical interconnect structures include lateral interconnections, such as metal lines (wirings), and vertical interconnections, such as vias and contacts. Interconnections are increasingly determining the limits of performance and the density of modern integrated circuits.
  • bond pads are formed and exposed on the surface of the respective chip. Electrical connections are made through bond pads to connect the chip to a package substrate or another die. Bond pads can be used for wire bonding or flip-chip bonding.
  • Flip-chip packaging utilizes bumps to establish electrical contact between a chip's input/output (I/O) pads and the substrate or lead frame of the package.
  • a bump actually contains the bump itself and an “under bump metallurgy” (UBM) located between the bump and an I/O pad.
  • UBM under bump metallurgy
  • bumps of smaller size are also used to establish electrical contact between the chip's I/O pads and I/O pads of other chips, such as small-scale chips or discrete devices.
  • FIGS. 1 A- 7 B are top and cross-sectional views of various processing steps during fabrication of connector structures on integrated circuit dies in accordance with some embodiments.
  • FIGS. 8 A and 8 B are top and cross-sectional views a plurality of discrete devices coupled to an integrated circuit die using connector structures in accordance with some embodiments.
  • FIG. 9 is a flow diagram illustrating a method of forming connector structures on integrated circuit dies in accordance with some embodiments.
  • first and second features are formed in direct contact
  • additional features may be formed between the first and second features, such that the first and second features may not be in direct contact
  • present disclosure may repeat reference numerals and/or letters in the various examples. This repetition is for the purpose of simplicity and clarity and does not in itself dictate a relationship between the various embodiments and/or configurations discussed.
  • spatially relative terms such as “beneath,” “below,” “lower,” “above,” “upper” and the like, may be used herein for ease of description to describe one element or feature's relationship to another element(s) or feature(s) as illustrated in the figures.
  • the spatially relative terms are intended to encompass different orientations of the device in use or operation in addition to the orientation depicted in the figures.
  • the apparatus may be otherwise oriented (rotated 90 degrees or at other orientations) and the spatially relative descriptors used herein may likewise be interpreted accordingly.
  • FIGS. 1 A- 7 B are top and cross-sectional views of various processing steps during fabrication of connector structures on integrated circuit dies in accordance with some embodiments, wherein an “A” figure represents a top view and a “B” figure represents a cross-sectional view along the B-B′ line of the respective “A” figure.
  • the workpiece 100 comprises a substrate 105 , one or more active and/or passive devices 107 on the substrate 105 , and one or more metallization layers 109 over the substrate 105 and the one or more active and/or passive devices 107 .
  • the substrate 105 may be formed of silicon, although it may also be formed of other group III, group IV, and/or group V elements, such as silicon, germanium, gallium, arsenic, and combinations thereof.
  • the substrate 105 may also be in the form of silicon-on-insulator (SOI).
  • SOI substrate may comprise a layer of a semiconductor material (e.g., silicon, germanium and/or the like) formed over an insulator layer (e.g., buried oxide and/or the like), which is formed on a silicon substrate.
  • other substrates that may be used include multi-layered substrates, gradient substrates, hybrid orientation substrates, any combinations thereof and/or the like.
  • the substrate 105 may comprise a dielectric material such as silicon oxide, aluminum oxide, the like, or a combination thereof.
  • the one or more active and/or passive devices 107 may include various n-type metal-oxide semiconductor (NMOS) and/or p-type metal-oxide semiconductor (PMOS) devices such as transistors, capacitors, resistors, diodes, photo-diodes, fuses and/or the like.
  • the one or more metallization layers 109 may include an inter-layer dielectric (ILD)/inter-metal dielectric layers (IMDs) (not individually shown) formed over the substrate 105 .
  • ILD inter-layer dielectric
  • IMDs inter-metal dielectric layers
  • the ILD/IMDs may be formed, for example, of a low-K dielectric material, such as phosphosilicate glass (PSG), borophosphosilicate glass (BPSG), FSG, SiO x C y , Spin-On-Glass, Spin-On-Polymers, silicon carbon material, compounds thereof, composites thereof, combinations thereof, or the like, by any suitable method known in the art, such as a spin-on coating method, chemical vapor deposition (CVD), plasma enhanced CVD (PECVD), the like, or a combination thereof.
  • interconnect structures such as conductive lines and vias may be formed in the ILD/IMDs using, for example, a damascene process, a dual damascene process, or the like.
  • interconnect structures may comprise copper, a copper alloy, silver, gold, tungsten, tantalum, aluminum, or the like. In some embodiments, the interconnect structures may provide electrical connections between the one or more active and/or passive devices 107 formed on the substrate 105 .
  • a plurality of conductive features 111 are formed over the one or more metallization layers 109 .
  • the conductive features 111 are conductive lines and/or vias of the topmost of the one or more metallization layers 109 as illustrated in FIG. 1 B .
  • the conductive features 111 may be contact pads formed on the one or more metallization layers 109 and may be electrically coupled to the one or more active and/or passive devices 107 through various interconnect structures of the one or more metallization layers 109 .
  • the contact pads may comprise a conductive material such as aluminum, copper, tungsten, silver, gold, the like, or a combination thereof.
  • a conductive material may be formed over the one or more metallization layers 109 using, for example, physical vapor deposition (PVD), atomic layer deposition (ALD), electro-chemical plating, electroless plating, the like, or a combination thereof. Subsequently, the conductive material is patterned to form the contact pads. In some embodiments, the conductive material may be patterned using suitable photolithography and etching techniques. As described below in greater detail, connector structures will be formed on and electrically coupled to the conductive features 111 .
  • a first passivation layer 113 is formed over the substrate 105 and the conductive features 111 .
  • the first passivation layer 113 may comprise one or more layers of photo-patternable dielectric materials such as polybenzoxazole (PBO), polyimide (PI), benzocyclobutene (BCB), or the like, and may be formed using a spin-on coating process, or the like.
  • photo-patternable dielectric materials may be easily patterned using similar photolithography methods as a photoresist material.
  • the first passivation layer 113 may comprise one or more layers of non-photo-patternable dielectric materials such as silicon nitride, silicon oxide, phosphosilicate glass (PSG), borosilicate glass (BSG), boron-doped phosphosilicate glass (BPSG), or the like, and may be formed using CVD, PVD, ALD, a spin-on coating process, the like, or a combination thereof.
  • non-photo-patternable dielectric materials such as silicon nitride, silicon oxide, phosphosilicate glass (PSG), borosilicate glass (BSG), boron-doped phosphosilicate glass (BPSG), or the like, and may be formed using CVD, PVD, ALD, a spin-on coating process, the like, or a combination thereof.
  • Openings are formed in the first passivation layer 113 to expose the conductive features 111 .
  • the first passivation layer 113 may be patterned using similar photolithography methods as a photoresist material.
  • a photoresist material (not shown) is formed over the first passivation layer 113 . The photoresist material is subsequently irradiated (exposed) and developed to remove a portion of the photoresist material.
  • first passivation layer 113 is removed using, for example, a suitable etching process to form the openings.
  • the first passivation layer 113 is etched using, for example, buffered hydrofluoric acid (HF).
  • HF buffered hydrofluoric acid
  • the first passivation layer 113 is etched using, for example, hot phosphoric acid (H 3 PO 4 ).
  • the photoresist material may be removed using, for example, an ashing process followed by a wet clean process.
  • a thickness of the first passivation layer 113 is between about 0.6 ⁇ m and about 1.2 ⁇ m.
  • a protective layer 115 is formed over the first passivation layer 113 and in the openings of the first passivation layer 113 .
  • the protective layer 115 protects the conductive features 111 from harmful processes such as, for example, oxidation.
  • the protective layer 115 may comprise metal nitrides such as, for example, TaN, and may be formed using CVD, PVD, ALD, the like, or a combination thereof.
  • a thickness of the protective layer 115 is between about 0.6 ⁇ m and about 0.2 ⁇ m.
  • the protective layer 115 may be patterned to avoid shorting of the conductive features 111 .
  • a portion of the protective layer 115 over the conductive feature 111 as illustrated in FIG. 1 B is not in electrical contact with other portions of the protective layer 115 formed over other conductive features 111 illustrated in FIG. 1 A . Accordingly, the patterned protective layer 115 does not couple the conductive features 111 to one another electrically.
  • the protective layer 115 may be patterned using suitable lithography methods.
  • a second passivation layer 117 is formed over the protective layer 115 and patterned to expose portions of the protective layer 115 formed on bottoms and sidewalls of the openings in the first passivation layer 113 .
  • the second passivation layer 117 may be formed and patterned using similar materials and methods as the first passivation layer 113 and the description is not repeated herein. As illustrated in FIGS. 1 A and 1 B , openings in the first passivation layer 113 and openings in the second passivation layer 117 form combined openings 119 , which are partially lined by the protective layer 115 .
  • the openings 119 may have a height H 1 between about 3 ⁇ m and about 4 ⁇ m and a width W 1 between about 20 ⁇ m and about 30 ⁇ m.
  • sidewalls of the openings in the second passivation layer 117 are aligned with corresponding sidewalls of the openings in the first passivation layer 113 .
  • sidewalls of the openings in the second passivation layer 117 may be offset with respect to the corresponding sidewalls of the openings in the first passivation layer 113 .
  • exposed surfaces of the protective layer 115 and the second passivation layer 117 are cleaned before performing subsequent processing steps on the workpiece 100 .
  • the surface cleaning process may include methods with direct and non-direct contact with the exposed surfaces of the protective layer 115 and the second passivation layer 117 , such as RCA clean, cryogenic cleaning, mechanical wiping and scrubbing, etching in a gas, plasma or liquid, ultrasonic and megasonic cleaning, laser cleaning, and the like.
  • a seed layer 201 is formed over the second passivation layer 117 and on bottoms and sidewalls of the openings 119 .
  • the seed layer 201 may comprise one or more layers of copper, titanium, nickel, gold, the like, or a combination thereof, and may be formed using an electro-chemical plating process, ALD, PVD, sputtering, the like, or a combination thereof.
  • the seed layer 201 may comprise a layer of copper having a thickness between about 0.05 ⁇ m and about 0.5 ⁇ m formed over a layer of titanium having a thickness between about 0.05 ⁇ m and about 0.5 ⁇ m.
  • connectors are formed on and are electrically coupled to the seed layer 201 in the openings 119 .
  • a photoresist layer 301 is formed over the seed layer 201 and is patterned to form openings 303 within corresponding openings 119 .
  • other suitable masking materials may be used instead of the photoresist layer 301 .
  • the photoresist layer 301 is formed using a spin-on coating method, or the like. Due to uneven topography, a thickness of the photoresist layer 301 changes depending on a location.
  • the photoresist layer 301 has a first thickness T 1 over the second passivation layer 117 and a second thickness T 2 within the openings 119 such that the second thickness T 2 is greater than the first thickness T 1 .
  • the first thickness T 1 is between about 0.5 ⁇ m and about 2 ⁇ m or more
  • the second thickness T 2 is between about 4.5 ⁇ m and about 6 ⁇ m or more.
  • connectors 401 are formed in the openings 303 of the photoresist layer 301 .
  • the connectors 401 may include conductive materials such as solder, copper, aluminum, gold, nickel, silver, palladium, tin, indium, the like, or a combination thereof.
  • the connectors 401 may be formed by initially forming a layer of solder through commonly used methods such as evaporation, electroplating, printing, solder transfer, ball placement, or the like.
  • the connectors 401 may be pillars formed by a sputtering, printing, electro-chemical plating, electroless plating, PVD, or the like.
  • the pillars may be solder free and have substantially vertical sidewalls.
  • the connectors 401 have first portions 401 A formed of nickel and second portions 401 B formed of indium.
  • the connectors 401 have a height H 2 between about 0.2 ⁇ m and about 2 ⁇ m, and a width W 2 between about 2 ⁇ m and about 5 ⁇ m.
  • the connectors 401 are used to mechanically and electrically bond discrete devices (such as discrete devices 801 illustrated in FIGS. 8 A and 8 B ) to integrated circuit dies formed from the workpiece 100 .
  • portions of the photoresist layer 301 deposited over the second passivation layer 117 are removed and unremoved portions 301 u of the photoresist layer 301 remain in the openings 119 .
  • the unremoved portions 301 u of the photoresist layer 301 protect portions of the seed layer 201 in the openings 119 from a subsequent etching process.
  • the photoresist layer 301 is partially removed using a dry etching process such as, for example, a reactive ion etching (RIE) process, or the like.
  • RIE reactive ion etching
  • other photoresist stripping methods may be also used.
  • the dry etching process may be performed for a specific duration such that a layer of thickness T1 (see FIG. 3 B ) is removed from the photoresist layer 301 . Accordingly, the unremoved portions 301 u of the photoresist layer 301 having a thickness T 3 equal to T 2 -T 1 remain in the openings 119 .
  • the height H 2 of the connectors 401 are greater than the thickness T 3 of the unremoved portions 301 u of the photoresist layer 301 . In other embodiments, the height H 2 of the connectors 401 may be less than or equal to the thickness T 3 of the unremoved portions 301 u of the photoresist layer 301 .
  • the unremoved portions 301 u of the photoresist layer 301 have a width W 3 between about 10 ⁇ m and about 20 ⁇ m or more.
  • portions of the seed layer 201 not protected by the unremoved portions 301 u of the photoresist layer 301 are removed.
  • unprotected portions of the seed layer 201 are removed using a suitable etching process.
  • the seed layer 201 may be etched using, for example, a mixture of FeCl 3 , HCl, and H 2 O (for etching copper) and a mixture of H 2 O 2 , HF, and H 2 O (for etching titanium).
  • the seed layer 201 may be overetched and undercuts 601 may be formed below the unremoved portions 301 u of the photoresist layer 301 near edges 301 e of the unremoved portions 301 u of the photoresist layer 301 .
  • the undercuts 601 may have a width W 4 between about 0.5 ⁇ m and 1 ⁇ m.
  • the width W 3 of the unremoved portions 301 u of the photoresist layer 301 may be chosen to be larger than or equal to a sum of the width W 2 of the connectors 401 and twice the width W 4 of the undercuts 601 . As illustrated in FIG. 6 B by choosing such dimensions, undercuts are not formed between the connectors 401 and corresponding conductive features 111 .
  • the unremoved portions 301 u of the photoresist layer 301 are removed.
  • the unremoved portions 301 u of the photoresist layer 301 are removed using any suitable stripping process such as etching, ashing, or the like.
  • the remaining portions of the seed layer 201 act as underbump metallizations (UBMs) for corresponding connectors 401 and may be also referred to as UBMs 201 .
  • UBMs underbump metallizations
  • the workpiece 100 is diced along the scribe lines 103 to form individual integrated circuit dies 701 .
  • the workpiece 100 may be diced using, for example, etching, sawing, laser ablation, the like, or a combination thereof. Subsequently, each of the integrated circuit dies 701 may be tested to identify known good dies (KGDs) for further processing.
  • the integrated circuit dies 701 have a first width W 5 of about 32 mm and a second width W 6 of about 26 mm. As described below in greater detail, a plurality of discrete devices 801 are bonded to each of the integrated circuit dies 701 using corresponding connectors 401 .
  • FIGS. 8 A and 8 B are top and cross-sectional views a plurality of discrete devices 801 coupled to an integrated circuit die 701 using connectors 401 in accordance with some embodiments.
  • the discrete devices 801 comprise contact pads 803 configured to be bonded to respective connectors 401 .
  • the discrete devices 801 may be formed on a wafer, which is subsequently singulated into individual discrete devices.
  • the contact pads 803 may comprise a conductive material such as aluminum, copper, tungsten, silver, gold, the like, or a combination thereof and may be formed over using, for example, physical vapor deposition (PVD), atomic layer deposition (ALD), electro-chemical plating, electroless plating, the like, or a combination thereof.
  • PVD physical vapor deposition
  • ALD atomic layer deposition
  • electro-chemical plating electroless plating, the like, or a combination thereof.
  • the discrete devices 801 may be discrete components such as light-emitting diodes (LEDs), photo-sensitive diodes, micro drivers or other micro electrical components.
  • the discrete devices 801 have a width W 7 between about 10 ⁇ m and about 20 ⁇ m. In some embodiments, the width W 7 of the discrete devices 801 may be greater than the width W 2 of the connectors 401 .
  • the contact pads 803 and corresponding connectors 401 may be bonded through bonding mechanisms such as a reflow process, eutectic alloy bonding, transient liquid phase bonding, solid state diffusion bonding, or the like.
  • the discrete devices 801 are bonded to the integrated circuit dies 701 after dicing the workpiece 100 into the integrated circuit dies 701 .
  • the discrete devices 801 may be bonded to the integrated circuit dies 701 before dicing the workpiece 100 into the integrated circuit dies 701 .
  • further manufacturing steps may be performed on the integrated circuit dies 701 .
  • the further manufacturing steps form an LED display.
  • the further manufacturing steps may include formation of a contact layer over the LEDs, formation of one or more redistribution layers (RDLs) on a front side and/or a backside of the integrated circuit dies 701 , formation of UBMs over the RLDsand formation of connectors over the UBMs.
  • the integrated circuit dies 701 may be attached to a package substrate or a printed circuit board using the connectors.
  • FIG. 9 is a flow diagram illustrating a method 900 of forming connector structures on integrated circuit dies in accordance with some embodiments.
  • the method 900 starts with step 901 , where one or more patterned passivation layers (such as the first passivation layer 113 and the second passivation layer 117 ) are formed over a workpiece (such as the workpiece 100 ) as described above with reference to FIGS. 1 A and 1 B .
  • Fist openings (such as the openings) in the one or more passivation layer expose conductive features (such as the conductive features 111 ) of the workpiece as described above with reference to FIGS. 1 A and 1 B .
  • a seed layer (such as the seed layer 201 ) is formed on bottoms and sidewalls of the first openings as described above with reference to FIGS. 2 A and 2 B .
  • a patterned photoresist layer (such as the photoresist layer 301 ) is formed over the one or more patterned passivation layers and in the first openings, with the patterned photoresist layer having second openings exposing portions of the seed layer as described above with reference to FIGS. 3 A and 3 B .
  • connectors (such as the connectors 401 ) are formed in the second openings as described above with reference to FIGS. 4 A and 4 B .
  • the pattered photoresist layer is partially removed such that portions of the pattered photoresist layer (such as the unremoved portions 301 u of the photoresist layer 301 ) remain in the first openings as described above with reference to FIGS. 5 A and 5 B .
  • the seed layer is etched using the unremoved portions of the patterned photoresist layer as an etch mask as described above with reference to FIGS. 6 A and 6 B .
  • the patterned photoresist layer is fully removed as described above with reference to FIGS. 7 A and 7 B .
  • the workpiece is singulated into individual integrated circuit dies (such as the integrated circuit dies 701 ) as described above with reference to FIGS. 7 A and 7 B .
  • discrete devices such as the discrete devices 801
  • FIGS. 8 A and 8 B are bonded to the integrated circuit dies using corresponding connectors as described above with reference to FIGS. 8 A and 8 B .
  • Illustrated embodiments described herein provide various advantages. For example, various embodiments described above allow for forming interconnect structures on integrated circuit dies such that undercut formation between connector structures and corresponding contact pads of integrated circuit dies is reduced or avoided. By controlling undercut formation, failure of connector structures such as formation cracks between connector structures and corresponding contact pads of integrated circuit dies is also reduced or avoided. Various embodiments described above are particularly advantageous for forming connector structures having a fine pitch and size, since connector structures having a fine pitch and size are more prone to failure due to undercut formation.
  • a method includes forming a first patterned passivation layer on a workpiece, the first patterned passivation layer having a first opening exposing a conductive feature of the workpiece.
  • a seed layer is formed over the first patterned passivation layer and in the first opening.
  • a patterned mask layer is formed over the seed layer, the patterned mask layer having a second opening exposing the seed layer, the second opening overlapping with the first opening.
  • a connector is formed in the second opening.
  • the patterned mask layer is partially removed, an unremoved portion of the patterned mask layer remaining in the first opening.
  • the seed layer is patterned using the unremoved portion of the patterned mask layer as a mask.
  • a method includes depositing a first patterned passivation layer having a first opening on a workpiece, the first opening exposing a conductive feature of the workpiece.
  • a protective layer is deposited over the first patterned passivation layer, and along a bottom and sidewalls of the first opening.
  • a second patterned passivation layer having a second opening is deposited over the first patterned passivation layer, the second opening exposing the first opening, the second opening and the first opening forming a combined opening.
  • a seed layer is deposited over the second patterned passivation layer and in the combined opening.
  • a patterned mask layer having a third opening is deposited over the second patterned passivation layer and in the combined opening, the third opening exposing the seed layer, the third opening being within the combined opening.
  • a conductive material is deposited in the third opening to form a connector in the third opening.
  • the patterned mask layer is etched until a topmost surface of the seed layer is exposed, at least a portion of the seed layer in the combined opening being protected by a remaining portion of the patterned mask layer. Exposed portions of the seed layer are etched using the remaining portion of the patterned mask layer as an etch mask. The remaining portion of the patterned mask layer is etched.
  • a structure in accordance with yet another embodiment, includes an integrated circuit die having a first passivation layer, the first passivation layer having a first opening therein, and a conductive feature on the integrated circuit die, at least a portion of the conductive feature being exposed through the first opening.
  • the structure further includes a seed layer on the conductive feature, and a connector on the seed layer, a width of the seed layer being greater than a width of the connector.

Abstract

Connector structures and methods of forming the same are provided. A method includes forming a first patterned passivation layer on a workpiece, the first patterned passivation layer having a first opening exposing a conductive feature of the workpiece. A seed layer is formed over the first patterned passivation layer and in the first opening. A patterned mask layer is formed over the seed layer, the patterned mask layer having a second opening exposing the seed layer, the second opening overlapping with the first opening. A connector is formed in the second opening. The patterned mask layer is partially removed, an unremoved portion of the patterned mask layer remaining in the first opening. The seed layer is patterned using the unremoved portion of the patterned mask layer as a mask.

Description

PRIORITY CLAIM AND CROSS-REFERENCE
This application is a continuation of U.S. patent application Ser. No. 16/414,888, entitled “Connector Structure and Method of Forming Same,” filed on May 17, 2019, which is a continuation of U.S. patent application Ser. No. 15/589,315, entitled “Connector Structure and Method of Forming Same,” filed on May 8, 2017, now U.S. Pat. No. 10,388,620 issued Aug. 20, 2019, which is a divisional of U.S. patent application Ser. No. 14/986,154, entitled “Connector Structure and Method of Forming Same,” filed on Dec. 31, 2015, now U.S. Pat. No. 9,646,943 issued May 9, 2017, which applications are incorporated herein by reference.
BACKGROUND
Modern integrated circuits are made up of literally millions of active devices such as transistors and capacitors. These devices are initially isolated from each other, but are later interconnected together to form functional circuits. Typical interconnect structures include lateral interconnections, such as metal lines (wirings), and vertical interconnections, such as vias and contacts. Interconnections are increasingly determining the limits of performance and the density of modern integrated circuits. On top of the interconnect structures, bond pads are formed and exposed on the surface of the respective chip. Electrical connections are made through bond pads to connect the chip to a package substrate or another die. Bond pads can be used for wire bonding or flip-chip bonding. Flip-chip packaging utilizes bumps to establish electrical contact between a chip's input/output (I/O) pads and the substrate or lead frame of the package. Structurally, a bump actually contains the bump itself and an “under bump metallurgy” (UBM) located between the bump and an I/O pad. During some packaging processes, bumps of smaller size are also used to establish electrical contact between the chip's I/O pads and I/O pads of other chips, such as small-scale chips or discrete devices.
BRIEF DESCRIPTION OF THE DRAWINGS
Aspects of the present disclosure are best understood from the following detailed description when read with the accompanying figures. It is noted that, in accordance with the standard practice in the industry, various features are not drawn to scale. In fact, the dimensions of the various features may be arbitrarily increased or reduced for clarity of discussion.
FIGS. 1A-7B are top and cross-sectional views of various processing steps during fabrication of connector structures on integrated circuit dies in accordance with some embodiments.
FIGS. 8A and 8B are top and cross-sectional views a plurality of discrete devices coupled to an integrated circuit die using connector structures in accordance with some embodiments.
FIG. 9 is a flow diagram illustrating a method of forming connector structures on integrated circuit dies in accordance with some embodiments.
DETAILED DESCRIPTION
The following disclosure provides many different embodiments, or examples, for implementing different features of the invention. Specific examples of components and arrangements are described below to simplify the present disclosure. These are, of course, merely examples and are not intended to be limiting. For example, the formation of a first feature over or on a second feature in the description that follows may include embodiments in which the first and second features are formed in direct contact, and may also include embodiments in which additional features may be formed between the first and second features, such that the first and second features may not be in direct contact. In addition, the present disclosure may repeat reference numerals and/or letters in the various examples. This repetition is for the purpose of simplicity and clarity and does not in itself dictate a relationship between the various embodiments and/or configurations discussed.
Further, spatially relative terms, such as “beneath,” “below,” “lower,” “above,” “upper” and the like, may be used herein for ease of description to describe one element or feature's relationship to another element(s) or feature(s) as illustrated in the figures. The spatially relative terms are intended to encompass different orientations of the device in use or operation in addition to the orientation depicted in the figures. The apparatus may be otherwise oriented (rotated 90 degrees or at other orientations) and the spatially relative descriptors used herein may likewise be interpreted accordingly.
Description is made with respect to various embodiments in a specific context, namely connector structures of integrated circuit dies and methods of forming the same. In addition, methods of bonding one or more discrete devices to integrated circuit dies using connector structures are also provided below. Before addressing the illustrated embodiments specifically, certain advantageous features and aspects of the disclosed embodiments will be addressed generally. Various embodiments described below allow for minimizing or eliminating undercut formation between connector structures and corresponding contact pads of integrated circuit dies. By controlling undercut formation, failures of connector structures such as formation cracks between connector structures and corresponding contact pads of integrated circuit dies may be reduced or avoided. Various embodiments described below are particularly advantageous for forming connector structures of a fine pitch and size, since connector structures of a fine pitch and size are more prone to failure due to undercut formation.
FIGS. 1A-7B are top and cross-sectional views of various processing steps during fabrication of connector structures on integrated circuit dies in accordance with some embodiments, wherein an “A” figure represents a top view and a “B” figure represents a cross-sectional view along the B-B′ line of the respective “A” figure.
Referring first to FIGS. 1A and 1B, a portion of a workpiece 100 having die regions 101 separated by scribe lines 103 (also referred to as dicing lines or dicing streets) is illustrated. As described below in greater detail, the workpiece 100 will be diced along the scribe lines 103 to form individual integrated circuit dies (such as integrated circuit dies 701 illustrated in FIGS. 7A and 7B). In some embodiments, the workpiece 100 comprises a substrate 105, one or more active and/or passive devices 107 on the substrate 105, and one or more metallization layers 109 over the substrate 105 and the one or more active and/or passive devices 107. In some embodiments, the substrate 105 may be formed of silicon, although it may also be formed of other group III, group IV, and/or group V elements, such as silicon, germanium, gallium, arsenic, and combinations thereof. The substrate 105 may also be in the form of silicon-on-insulator (SOI). The SOI substrate may comprise a layer of a semiconductor material (e.g., silicon, germanium and/or the like) formed over an insulator layer (e.g., buried oxide and/or the like), which is formed on a silicon substrate. In addition, other substrates that may be used include multi-layered substrates, gradient substrates, hybrid orientation substrates, any combinations thereof and/or the like. In other embodiments, the substrate 105 may comprise a dielectric material such as silicon oxide, aluminum oxide, the like, or a combination thereof.
In some embodiments, the one or more active and/or passive devices 107 may include various n-type metal-oxide semiconductor (NMOS) and/or p-type metal-oxide semiconductor (PMOS) devices such as transistors, capacitors, resistors, diodes, photo-diodes, fuses and/or the like. The one or more metallization layers 109 may include an inter-layer dielectric (ILD)/inter-metal dielectric layers (IMDs) (not individually shown) formed over the substrate 105. The ILD/IMDs may be formed, for example, of a low-K dielectric material, such as phosphosilicate glass (PSG), borophosphosilicate glass (BPSG), FSG, SiOxCy, Spin-On-Glass, Spin-On-Polymers, silicon carbon material, compounds thereof, composites thereof, combinations thereof, or the like, by any suitable method known in the art, such as a spin-on coating method, chemical vapor deposition (CVD), plasma enhanced CVD (PECVD), the like, or a combination thereof. In some embodiments, interconnect structures such as conductive lines and vias may be formed in the ILD/IMDs using, for example, a damascene process, a dual damascene process, or the like. In some embodiments, interconnect structures may comprise copper, a copper alloy, silver, gold, tungsten, tantalum, aluminum, or the like. In some embodiments, the interconnect structures may provide electrical connections between the one or more active and/or passive devices 107 formed on the substrate 105.
In some embodiments, a plurality of conductive features 111 are formed over the one or more metallization layers 109. In the illustrated embodiment, the conductive features 111 are conductive lines and/or vias of the topmost of the one or more metallization layers 109 as illustrated in FIG. 1B. In other embodiments, the conductive features 111 may be contact pads formed on the one or more metallization layers 109 and may be electrically coupled to the one or more active and/or passive devices 107 through various interconnect structures of the one or more metallization layers 109. In some embodiments, the contact pads may comprise a conductive material such as aluminum, copper, tungsten, silver, gold, the like, or a combination thereof. In some embodiments, a conductive material may be formed over the one or more metallization layers 109 using, for example, physical vapor deposition (PVD), atomic layer deposition (ALD), electro-chemical plating, electroless plating, the like, or a combination thereof. Subsequently, the conductive material is patterned to form the contact pads. In some embodiments, the conductive material may be patterned using suitable photolithography and etching techniques. As described below in greater detail, connector structures will be formed on and electrically coupled to the conductive features 111.
Referring further to FIGS. 1A and 1B, in some embodiments, a first passivation layer 113 is formed over the substrate 105 and the conductive features 111. In some embodiments, the first passivation layer 113 may comprise one or more layers of photo-patternable dielectric materials such as polybenzoxazole (PBO), polyimide (PI), benzocyclobutene (BCB), or the like, and may be formed using a spin-on coating process, or the like. Such photo-patternable dielectric materials may be easily patterned using similar photolithography methods as a photoresist material. In other embodiments, the first passivation layer 113 may comprise one or more layers of non-photo-patternable dielectric materials such as silicon nitride, silicon oxide, phosphosilicate glass (PSG), borosilicate glass (BSG), boron-doped phosphosilicate glass (BPSG), or the like, and may be formed using CVD, PVD, ALD, a spin-on coating process, the like, or a combination thereof.
Openings are formed in the first passivation layer 113 to expose the conductive features 111. In some embodiments wherein the first passivation layer 113 is formed of a photo-patternable dielectric material, the first passivation layer 113 may be patterned using similar photolithography methods as a photoresist material. In other embodiments in which the first passivation layer 113 is formed of a non-photo-patternable dielectric material, a photoresist material (not shown) is formed over the first passivation layer 113. The photoresist material is subsequently irradiated (exposed) and developed to remove a portion of the photoresist material. Subsequently, exposed portions of the first passivation layer 113 are removed using, for example, a suitable etching process to form the openings. In some embodiments wherein the first passivation layer 113 is formed of silicon oxide, the first passivation layer 113 is etched using, for example, buffered hydrofluoric acid (HF). In some embodiments in which the first passivation layer 113 is formed of silicon nitride, the first passivation layer 113 is etched using, for example, hot phosphoric acid (H3PO4). Subsequently, the photoresist material may be removed using, for example, an ashing process followed by a wet clean process. In some embodiments, a thickness of the first passivation layer 113 is between about 0.6 μm and about 1.2 μm.
In some embodiments, a protective layer 115 is formed over the first passivation layer 113 and in the openings of the first passivation layer 113. The protective layer 115 protects the conductive features 111 from harmful processes such as, for example, oxidation. In some embodiments, the protective layer 115 may comprise metal nitrides such as, for example, TaN, and may be formed using CVD, PVD, ALD, the like, or a combination thereof. In some embodiments, a thickness of the protective layer 115 is between about 0.6 μm and about 0.2 μm. In some embodiments, the protective layer 115 may be patterned to avoid shorting of the conductive features 111. In such embodiments, a portion of the protective layer 115 over the conductive feature 111 as illustrated in FIG. 1B is not in electrical contact with other portions of the protective layer 115 formed over other conductive features 111 illustrated in FIG. 1A. Accordingly, the patterned protective layer 115 does not couple the conductive features 111 to one another electrically. In some embodiments, the protective layer 115 may be patterned using suitable lithography methods.
In some embodiments, a second passivation layer 117 is formed over the protective layer 115 and patterned to expose portions of the protective layer 115 formed on bottoms and sidewalls of the openings in the first passivation layer 113. In some embodiments, the second passivation layer 117 may be formed and patterned using similar materials and methods as the first passivation layer 113 and the description is not repeated herein. As illustrated in FIGS. 1A and 1B, openings in the first passivation layer 113 and openings in the second passivation layer 117 form combined openings 119, which are partially lined by the protective layer 115. In some embodiments, the openings 119 may have a height H1 between about 3 μm and about 4 μm and a width W1 between about 20 μm and about 30 μm. In the illustrated embodiment, sidewalls of the openings in the second passivation layer 117 are aligned with corresponding sidewalls of the openings in the first passivation layer 113. In other embodiments, sidewalls of the openings in the second passivation layer 117 may be offset with respect to the corresponding sidewalls of the openings in the first passivation layer 113.
In some embodiments, exposed surfaces of the protective layer 115 and the second passivation layer 117 are cleaned before performing subsequent processing steps on the workpiece 100. The surface cleaning process may include methods with direct and non-direct contact with the exposed surfaces of the protective layer 115 and the second passivation layer 117, such as RCA clean, cryogenic cleaning, mechanical wiping and scrubbing, etching in a gas, plasma or liquid, ultrasonic and megasonic cleaning, laser cleaning, and the like.
Referring to FIGS. 2A and 2B, a seed layer 201 is formed over the second passivation layer 117 and on bottoms and sidewalls of the openings 119. The seed layer 201 may comprise one or more layers of copper, titanium, nickel, gold, the like, or a combination thereof, and may be formed using an electro-chemical plating process, ALD, PVD, sputtering, the like, or a combination thereof. In some embodiments, the seed layer 201 may comprise a layer of copper having a thickness between about 0.05 μm and about 0.5 μm formed over a layer of titanium having a thickness between about 0.05 μm and about 0.5 μm. As described below in greater detail, connectors are formed on and are electrically coupled to the seed layer 201 in the openings 119.
Referring to FIGS. 3A and 3B, in some embodiments, a photoresist layer 301 is formed over the seed layer 201 and is patterned to form openings 303 within corresponding openings 119. Alternatively, other suitable masking materials may be used instead of the photoresist layer 301. In some embodiments, the photoresist layer 301 is formed using a spin-on coating method, or the like. Due to uneven topography, a thickness of the photoresist layer 301 changes depending on a location. In some embodiments, the photoresist layer 301 has a first thickness T1 over the second passivation layer 117 and a second thickness T2 within the openings 119 such that the second thickness T2 is greater than the first thickness T1. In some embodiments, the first thickness T1 is between about 0.5 μm and about 2 μm or more, and the second thickness T2 is between about 4.5 μm and about 6 μm or more. Subsequently, the photoresist layer 301 is irradiated (exposed) and developed to remove portions of the photoresist layer 301 and form the openings 303 in the photoresist layer 301. The openings 303 expose portions of the seed layer 201 in the openings 119.
Referring to FIGS. 4A and 4B, connectors 401 are formed in the openings 303 of the photoresist layer 301. The connectors 401 may include conductive materials such as solder, copper, aluminum, gold, nickel, silver, palladium, tin, indium, the like, or a combination thereof. In some embodiments in which the connectors 401 are solder bumps, the connectors 401 may be formed by initially forming a layer of solder through commonly used methods such as evaporation, electroplating, printing, solder transfer, ball placement, or the like. In other embodiments, the connectors 401 may be pillars formed by a sputtering, printing, electro-chemical plating, electroless plating, PVD, or the like. The pillars may be solder free and have substantially vertical sidewalls. In the illustrated embodiment, the connectors 401 have first portions 401A formed of nickel and second portions 401B formed of indium. In some embodiments, the connectors 401 have a height H2 between about 0.2 μm and about 2 μm, and a width W2 between about 2 μm and about 5 μm. As described below in greater detail, the connectors 401 are used to mechanically and electrically bond discrete devices (such as discrete devices 801 illustrated in FIGS. 8A and 8B) to integrated circuit dies formed from the workpiece 100.
Referring to FIGS. 5A and 5B, portions of the photoresist layer 301 deposited over the second passivation layer 117 are removed and unremoved portions 301 u of the photoresist layer 301 remain in the openings 119. As described below in greater detail, the unremoved portions 301 u of the photoresist layer 301 protect portions of the seed layer 201 in the openings 119 from a subsequent etching process. In some embodiments, the photoresist layer 301 is partially removed using a dry etching process such as, for example, a reactive ion etching (RIE) process, or the like. Alternatively, other photoresist stripping methods may be also used. The dry etching process may be performed for a specific duration such that a layer of thickness T1 (see FIG. 3B) is removed from the photoresist layer 301. Accordingly, the unremoved portions 301 u of the photoresist layer 301 having a thickness T3 equal to T2-T1 remain in the openings 119. In the illustrated embodiment, the height H2 of the connectors 401 are greater than the thickness T3 of the unremoved portions 301 u of the photoresist layer 301. In other embodiments, the height H2 of the connectors 401 may be less than or equal to the thickness T3 of the unremoved portions 301 u of the photoresist layer 301. In some embodiments, the unremoved portions 301 u of the photoresist layer 301 have a width W3 between about 10 μm and about 20 μm or more.
Referring to FIGS. 6A and 6B, portions of the seed layer 201 not protected by the unremoved portions 301 u of the photoresist layer 301 are removed. In some embodiments, unprotected portions of the seed layer 201 are removed using a suitable etching process. In some embodiments in which the seed layer 201 comprises a copper layer formed over a titanium layer, the seed layer 201 may be etched using, for example, a mixture of FeCl3, HCl, and H2O (for etching copper) and a mixture of H2O2, HF, and H2O (for etching titanium). In some embodiments, the seed layer 201 may be overetched and undercuts 601 may be formed below the unremoved portions 301 u of the photoresist layer 301 near edges 301 e of the unremoved portions 301 u of the photoresist layer 301. In some embodiment, the undercuts 601 may have a width W4 between about 0.5 μm and 1 μm. To avoid formation of undercuts below the connectors 401, in some embodiments, the width W3 of the unremoved portions 301 u of the photoresist layer 301 may be chosen to be larger than or equal to a sum of the width W2 of the connectors 401 and twice the width W4 of the undercuts 601. As illustrated in FIG. 6B by choosing such dimensions, undercuts are not formed between the connectors 401 and corresponding conductive features 111.
Referring to FIGS. 7A and 7B, the unremoved portions 301 u of the photoresist layer 301 are removed. In some embodiments, the unremoved portions 301 u of the photoresist layer 301 are removed using any suitable stripping process such as etching, ashing, or the like. The remaining portions of the seed layer 201 act as underbump metallizations (UBMs) for corresponding connectors 401 and may be also referred to as UBMs 201. Subsequently, the workpiece 100 is diced along the scribe lines 103 to form individual integrated circuit dies 701. In some embodiments, the workpiece 100 may be diced using, for example, etching, sawing, laser ablation, the like, or a combination thereof. Subsequently, each of the integrated circuit dies 701 may be tested to identify known good dies (KGDs) for further processing. In some embodiment, the integrated circuit dies 701 have a first width W5 of about 32 mm and a second width W6 of about 26 mm. As described below in greater detail, a plurality of discrete devices 801 are bonded to each of the integrated circuit dies 701 using corresponding connectors 401.
FIGS. 8A and 8B are top and cross-sectional views a plurality of discrete devices 801 coupled to an integrated circuit die 701 using connectors 401 in accordance with some embodiments. In some embodiments, the discrete devices 801 comprise contact pads 803 configured to be bonded to respective connectors 401. The discrete devices 801 may be formed on a wafer, which is subsequently singulated into individual discrete devices. The contact pads 803 may comprise a conductive material such as aluminum, copper, tungsten, silver, gold, the like, or a combination thereof and may be formed over using, for example, physical vapor deposition (PVD), atomic layer deposition (ALD), electro-chemical plating, electroless plating, the like, or a combination thereof. In some embodiments, the discrete devices 801 may be discrete components such as light-emitting diodes (LEDs), photo-sensitive diodes, micro drivers or other micro electrical components. In some embodiments, the discrete devices 801 have a width W7 between about 10 μm and about 20 μm. In some embodiments, the width W7 of the discrete devices 801 may be greater than the width W2 of the connectors 401. In some embodiments, the contact pads 803 and corresponding connectors 401 may be bonded through bonding mechanisms such as a reflow process, eutectic alloy bonding, transient liquid phase bonding, solid state diffusion bonding, or the like. In the illustrated embodiment, the discrete devices 801 are bonded to the integrated circuit dies 701 after dicing the workpiece 100 into the integrated circuit dies 701. In other embodiments, the discrete devices 801 may be bonded to the integrated circuit dies 701 before dicing the workpiece 100 into the integrated circuit dies 701.
Thereafter, in some embodiments, further manufacturing steps may be performed on the integrated circuit dies 701. In some embodiments in which the discrete devices 801 are LEDs, the further manufacturing steps form an LED display. In some embodiments, the further manufacturing steps may include formation of a contact layer over the LEDs, formation of one or more redistribution layers (RDLs) on a front side and/or a backside of the integrated circuit dies 701, formation of UBMs over the RLDsand formation of connectors over the UBMs. Subsequently, the integrated circuit dies 701 may be attached to a package substrate or a printed circuit board using the connectors.
FIG. 9 is a flow diagram illustrating a method 900 of forming connector structures on integrated circuit dies in accordance with some embodiments. The method 900 starts with step 901, where one or more patterned passivation layers (such as the first passivation layer 113 and the second passivation layer 117) are formed over a workpiece (such as the workpiece 100) as described above with reference to FIGS. 1A and 1B. Fist openings (such as the openings) in the one or more passivation layer expose conductive features (such as the conductive features 111) of the workpiece as described above with reference to FIGS. 1A and 1B. In step 903, a seed layer (such as the seed layer 201) is formed on bottoms and sidewalls of the first openings as described above with reference to FIGS. 2A and 2B. In step 905, a patterned photoresist layer (such as the photoresist layer 301) is formed over the one or more patterned passivation layers and in the first openings, with the patterned photoresist layer having second openings exposing portions of the seed layer as described above with reference to FIGS. 3A and 3B. In step 907, connectors (such as the connectors 401) are formed in the second openings as described above with reference to FIGS. 4A and 4B. In step 909, the pattered photoresist layer is partially removed such that portions of the pattered photoresist layer (such as the unremoved portions 301 u of the photoresist layer 301) remain in the first openings as described above with reference to FIGS. 5A and 5B. In step 911, the seed layer is etched using the unremoved portions of the patterned photoresist layer as an etch mask as described above with reference to FIGS. 6A and 6B. In step 913, the patterned photoresist layer is fully removed as described above with reference to FIGS. 7A and 7B. Subsequently, in some embodiments, the workpiece is singulated into individual integrated circuit dies (such as the integrated circuit dies 701) as described above with reference to FIGS. 7A and 7B. In some embodiments, discrete devices (such as the discrete devices 801) are bonded to the integrated circuit dies using corresponding connectors as described above with reference to FIGS. 8A and 8B.
Illustrated embodiments described herein provide various advantages. For example, various embodiments described above allow for forming interconnect structures on integrated circuit dies such that undercut formation between connector structures and corresponding contact pads of integrated circuit dies is reduced or avoided. By controlling undercut formation, failure of connector structures such as formation cracks between connector structures and corresponding contact pads of integrated circuit dies is also reduced or avoided. Various embodiments described above are particularly advantageous for forming connector structures having a fine pitch and size, since connector structures having a fine pitch and size are more prone to failure due to undercut formation.
In accordance with an embodiment, a method includes forming a first patterned passivation layer on a workpiece, the first patterned passivation layer having a first opening exposing a conductive feature of the workpiece. A seed layer is formed over the first patterned passivation layer and in the first opening. A patterned mask layer is formed over the seed layer, the patterned mask layer having a second opening exposing the seed layer, the second opening overlapping with the first opening. A connector is formed in the second opening. The patterned mask layer is partially removed, an unremoved portion of the patterned mask layer remaining in the first opening. The seed layer is patterned using the unremoved portion of the patterned mask layer as a mask.
In accordance with another embodiment, a method includes depositing a first patterned passivation layer having a first opening on a workpiece, the first opening exposing a conductive feature of the workpiece. A protective layer is deposited over the first patterned passivation layer, and along a bottom and sidewalls of the first opening. A second patterned passivation layer having a second opening is deposited over the first patterned passivation layer, the second opening exposing the first opening, the second opening and the first opening forming a combined opening. A seed layer is deposited over the second patterned passivation layer and in the combined opening. A patterned mask layer having a third opening is deposited over the second patterned passivation layer and in the combined opening, the third opening exposing the seed layer, the third opening being within the combined opening. A conductive material is deposited in the third opening to form a connector in the third opening. The patterned mask layer is etched until a topmost surface of the seed layer is exposed, at least a portion of the seed layer in the combined opening being protected by a remaining portion of the patterned mask layer. Exposed portions of the seed layer are etched using the remaining portion of the patterned mask layer as an etch mask. The remaining portion of the patterned mask layer is etched.
In accordance with yet another embodiment, a structure includes an integrated circuit die having a first passivation layer, the first passivation layer having a first opening therein, and a conductive feature on the integrated circuit die, at least a portion of the conductive feature being exposed through the first opening. The structure further includes a seed layer on the conductive feature, and a connector on the seed layer, a width of the seed layer being greater than a width of the connector.
The foregoing outlines features of several embodiments so that those skilled in the art may better understand the aspects of the present disclosure. Those skilled in the art should appreciate that they may readily use the present disclosure as a basis for designing or modifying other processes and structures for carrying out the same purposes and/or achieving the same advantages of the embodiments introduced herein. Those skilled in the art should also realize that such equivalent constructions do not depart from the spirit and scope of the present disclosure, and that they may make various changes, substitutions, and alterations herein without departing from the spirit and scope of the present disclosure.

Claims (20)

What is claimed is:
1. A structure comprising:
a metallization layer over a substrate, the metallization layer comprising a first conductive feature;
a first passivation layer over the metallization layer;
a second conductive feature extending through the first passivation layer, inner sidewalls of the first passivation layer laterally surrounding the second conductive feature, the inner sidewalls of the first passivation layer spaced apart by a first width, the second conductive feature comprising:
a first layer over the first conductive feature, the first layer having a second width, the second width less than the first width; and
a second layer over the first layer, the second layer having a third width, the third width less than the second width, wherein the area between the second layer and the first conductive feature is free of undercuts; and
a discrete device on top of and connected to the second conductive feature, the discrete device having a fourth width, the fourth width being less than the second width.
2. The structure of claim 1 further comprising:
a second passivation layer over the first passivation layer, the second conductive feature extending through the second passivation layer; and
a protective layer having a first portion and a second portion, the first portion disposed between the first passivation layer and the second passivation layer, the second portion disposed between the first conductive feature and the second conductive feature.
3. The structure of claim 2, wherein the protective layer extends along the inner sidewalls of the first passivation layer.
4. The structure of claim 1, wherein the discrete device is a light-emitting diode.
5. The structure of claim 1, wherein the discrete device is a photo-sensitive diode.
6. The structure of claim 1, wherein the fourth width is greater than the third width.
7. The structure of claim 1, wherein the first conductive feature has a fifth width, the fifth width greater than the first width.
8. The structure of claim 1, wherein the second layer comprises a reflowable material.
9. A structure comprising:
a contact pad over a substrate;
a first passivation layer over a first portion of the contact pad, a second portion of the contact pad being free from the first passivation layer, the second portion of the contact pad having a first width;
a seed layer over the second portion of the contact pad, a narrowest portion of the seed layer having a second width, the second width less than the first width;
a connector over the seed layer, the connector having a third width, the third width less than the second width, the connector and the seed layer each being laterally confined within boundaries of the second portion of the contact pad, wherein the first width, the second width, and the third width are each measured in a same cross-section and in a first direction, the first direction parallel to a major surface of the substrate; and
a diode on top of and connected to the connector, the diode having a fourth width measured in the first direction, the fourth width less than the second width.
10. The structure of claim 9 further comprising:
a protective layer over the first passivation layer and the contact pad, the protective layer disposed between the contact pad and the seed layer; and
a second passivation layer over the protective layer.
11. The structure of claim 10, wherein a first top surface of the connector is disposed further from the substrate than a second top surface of the second passivation layer.
12. The structure of claim 9, wherein the contact pad has a fifth width, the fifth width greater than the first width.
13. The structure of claim 9, wherein the connector comprises solder.
14. The structure of claim 9, wherein the fourth width is greater than the third width.
15. The structure of claim 9, wherein the seed layer comprises:
a titanium layer over the contact pad; and
a copper layer over the titanium layer.
16. The structure of claim 15, wherein the connector comprises:
a nickel layer over the copper layer; and
an indium layer over the nickel layer.
17. A structure comprising:
a conductive feature over a substrate;
a first passivation layer over the conductive feature, a portion of the conductive feature exposed through the first passivation layer, the portion of the conductive feature having a first width;
a protective layer having a first portion and a second portion, the first portion extending over the first passivation layer, the second portion extending through the first passivation layer to contact the conductive feature;
a seed layer over the second portion of the protective layer, the seed layer having a second width, the second width less than the first width;
a connector over the seed layer, the connector having a third width, the third width less than the second width, wherein the area between the connector and the protective layer is free of undercuts; and
a discrete device on top of and connected to the connector, the discrete device having a fourth width, the fourth width being greater than the third width and less than the second width.
18. The structure of claim 17 further comprising:
a second passivation layer over the first portion of the protection layer, the second portion of the protective layer being exposed through the second passivation layer.
19. The structure of claim 18, wherein a first distance from a top surface of the seed layer to a top surface of the connector is less than a second distance from the top surface of the seed layer to a top surface of the second passivation layer.
20. The structure of claim 17, wherein the connector is a solder connector.
US17/113,480 2015-12-31 2020-12-07 Connector structure and method of forming same Active 2036-07-30 US11824026B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US17/113,480 US11824026B2 (en) 2015-12-31 2020-12-07 Connector structure and method of forming same

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US14/986,154 US9646943B1 (en) 2015-12-31 2015-12-31 Connector structure and method of forming same
US15/589,315 US10388620B2 (en) 2015-12-31 2017-05-08 Connector structure and method of forming same
US16/414,888 US10861811B2 (en) 2015-12-31 2019-05-17 Connector structure and method of forming same
US17/113,480 US11824026B2 (en) 2015-12-31 2020-12-07 Connector structure and method of forming same

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US16/414,888 Continuation US10861811B2 (en) 2015-12-31 2019-05-17 Connector structure and method of forming same

Publications (2)

Publication Number Publication Date
US20210118833A1 US20210118833A1 (en) 2021-04-22
US11824026B2 true US11824026B2 (en) 2023-11-21

Family

ID=58643530

Family Applications (4)

Application Number Title Priority Date Filing Date
US14/986,154 Active US9646943B1 (en) 2015-12-31 2015-12-31 Connector structure and method of forming same
US15/589,315 Active 2036-02-25 US10388620B2 (en) 2015-12-31 2017-05-08 Connector structure and method of forming same
US16/414,888 Active US10861811B2 (en) 2015-12-31 2019-05-17 Connector structure and method of forming same
US17/113,480 Active 2036-07-30 US11824026B2 (en) 2015-12-31 2020-12-07 Connector structure and method of forming same

Family Applications Before (3)

Application Number Title Priority Date Filing Date
US14/986,154 Active US9646943B1 (en) 2015-12-31 2015-12-31 Connector structure and method of forming same
US15/589,315 Active 2036-02-25 US10388620B2 (en) 2015-12-31 2017-05-08 Connector structure and method of forming same
US16/414,888 Active US10861811B2 (en) 2015-12-31 2019-05-17 Connector structure and method of forming same

Country Status (3)

Country Link
US (4) US9646943B1 (en)
CN (2) CN107017170A (en)
TW (1) TWI729046B (en)

Families Citing this family (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11342189B2 (en) 2015-09-17 2022-05-24 Semiconductor Components Industries, Llc Semiconductor packages with die including cavities and related methods
US10529576B2 (en) * 2017-08-17 2020-01-07 Semiconductor Components Industries, Llc Multi-faced molded semiconductor package and related methods
US11367619B2 (en) 2017-08-17 2022-06-21 Semiconductor Components Industries, Llc Semiconductor package electrical contacts and related methods
US11404277B2 (en) 2017-08-17 2022-08-02 Semiconductor Components Industries, Llc Die sidewall coatings and related methods
US11404276B2 (en) 2017-08-17 2022-08-02 Semiconductor Components Industries, Llc Semiconductor packages with thin die and related methods
US11361970B2 (en) 2017-08-17 2022-06-14 Semiconductor Components Industries, Llc Silicon-on-insulator die support structures and related methods
US11348796B2 (en) 2017-08-17 2022-05-31 Semiconductor Components Industries, Llc Backmetal removal methods
US11393692B2 (en) 2017-08-17 2022-07-19 Semiconductor Components Industries, Llc Semiconductor package electrical contact structures and related methods
KR102127828B1 (en) * 2018-08-10 2020-06-29 삼성전자주식회사 Semiconductor package
US11037891B2 (en) 2018-09-21 2021-06-15 Advanced Semiconductor Engineering, Inc. Device package
US11189521B2 (en) * 2018-10-30 2021-11-30 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of manufacturing redistribution circuit structures using phase shift mask
KR20210121336A (en) 2020-03-26 2021-10-08 삼성전자주식회사 Semiconductor package
DE102021105572A1 (en) * 2020-03-31 2021-09-30 Taiwan Semiconductor Manufacturing Co., Ltd. MICROELECTROMECHANICAL SYSTEM AND PROCESS FOR ITS MANUFACTURING

Citations (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050208748A1 (en) 2004-03-17 2005-09-22 International Business Machines Corporation Method for forming robust solder interconnect structures by reducing effects of seed layer underetching
US20080012084A1 (en) * 2006-07-14 2008-01-17 Samsung Electronics Co., Ltd Image sensor package and method of fabricating the same
US7550316B2 (en) 2006-03-10 2009-06-23 Samsung Electro-Mechanics Co., Ltd. Board on chip package and manufacturing method thereof
JP2009200067A (en) 2008-02-19 2009-09-03 Panasonic Corp Semiconductor chip and semiconductor device
CN101681859A (en) 2007-06-15 2010-03-24 罗姆股份有限公司 Semiconductor device
US7723225B2 (en) 2006-02-07 2010-05-25 Stats Chippac Ltd. Solder bump confinement system for an integrated circuit package
WO2011058680A1 (en) 2009-11-12 2011-05-19 パナソニック株式会社 Semiconductor device
US20110140267A1 (en) * 2009-12-16 2011-06-16 Chia-Lun Tsai Electronic device package and method for fabricating the same
US8304905B2 (en) 2010-07-27 2012-11-06 Renesas Electronics Corporation Semiconductor device
US20120295434A1 (en) * 2011-05-18 2012-11-22 Samsung Electronics Co., Ltd Solder collapse free bumping process of semiconductor device
CN102867757A (en) 2011-07-07 2013-01-09 台湾积体电路制造股份有限公司 UBM etching methods for eliminating undercut
US8823166B2 (en) 2010-08-30 2014-09-02 Taiwan Semiconductor Manufacturing Company, Ltd. Pillar bumps and process for making same
US20140264863A1 (en) 2013-03-15 2014-09-18 Taiwan Semiconductor Manufacturing Company, Ltd. Conductive Line System and Process
CN104485295A (en) 2014-12-16 2015-04-01 南通富士通微电子股份有限公司 Wafer level packaging method
US20150364400A1 (en) * 2014-06-17 2015-12-17 Micron Technology, Inc. Semiconductor structures and die assemblies including conductive vias and thermally conductive elements and methods of forming such structures
US9343419B2 (en) 2012-12-14 2016-05-17 Taiwan Semiconductor Manufacturing Company, Ltd. Bump structures for semiconductor package
US20160148888A1 (en) 2014-11-20 2016-05-26 Seung-Kwan Ryu Semiconductor devices and methods for fabricating the same
US9691723B2 (en) 2015-10-30 2017-06-27 Taiwan Semiconductor Manufacturing Company, Ltd. Connector formation methods and packaged semiconductor devices
US10090267B2 (en) 2014-03-13 2018-10-02 Taiwan Semiconductor Manufacturing Co., Ltd Bump structure and method for forming the same

Patent Citations (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050208748A1 (en) 2004-03-17 2005-09-22 International Business Machines Corporation Method for forming robust solder interconnect structures by reducing effects of seed layer underetching
US7723225B2 (en) 2006-02-07 2010-05-25 Stats Chippac Ltd. Solder bump confinement system for an integrated circuit package
US7550316B2 (en) 2006-03-10 2009-06-23 Samsung Electro-Mechanics Co., Ltd. Board on chip package and manufacturing method thereof
US20080012084A1 (en) * 2006-07-14 2008-01-17 Samsung Electronics Co., Ltd Image sensor package and method of fabricating the same
CN101681859A (en) 2007-06-15 2010-03-24 罗姆股份有限公司 Semiconductor device
US8436467B2 (en) 2007-06-15 2013-05-07 Rohm Co., Ltd. Semiconductor device
JP2009200067A (en) 2008-02-19 2009-09-03 Panasonic Corp Semiconductor chip and semiconductor device
JP2013030498A (en) * 2009-11-12 2013-02-07 Panasonic Corp Semiconductor device
WO2011058680A1 (en) 2009-11-12 2011-05-19 パナソニック株式会社 Semiconductor device
US20110140267A1 (en) * 2009-12-16 2011-06-16 Chia-Lun Tsai Electronic device package and method for fabricating the same
US8304905B2 (en) 2010-07-27 2012-11-06 Renesas Electronics Corporation Semiconductor device
US8823166B2 (en) 2010-08-30 2014-09-02 Taiwan Semiconductor Manufacturing Company, Ltd. Pillar bumps and process for making same
US8980739B2 (en) 2011-05-18 2015-03-17 Samsung Electronics Co., Ltd. Solder collapse free bumping process of semiconductor device
US20120295434A1 (en) * 2011-05-18 2012-11-22 Samsung Electronics Co., Ltd Solder collapse free bumping process of semiconductor device
CN102867757A (en) 2011-07-07 2013-01-09 台湾积体电路制造股份有限公司 UBM etching methods for eliminating undercut
US20130012014A1 (en) * 2011-07-07 2013-01-10 Taiwan Semiconductor Manufacturing Company, Ltd. UBM Etching Methods for Eliminating Undercut
US8501613B2 (en) 2011-07-07 2013-08-06 Taiwan Semiconductor Manufacturing Company, Ltd. UBM etching methods for eliminating undercut
US9343419B2 (en) 2012-12-14 2016-05-17 Taiwan Semiconductor Manufacturing Company, Ltd. Bump structures for semiconductor package
US20140264863A1 (en) 2013-03-15 2014-09-18 Taiwan Semiconductor Manufacturing Company, Ltd. Conductive Line System and Process
US10090267B2 (en) 2014-03-13 2018-10-02 Taiwan Semiconductor Manufacturing Co., Ltd Bump structure and method for forming the same
US20150364400A1 (en) * 2014-06-17 2015-12-17 Micron Technology, Inc. Semiconductor structures and die assemblies including conductive vias and thermally conductive elements and methods of forming such structures
US20160148888A1 (en) 2014-11-20 2016-05-26 Seung-Kwan Ryu Semiconductor devices and methods for fabricating the same
CN104485295A (en) 2014-12-16 2015-04-01 南通富士通微电子股份有限公司 Wafer level packaging method
US9691723B2 (en) 2015-10-30 2017-06-27 Taiwan Semiconductor Manufacturing Company, Ltd. Connector formation methods and packaged semiconductor devices

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
Translation from Japanese to English of Hagihara (JP 2013030498 ) (Year: 2022). *

Also Published As

Publication number Publication date
US10388620B2 (en) 2019-08-20
US20210118833A1 (en) 2021-04-22
US20190279953A1 (en) 2019-09-12
US10861811B2 (en) 2020-12-08
CN110379717A (en) 2019-10-25
CN107017170A (en) 2017-08-04
US20170243846A1 (en) 2017-08-24
TWI729046B (en) 2021-06-01
CN110379717B (en) 2021-06-15
TW201725636A (en) 2017-07-16
US9646943B1 (en) 2017-05-09

Similar Documents

Publication Publication Date Title
US11824026B2 (en) Connector structure and method of forming same
US11069539B2 (en) 3D packages and methods for forming the same
US10854567B2 (en) 3D packages and methods for forming the same
US10290604B2 (en) Substrateless integrated circuit packages and methods of forming same
US8299616B2 (en) T-shaped post for semiconductor devices
US10163756B2 (en) Isolation structure for stacked dies
US10037973B2 (en) Method for manufacturing semiconductor package structure
TWI720623B (en) Semiconductor device and method of forming the same
CN110610907B (en) Semiconductor structure and method of forming a semiconductor structure
US9859242B2 (en) Post-passivation interconnect structure and method of forming same
CN111128933B (en) Semiconductor package and method of forming the same
KR102481141B1 (en) Bonding structures in semiconductor packaged device and method of forming same

Legal Events

Date Code Title Description
FEPP Fee payment procedure

Free format text: ENTITY STATUS SET TO UNDISCOUNTED (ORIGINAL EVENT CODE: BIG.); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

STPP Information on status: patent application and granting procedure in general

Free format text: APPLICATION DISPATCHED FROM PREEXAM, NOT YET DOCKETED

STPP Information on status: patent application and granting procedure in general

Free format text: DOCKETED NEW CASE - READY FOR EXAMINATION

STPP Information on status: patent application and granting procedure in general

Free format text: NON FINAL ACTION MAILED

STPP Information on status: patent application and granting procedure in general

Free format text: RESPONSE TO NON-FINAL OFFICE ACTION ENTERED AND FORWARDED TO EXAMINER

STPP Information on status: patent application and granting procedure in general

Free format text: DOCKETED NEW CASE - READY FOR EXAMINATION

STPP Information on status: patent application and granting procedure in general

Free format text: NOTICE OF ALLOWANCE MAILED -- APPLICATION RECEIVED IN OFFICE OF PUBLICATIONS

STPP Information on status: patent application and granting procedure in general

Free format text: PUBLICATIONS -- ISSUE FEE PAYMENT VERIFIED

STCF Information on status: patent grant

Free format text: PATENTED CASE