US10868055B2 - Image sensor and method for forming the same - Google Patents

Image sensor and method for forming the same Download PDF

Info

Publication number
US10868055B2
US10868055B2 US16/396,572 US201916396572A US10868055B2 US 10868055 B2 US10868055 B2 US 10868055B2 US 201916396572 A US201916396572 A US 201916396572A US 10868055 B2 US10868055 B2 US 10868055B2
Authority
US
United States
Prior art keywords
layer
forming
region
image sensor
layers
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
US16/396,572
Other versions
US20190252434A1 (en
Inventor
Ming-Chyi Liu
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority to US16/396,572 priority Critical patent/US10868055B2/en
Assigned to TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD. reassignment TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: LIU, MING-CHYI
Publication of US20190252434A1 publication Critical patent/US20190252434A1/en
Application granted granted Critical
Publication of US10868055B2 publication Critical patent/US10868055B2/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • H01L27/144Devices controlled by radiation
    • H01L27/146Imager structures
    • H01L27/14601Structural or functional details thereof
    • H01L27/14609Pixel-elements with integrated switching, control, storage or amplification elements
    • H01L27/14612Pixel-elements with integrated switching, control, storage or amplification elements involving a transistor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • H01L27/144Devices controlled by radiation
    • H01L27/146Imager structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • H01L27/144Devices controlled by radiation
    • H01L27/146Imager structures
    • H01L27/14601Structural or functional details thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • H01L27/144Devices controlled by radiation
    • H01L27/146Imager structures
    • H01L27/14601Structural or functional details thereof
    • H01L27/14603Special geometry or disposition of pixel-elements, address-lines or gate-electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • H01L27/144Devices controlled by radiation
    • H01L27/146Imager structures
    • H01L27/14601Structural or functional details thereof
    • H01L27/14609Pixel-elements with integrated switching, control, storage or amplification elements
    • H01L27/14612Pixel-elements with integrated switching, control, storage or amplification elements involving a transistor
    • H01L27/14614Pixel-elements with integrated switching, control, storage or amplification elements involving a transistor having a special gate structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • H01L27/144Devices controlled by radiation
    • H01L27/146Imager structures
    • H01L27/14601Structural or functional details thereof
    • H01L27/1463Pixel isolation structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • H01L27/144Devices controlled by radiation
    • H01L27/146Imager structures
    • H01L27/14643Photodiode arrays; MOS imagers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • H01L27/144Devices controlled by radiation
    • H01L27/146Imager structures
    • H01L27/14683Processes or apparatus peculiar to the manufacture or treatment of these devices or parts thereof
    • H01L27/14689MOS based technologies

Definitions

  • Semiconductor devices are used in a variety of electronic applications, such as personal computers, cell phones, digital cameras, and other electronic equipment. Semiconductor devices are typically fabricated by sequentially depositing insulating or dielectric layers, conductive layers, and semiconductive layers of material over a semiconductor substrate, and patterning the various material layers using lithography to form circuit components and elements thereon.
  • An image sensor is a semiconductor device for converting an optical image into an electrical signal.
  • the image sensor is roughly classified as a charge coupled device (CCD) or a CMOS image sensor (CIS).
  • CCD charge coupled device
  • CIS CMOS image sensor
  • One type of the CIS is Front Side Illumination (FSI) image sensor.
  • FSI image sensors light travels to a photo-sensing area through the front side of the pixel. This means that the incident light has to first pass through dielectric layers, and metal layers before it strikes the photo-sensing area, causing low quantum efficiency (QE), serious cross talk between pixels, and dark current.
  • QE quantum efficiency
  • Another type of CIS is Back Side illumination (BSI) image sensors.
  • a BSI image sensor Instead of illuminating a CMOS image sensor from the top (front) side of the silicon die, a BSI image sensor applies the color filters and the microlens to the back side of the pixels so that the incident light is collected from the back side of the image sensor. Compared to the FSI image sensors, the BSI image sensors have less light loss, reduced crosstalk, and better quantum efficiency.
  • FIG. 1A-1M show cross-sectional representations of various stages of forming an image sensor, in accordance with some embodiments of the disclosure.
  • first and second features are formed in direct contact
  • additional features may be formed between the first and second features, such that the first and second features may not be in direct contact
  • present disclosure may repeat reference numerals and/or letters in the various examples. This repetition is for the purpose of simplicity and clarity and does not in itself dictate a relationship between the various embodiments and/or configurations discussed.
  • spatially relative terms such as “beneath,” “below,” “lower,” “above,” “upper” and the like, may be used herein for ease of description to describe one element or feature's relationship to another element(s) or feature(s) as illustrated in the figures.
  • the spatially relative terms are intended to encompass different orientations of the device in use or operation in addition to the orientation depicted in the figures.
  • the apparatus may be otherwise oriented (rotated 90 degrees or at other orientations) and the spatially relative descriptors used herein may likewise be interpreted accordingly.
  • FIG. 1A-1M show cross-sectional representations of various stages of forming an image sensor, in accordance with some embodiments of the disclosure.
  • the image sensor is a complementary metal oxide semiconductor.
  • the image sensor is a front-side illumination (FSI) image sensor or a back-side illumination (BSI) image sensor.
  • FSI front-side illumination
  • BSI back-side illumination
  • a substrate 102 is provided.
  • the substrate 102 may be made of silicon or other semiconductor materials. Alternatively or additionally, the substrate 102 may include other elementary semiconductor materials such as germanium.
  • the substrate 102 is made of a compound semiconductor such as silicon carbide, gallium arsenic, indium arsenide, or indium phosphide.
  • the substrate 102 is made of an alloy semiconductor such as silicon germanium, silicon germanium carbide, gallium arsenic phosphide, or gallium indium phosphide.
  • the substrate 102 includes an epitaxial layer.
  • the substrate 102 has an epitaxial layer overlying a bulk semiconductor.
  • the substrate 102 includes a pixel region 10 , a peripheral region 20 and a boundary region 30 .
  • the boundary region 30 is formed between the pixel region 10 and the peripheral region 20 .
  • the pixel region 10 is surrounded by the peripheral region 20 when seen from a top-view.
  • one or more gate stack structure 110 is formed in the pixel region 10 .
  • the gate stack structure 110 includes a gate dielectric layer 112 , a gate electrode layer 114 , a hard mask layer 116 and gate spacers 118 .
  • the gate electrode layer 114 is formed on the gate dielectric layer 112
  • the hard mask layer 116 is formed on the gate electrode layer 114 .
  • the gate spacers 118 are formed on the opposite sidewalls of the gate electrode layer 114 .
  • the gate stack structure 110 includes additional layers, such as interfacial layers, capping layers, diffusion/barrier layers, or other applicable layers.
  • the gate dielectric layer 112 may include dielectric materials, such as silicon oxide, silicon nitride, silicon oxynitride, or combinations thereof.
  • the gate electrode layer 114 may include polysilicon.
  • the gate stack structure 110 may be a transfer transistor, a reset transistor, a source-follower transistor or a select transistor.
  • At least one photodiode (PD) (not shown) is positioned in the pixel region 10 .
  • the photodiode usually receives the incoming light and converts the light into current signals.
  • various doped regions are in the substrate 102 in the pixel region 10 .
  • the doped regions correspond to the gate stack structure 110 as source/drain regions.
  • the devices (such as a photodiode or the gate stack structure 110 ) in the pixel region 10 are formed first, and the devices in the peripheral region 20 are formed afterwards.
  • a protection layer 120 is formed on the gate stack structure 110 to cover the gate stack structure 110 .
  • the protection layer 120 is used to prevent the underlying devices from being etched during the subsequent processes.
  • the protection layer 120 is amorphous silicon.
  • an oxide layer 130 is formed on the substrate 102 .
  • the oxide layer 130 is used as a gate dielectric layer to provide a thick thickness.
  • an isolation structure 124 such as a shallow trench isolation (STI) structure, is formed in the substrate 102 .
  • the isolation structure 124 prevents electrical interference or crosstalk.
  • a high-k dielectric layer 132 is conformally formed on the protection layer 120 , the substrate 102 , the isolation structure 124 and the oxide layer 130 .
  • a first metal layer 134 is formed on the high-k dielectric layer 132 .
  • a polysilicon layer 136 is formed on first metal layer 134 .
  • the high-k dielectric layer 132 includes hafnium oxide, zirconium oxide, aluminum oxide, hafnium dioxide-alumina alloy, hafnium silicon oxide, hafnium silicon oxynitride, hafnium tantalum oxide, hafnium titanium oxide, hafnium zirconium oxide, similar materials, or combinations thereof.
  • the first metal layer 134 includes tantalum nitride (TaN), nickel silicon (NiSi), cobalt silicon (CoSi), molybdenum (Mo), copper (Cu), tungsten (W), aluminum (Al), cobalt (Co), zirconium (Zr), platinum (Pt), or other applicable materials.
  • the gate spacers 118 are made of a dielectric layer, such as a silicon nitride layer, a silicon oxynitride layer, or combinations thereof.
  • an interfacial layer is formed between the high-k dielectric layer 132 and the substrate 102 to improve the adhesion therebetween.
  • a hard mask layer 138 is formed on the polysilicon layer 136 in the peripheral region 20 and the boundary region 30 .
  • the hard mask layer 138 is used to pattern the underlying layers (such as the polysilicon layer 136 , the first metal layer 134 and the high-k dielectric layer 132 ).
  • the hard mask layer 138 may be made of tetraethyl ortho silicate (TEOS), silicon oxide, silicon nitride, silicon oxynitride, or other applicable materials.
  • TEOS tetraethyl ortho silicate
  • a bottom layer 140 is formed on the hard mask layer 138 and the polysilicon layer 136 .
  • a middle layer 142 is formed on the bottom layer 140 .
  • a bottom anti-reflective coating (BARC) 144 is formed on the middle layer 142 .
  • the middle layer 142 is made of a dielectric layer.
  • the bottom anti-reflective coating (BARC) 144 is made of silicon nitride (SiN), silicon carbide (SiC), silicon oxynitride (SiON).
  • the bottom layer 140 is made of amorphous carbon
  • the middle layer 142 is made of silicon oxynitride
  • the bottom anti-reflective coating (BARC) 144 is made of silicon oxynitride (SiON).
  • the bottom layer 140 , the middle layer 142 or the BARC 144 is independently formed by deposition processes, such as a chemical vapor deposition (CVD) process, high-density plasma chemical vapor deposition (HDPCVD) process, spin-on process, sputtering process, or other applicable processes.
  • CVD chemical vapor deposition
  • HDPCVD high-density plasma chemical vapor deposition
  • spin-on process spin-on process
  • sputtering process or other applicable processes.
  • the high-k dielectric layer 132 is formed on the protection layer 120 , and in the peripheral region 20 , the high-k dielectric layer 132 is formed on the substrate 102 and the oxide layer 130 , and therefore a top surface of the high-k dielectric layer 132 in the pixel region 10 is higher than a top surface of the high-k dielectric layer 132 in the peripheral region 20 .
  • the high-k dielectric layer 132 is formed on the sloped sidewall of the protection layer 120 .
  • a photoresist layer 146 is formed on the BARC 144 as shown in FIG. 1C , in accordance with some embodiments of the disclosure. Afterwards, the photoresist layer 146 is patterned by a patterning process to form a patterned photoresist layer 146 . The middle layer 142 and the BARC 144 are patterned by using the patterned photoresist layer 146 as a mask. Afterwards, the patterned photoresist layer 146 is removed.
  • the patterning process includes a photolithography process and an etching process.
  • the photolithography process includes photoresist coating (e.g., spin-on coating), soft baking, mask aligning, exposure, post-exposure baking, developing the photoresist, rinsing and drying (e.g., hard baking).
  • the etching process includes a dry etching process or a wet etching process.
  • the bottom layer 140 is patterned by using the patterned middle layer 142 and the patterned BARC 144 as a mask as shown in FIG. 1D , in accordance with some embodiments of the disclosure.
  • the middle layer 142 and the BARC 144 are removed, and a patterned bottom layer 140 is obtained as shown in FIG. 1E , in accordance with some embodiments of the disclosure.
  • the middle layer 142 and the BARC 144 are removed by an etching process, such as a dry etching process or a wet etching process.
  • the hard mask layer 138 is patterned by using the patterned bottom layer 140 as a mask to form a patterned hard mask layer 138 as shown in FIG. 1F , in accordance with some embodiments of the disclosure. Afterwards, the patterned bottom layer 140 is removed by a dry etching process or a wet etching process.
  • the oxide layer 130 , the high-k dielectric layer 132 , the first metal layer 134 and the polysilicon layer 136 are patterned by using patterned hard mask layer 138 as a mask as shown in FIG. 1G , in accordance with some embodiments of the disclosure.
  • the patterned high-k dielectric layer 132 in the peripheral region 20 is used as a gate dielectric layer, and the patterned first metal layer 134 is used as a portion of a gate electrode layer.
  • the high-k dielectric layer 132 and the first metal layer 134 are removed in the pixel region 10 , while the high-k dielectric layer 132 and the first metal layer 134 remain on the protection layer 120 .
  • the high-k dielectric layer 132 and the first metal layer 134 in the boundary region 30 are formed between the protection layer 120 and the stack structures in the peripheral region 20 (including the pattered polysilicon layer 136 and the patterned hard mask layer 138 ), and therefore the high-k dielectric layer 132 and the first metal layer 134 are hard to be removed. As a result, high-k dielectric layer 132 and the first metal layer 134 remains in the boundary region 30 .
  • the performance of the image sensor may be degraded by the remaining high-k dielectric layer 132 and the first metal layer 134 in the boundary region 30 . Therefore, the remaining high-k dielectric layer 132 and the first metal layer 134 in the boundary region 30 are removed in the subsequent process.
  • a sealing layer 150 is formed on the hard mask layer 138 in the peripheral region 20 , on the polysilicon layer 136 in the boundary region 30 , and on the protection layer 120 in the pixel region 10 as shown in FIG. 1H , in accordance with some embodiments of the disclosure. It should be noted that one or more stack structure is formed in the peripheral region 20 of FIG. 1G , and a plurality of cavities 148 are formed between two adjacent stack structures. Therefore, afterwards, as shown in FIG. 1H , the sealing layer 150 is conformally formed along the pattern of the stack structure. The sealing layer 150 is also formed in the sidewalls and the bottom of the cavity 148 .
  • the sealing layer 150 is made of a dielectric material.
  • the dielectric material may include, for example, a silicon nitride, silicon oxide, silicon oxynitride, other suitable materials, and/or combinations thereof.
  • the sealing layer 150 is deposited on the surfaces of the stack structure in the peripheral region 20 by using a suitable process, such as a chemical vapor deposition (CVD) process.
  • CVD chemical vapor deposition
  • the photoresist layer 152 is formed on the sealing layer 150 . It should be noted that the photoresist layer 152 is formed in the pixel region 10 and the peripheral region 20 to protect the devices in the pixel region 10 and the peripheral region 20 .
  • the exposed sealing layer 150 is removed as shown in FIG. 1I , in accordance with some embodiments of the disclosure. Afterwards, the hard mask layer 138 and the polysilicon layer 136 , the first metal layer 134 and the high-k dielectric layer 132 are respectively and sequentially removed.
  • the hard mask layer 138 and the polysilicon layer 136 , the first metal layer 134 and the high-k dielectric layer 132 are respectively removed by a dry etching process or a wet etching process.
  • the dry etching gas includes chlorine (Cl 2 ), boron chloride (BCl 3 ) or fluorine (F)-based gas.
  • the wet etching solution includes HF-based solution, NH 4 OH solution, NH 4 OH/H 2 O 2 solution, HCl/H 2 O 2 solution, H 2 SO 4 /H 2 O 2 solution.
  • a recess 170 is formed by removing the portion of the isolation structure 124 .
  • the recess 170 should not be deeper than the isolation structure 124 .
  • the recess 170 extends from a top surface of the substrate 102 to a depth Di.
  • the depth Di is in a range from about 10 A to about 5000 A. If the depth Di is too great, too much of the isolation structure 124 is removed. If the depth Di is too small, the first metal layer 134 and the high-k dielectric layer 132 may be remaining.
  • a photoresist layer 154 is formed in the peripheral region 20 and the boundary region 30 as shown in FIG. 1J , in accordance with some embodiments of the disclosure. Afterwards, the sealing layer 150 and the protection layer 120 in the pixel region 10 are removed to expose the hard mask layer 116 . Afterwards, the photoresist layer 154 is removed.
  • a portion of sealing layer 150 in the peripheral region 20 , the hard mask layer 116 in the pixel region 10 and the hard mask layer 138 in the peripheral region 20 are removed as shown in FIG. 1K , in accordance with some embodiments of the disclosure. Therefore, in the pixel region 10 , a top surface of the gate electrode layer 114 is exposed. In the boundary region 30 , the isolation structure 124 is exposed. In the peripheral region 20 , a top surface of the polysilicon layer 136 is exposed.
  • an interlayer dielectric (ILD) layer 160 is formed on the exposed surface of the gate electrode layer 114 , the exposed isolation structure 124 and the exposed surface of the polysilicon layer 136 .
  • the ILD layer 160 is made of silicon oxide, doped or undoped silicon oxide, undoped silicate glass (USG), phosphorus-doped silicon glass (PSG), boron phosphorus silicate glass (BPSG), phenyl triethoxy silicate (PTEOS) or boron phosphorous tetraethyl silicate (BPTEOS).
  • the ILD 160 is formed by a chemical vapor deposition (CVD) process, a high-density plasma CVD process (HDP CVD), a spin coating or a deposition furnace.
  • a planarizing process is performed on the ILD layer 160 as shown in FIG. 1L , in accordance with some embodiments of the disclosure.
  • the planarizing process is a chemical mechanical polishing (CMP) process.
  • CMP chemical mechanical polishing
  • a photoresist layer 162 is formed on the top surface of the ILD layer 160 in the pixel region 10 .
  • the ILD layer 160 has a recessed surface in the boundary region 30 .
  • a top surface of the ILD layer 160 in the boundary region 30 is lower than that in the pixel region 10 or in the peripheral region 20 .
  • a portion of the ILD layer 160 in the boundary region 30 extends from a top surface of the substrate 102 to a depth Di in a range from about 10 A to about 5000 A.
  • the polysilicon layer 136 is removed as shown in FIG. 1M , in accordance with some embodiments of the disclosure. Therefore, a plurality of trenches (not shown) is formed and a second metal layer 166 is filled in the trenches. In addition, the second metal layer 166 is also formed on the recessed surface of the ILD layer 160 in the boundary region 30 . It should be noted that in the boundary region 30 , the second metal layer 166 is embedded in the ILD layer 160 .
  • the second metal layer 166 is made of conductive material.
  • the conductive material may include metal (e.g., tantalum (Ta), titanium (Ti), molybdenum (Mo), tungsten (W), platinum (Pt), aluminum (Al), hafnium (Hf), ruthenium (Ru)), a metal silicide (e.g., titanium silicide, cobalt silicide, nickel silicide, tantalum silicide), or a metal nitride (e.g., titanium nitride, tantalum nitride).
  • the second metal layer 166 is formed by a chemical vapor deposition (CVD) process or a physical vapor deposition (PVD) process.
  • CVD chemical vapor deposition
  • PVD physical vapor deposition
  • the first metal layer 134 and the second metal layer 166 are made of the same material. In some other embodiments, the first metal layer 134 and the second metal layer 166 are made of different material. The thickness of the first metal layer 134 is smaller than that of the second metal layer 166 .
  • a planarizing process is performed on the second metal material to remove the excess of the second metal material outside of the trenches. Therefore, a top surface of the second metal layer 166 in the peripheral region 20 is level with a top surface of the second metal layer 166 in the boundary region 30 . In other words, the top surface of the second metal layer 166 in the boundary region 30 is higher than the top surface of the first metal layer 134 in the peripheral region 20 .
  • a second ILD layer 168 is formed on the second metal layer 166 , the gate stack structure 110 and 210 .
  • the metallization structure (not shown) includes an interconnect structure, such as contact plugs and conductive features. Conductive features are embedded in the second ILD layer 168 .
  • a gate stack structure 210 is constructed by the high-k dielectric layer 132 , the first metal layer 132 and the second metal layer 134 .
  • the high-k dielectric layer 132 is used as a gate dielectric layer
  • the first metal layer 132 and the second metal layer 134 are used as a gate electrode layer.
  • sealing layers 150 are formed on the opposite sidewalls of the gate stack structure 210 .
  • gate stack structures 210 are formed in the peripheral region 20 , the number of gate stack structures 210 are not limited to three and it may be adjusted according to actual application.
  • the high-k dielectric layer 132 , the first metal layer 134 and the second metal layer 166 are formed in the peripheral region 20 , but no remaining high-k dielectric layer 132 and the first metal layer 134 are formed in the boundary region 30 . More importantly, no remaining high-k dielectric layer 132 and the first metal layer 134 are formed in the pixel region 10 .
  • the photodiode is sensitive to the metal ion and the performance of the photodiode may be degraded due to the contamination of the metal ion.
  • formation of the photodiode in the pixel region is operated a high temperature, therefore the metal layer in the peripheral region may be deteriorated due to the high temperature.
  • the devices (such as the gate stack structure 110 and a photodiode) in the pixel region 10 are formed first, and the devices (such as gate stack structure 210 ) in the peripheral region 20 are formed afterwards, in accordance with some embodiments of the disclosure. Therefore, the metal layer (such as first metal layer 134 and/or the second metal layer 166 ) in the peripheral region 20 is not affected by the high temperature. Furthermore, the high-k dielectric layer and the metal layer (also called HK/MG) in the boundary region 30 are removed completely by an over-etching process, and therefore metal contamination is avoided. In addition, the performance of the devices (such as photodiode) in the pixel region 10 is improved.
  • Embodiments of an image sensor and a method for forming an image sensor are provided.
  • the image sensor is a front-side illumination (FSI) image sensor or a back-side illumination (BSI) image sensor.
  • the image sensor includes a substrate, and the substrate includes a pixel region, a peripheral region and a boundary region, and the boundary region is formed between the pixel region and the peripheral region.
  • a high-k dielectric layer and a metal layer also called HK/MG
  • the high-k dielectric layer and the metal layer are not formed in the pixel region and the boundary region. Therefore, metal contamination problem is avoided.
  • the high-k dielectric layer and the metal layer also called HK/MG
  • the high-k dielectric layer and the metal layer is not affected by the high temperature caused by formation of the devices in the pixel region. Therefore, the illumination efficiency of the image sensor is improved.
  • an image sensor in some embodiments, includes a substrate, and the substrate includes a pixel region, a peripheral region and a boundary region, and the boundary region is formed between the pixel region and the peripheral region.
  • the image sensor also includes a first gate stack structure formed in the pixel region and a second gate stack structure formed in the peripheral region.
  • the second gate stack structure includes a high-k dielectric layer and a first metal layer.
  • an image sensor in some embodiments, includes a substrate, and the substrate includes a pixel region, a peripheral region and a boundary region, and the boundary region is formed between the pixel region and the peripheral region.
  • the image sensor also includes a dielectric layer formed on and in the substrate in the boundary region.
  • the image sensor further includes a metal layer formed in the boundary region, and the metal layer is embedded in the dielectric layer.
  • a method for forming an image sensor includes providing a substrate, and the substrate includes a pixel region, a peripheral region and a boundary region, and the boundary region is formed between the pixel region and the peripheral region.
  • the method also includes forming a first gate stack structure in the pixel region and forming a protection layer on the first gate stack structure.
  • the method further includes forming an isolation structure in the substrate, and the isolation structure is formed in the boundary region.
  • the method includes forming a high-k dielectric layer, a first metal layer and a polysilicon layer on the substrate.
  • the method also includes forming and patterning a hard mask layer on the polysilicon layer to form a patterned hard mask layer.
  • the method includes removing a portion of the high-k dielectric layer, the first metal layer and the polysilicon layer by using the patterned hard mask layer as a mask, and the high-k dielectric layer and the first metal layer are remained in the boundary region.
  • the method further includes removing the high-k dielectric layer and the first metal layer in the boundary region.

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Electromagnetism (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Solid State Image Pick-Up Elements (AREA)

Abstract

An image sensor and a method for forming an image sensor are provided. The image sensor includes a substrate, and the substrate includes a pixel region, a peripheral region and a boundary region, and the boundary region is formed between the pixel region and the peripheral region. The image sensor also includes a first gate stack structure formed in the pixel region and a second gate stack structure formed in the peripheral region. The second gate stack structure includes a high-k dielectric layer and a first metal layer.

Description

PRIORITY DATA
The present application is a continuation application of U.S. patent application Ser. No. 15/942,512, filed Mar. 31, 2018, which is a divisional application of U.S. patent application Ser. No. 14/466,308, filed Aug. 22, 2014, now U.S. Pat. No. 9,935,139, entitled “IMAGE SENSOR AND METHOD FOR FORMING THE SAME,” hereby incorporated by reference in its entirety.
BACKGROUND
Semiconductor devices are used in a variety of electronic applications, such as personal computers, cell phones, digital cameras, and other electronic equipment. Semiconductor devices are typically fabricated by sequentially depositing insulating or dielectric layers, conductive layers, and semiconductive layers of material over a semiconductor substrate, and patterning the various material layers using lithography to form circuit components and elements thereon.
An image sensor is a semiconductor device for converting an optical image into an electrical signal. The image sensor is roughly classified as a charge coupled device (CCD) or a CMOS image sensor (CIS). One type of the CIS is Front Side Illumination (FSI) image sensor. In the FSI image sensors, light travels to a photo-sensing area through the front side of the pixel. This means that the incident light has to first pass through dielectric layers, and metal layers before it strikes the photo-sensing area, causing low quantum efficiency (QE), serious cross talk between pixels, and dark current. Another type of CIS is Back Side illumination (BSI) image sensors. Instead of illuminating a CMOS image sensor from the top (front) side of the silicon die, a BSI image sensor applies the color filters and the microlens to the back side of the pixels so that the incident light is collected from the back side of the image sensor. Compared to the FSI image sensors, the BSI image sensors have less light loss, reduced crosstalk, and better quantum efficiency.
Although existing image sensors have been generally adequate for their intended purpose, they have not been entirely satisfactory in all aspects.
BRIEF DESCRIPTION OF THE DRAWINGS
Aspects of the present disclosure are best understood from the following detailed description when read with the accompanying figures. It should be noted that, in accordance with the standard practice in the industry, various features are not drawn to scale. In fact, the dimensions of the various features may be arbitrarily increased or reduced for clarity of discussion.
FIG. 1A-1M show cross-sectional representations of various stages of forming an image sensor, in accordance with some embodiments of the disclosure.
DETAILED DESCRIPTION
The following disclosure provides many different embodiments, or examples, for implementing different features of the provided subject matter. Specific examples of components and arrangements are described below to simplify the present disclosure. These are, of course, merely examples and are not intended to be limiting. For example, the formation of a first feature over or on a second feature in the description that follows may include embodiments in which the first and second features are formed in direct contact, and may also include embodiments in which additional features may be formed between the first and second features, such that the first and second features may not be in direct contact. In addition, the present disclosure may repeat reference numerals and/or letters in the various examples. This repetition is for the purpose of simplicity and clarity and does not in itself dictate a relationship between the various embodiments and/or configurations discussed.
Further, spatially relative terms, such as “beneath,” “below,” “lower,” “above,” “upper” and the like, may be used herein for ease of description to describe one element or feature's relationship to another element(s) or feature(s) as illustrated in the figures. The spatially relative terms are intended to encompass different orientations of the device in use or operation in addition to the orientation depicted in the figures. The apparatus may be otherwise oriented (rotated 90 degrees or at other orientations) and the spatially relative descriptors used herein may likewise be interpreted accordingly.
Some variations of the embodiments are described. Throughout the various views and illustrative embodiments, like reference numbers are used to designate like elements. It is understood that additional operations can be provided before, during, and after the method, and some of the operations described can be replaced or eliminated for other embodiments of the method.
Embodiments of an image sensor and a method for forming an image sensor are provided. FIG. 1A-1M show cross-sectional representations of various stages of forming an image sensor, in accordance with some embodiments of the disclosure. The image sensor is a complementary metal oxide semiconductor. In some embodiments, the image sensor is a front-side illumination (FSI) image sensor or a back-side illumination (BSI) image sensor.
Referring to FIG. 1A, a substrate 102 is provided. The substrate 102 may be made of silicon or other semiconductor materials. Alternatively or additionally, the substrate 102 may include other elementary semiconductor materials such as germanium. In some embodiments, the substrate 102 is made of a compound semiconductor such as silicon carbide, gallium arsenic, indium arsenide, or indium phosphide. In some embodiments, the substrate 102 is made of an alloy semiconductor such as silicon germanium, silicon germanium carbide, gallium arsenic phosphide, or gallium indium phosphide. In some embodiments, the substrate 102 includes an epitaxial layer. For example, the substrate 102 has an epitaxial layer overlying a bulk semiconductor.
The substrate 102 includes a pixel region 10, a peripheral region 20 and a boundary region 30. The boundary region 30 is formed between the pixel region 10 and the peripheral region 20. The pixel region 10 is surrounded by the peripheral region 20 when seen from a top-view.
In the pixel region 10, one or more gate stack structure 110 is formed in the pixel region 10. The gate stack structure 110 includes a gate dielectric layer 112, a gate electrode layer 114, a hard mask layer 116 and gate spacers 118. The gate electrode layer 114 is formed on the gate dielectric layer 112, and the hard mask layer 116 is formed on the gate electrode layer 114. The gate spacers 118 are formed on the opposite sidewalls of the gate electrode layer 114. In some other embodiments, the gate stack structure 110 includes additional layers, such as interfacial layers, capping layers, diffusion/barrier layers, or other applicable layers.
The gate dielectric layer 112 may include dielectric materials, such as silicon oxide, silicon nitride, silicon oxynitride, or combinations thereof. The gate electrode layer 114 may include polysilicon.
Although two gate stack structures 110 are formed in the pixel region 10, the number of the gate stack structures 110 are not limited to two and it may be adjusted according to actual application. The gate stack structure 110 may be a transfer transistor, a reset transistor, a source-follower transistor or a select transistor.
At least one photodiode (PD) (not shown) is positioned in the pixel region 10. The photodiode usually receives the incoming light and converts the light into current signals. Furthermore, various doped regions are in the substrate 102 in the pixel region 10. The doped regions correspond to the gate stack structure 110 as source/drain regions.
It should be noted that the devices (such as a photodiode or the gate stack structure 110) in the pixel region 10 are formed first, and the devices in the peripheral region 20 are formed afterwards.
A protection layer 120 is formed on the gate stack structure 110 to cover the gate stack structure 110. The protection layer 120 is used to prevent the underlying devices from being etched during the subsequent processes. In some embodiments, the protection layer 120 is amorphous silicon.
In the peripheral region 20 and the boundary region 30, an oxide layer 130 is formed on the substrate 102. In some embodiments, when the high-voltage devices are formed in the peripheral region 20, a thick gate dielectric layer is needed. Therefore, the oxide layer 130 is used as a gate dielectric layer to provide a thick thickness.
Referring to FIG. 1B, an isolation structure 124, such as a shallow trench isolation (STI) structure, is formed in the substrate 102. The isolation structure 124 prevents electrical interference or crosstalk. Afterwards, a high-k dielectric layer 132 is conformally formed on the protection layer 120, the substrate 102, the isolation structure 124 and the oxide layer 130. A first metal layer 134 is formed on the high-k dielectric layer 132. A polysilicon layer 136 is formed on first metal layer 134.
The high-k dielectric layer 132 includes hafnium oxide, zirconium oxide, aluminum oxide, hafnium dioxide-alumina alloy, hafnium silicon oxide, hafnium silicon oxynitride, hafnium tantalum oxide, hafnium titanium oxide, hafnium zirconium oxide, similar materials, or combinations thereof. The first metal layer 134 includes tantalum nitride (TaN), nickel silicon (NiSi), cobalt silicon (CoSi), molybdenum (Mo), copper (Cu), tungsten (W), aluminum (Al), cobalt (Co), zirconium (Zr), platinum (Pt), or other applicable materials. The gate spacers 118 are made of a dielectric layer, such as a silicon nitride layer, a silicon oxynitride layer, or combinations thereof.
In some other embodiments, an interfacial layer (IL) is formed between the high-k dielectric layer 132 and the substrate 102 to improve the adhesion therebetween.
Afterwards, a hard mask layer 138 is formed on the polysilicon layer 136 in the peripheral region 20 and the boundary region 30. The hard mask layer 138 is used to pattern the underlying layers (such as the polysilicon layer 136, the first metal layer 134 and the high-k dielectric layer 132). The hard mask layer 138 may be made of tetraethyl ortho silicate (TEOS), silicon oxide, silicon nitride, silicon oxynitride, or other applicable materials.
A bottom layer 140 is formed on the hard mask layer 138 and the polysilicon layer 136. A middle layer 142 is formed on the bottom layer 140. A bottom anti-reflective coating (BARC) 144 is formed on the middle layer 142. The middle layer 142 is made of a dielectric layer. The bottom anti-reflective coating (BARC) 144 is made of silicon nitride (SiN), silicon carbide (SiC), silicon oxynitride (SiON). In some embodiments, the bottom layer 140 is made of amorphous carbon, the middle layer 142 is made of silicon oxynitride and the bottom anti-reflective coating (BARC) 144 is made of silicon oxynitride (SiON).
The bottom layer 140, the middle layer 142 or the BARC 144 is independently formed by deposition processes, such as a chemical vapor deposition (CVD) process, high-density plasma chemical vapor deposition (HDPCVD) process, spin-on process, sputtering process, or other applicable processes.
It should be noted that in the pixel region 10, the high-k dielectric layer 132 is formed on the protection layer 120, and in the peripheral region 20, the high-k dielectric layer 132 is formed on the substrate 102 and the oxide layer 130, and therefore a top surface of the high-k dielectric layer 132 in the pixel region 10 is higher than a top surface of the high-k dielectric layer 132 in the peripheral region 20. In addition, in the boundary region 30, the high-k dielectric layer 132 is formed on the sloped sidewall of the protection layer 120.
After the bottom anti-reflective coating (BARC) 144 is formed, a photoresist layer 146 is formed on the BARC 144 as shown in FIG. 1C, in accordance with some embodiments of the disclosure. Afterwards, the photoresist layer 146 is patterned by a patterning process to form a patterned photoresist layer 146. The middle layer 142 and the BARC 144 are patterned by using the patterned photoresist layer 146 as a mask. Afterwards, the patterned photoresist layer 146 is removed.
The patterning process includes a photolithography process and an etching process. The photolithography process includes photoresist coating (e.g., spin-on coating), soft baking, mask aligning, exposure, post-exposure baking, developing the photoresist, rinsing and drying (e.g., hard baking). The etching process includes a dry etching process or a wet etching process.
After the middle layer 142 and the BARC 144 are patterned, the bottom layer 140 is patterned by using the patterned middle layer 142 and the patterned BARC 144 as a mask as shown in FIG. 1D, in accordance with some embodiments of the disclosure.
Afterwards, the middle layer 142 and the BARC 144 are removed, and a patterned bottom layer 140 is obtained as shown in FIG. 1E, in accordance with some embodiments of the disclosure. In some embodiments, the middle layer 142 and the BARC 144 are removed by an etching process, such as a dry etching process or a wet etching process.
After the patterned bottom layer 140 is obtained, the hard mask layer 138 is patterned by using the patterned bottom layer 140 as a mask to form a patterned hard mask layer 138 as shown in FIG. 1F, in accordance with some embodiments of the disclosure. Afterwards, the patterned bottom layer 140 is removed by a dry etching process or a wet etching process.
After the patterned bottom layer 140 is removed, the oxide layer 130, the high-k dielectric layer 132, the first metal layer 134 and the polysilicon layer 136 are patterned by using patterned hard mask layer 138 as a mask as shown in FIG. 1G, in accordance with some embodiments of the disclosure. The patterned high-k dielectric layer 132 in the peripheral region 20 is used as a gate dielectric layer, and the patterned first metal layer 134 is used as a portion of a gate electrode layer.
As shown in FIG. 1G, the high-k dielectric layer 132 and the first metal layer 134 are removed in the pixel region 10, while the high-k dielectric layer 132 and the first metal layer 134 remain on the protection layer 120. It should be noted that the high-k dielectric layer 132 and the first metal layer 134 in the boundary region 30 are formed between the protection layer 120 and the stack structures in the peripheral region 20 (including the pattered polysilicon layer 136 and the patterned hard mask layer 138), and therefore the high-k dielectric layer 132 and the first metal layer 134 are hard to be removed. As a result, high-k dielectric layer 132 and the first metal layer 134 remains in the boundary region 30.
However, the performance of the image sensor may be degraded by the remaining high-k dielectric layer 132 and the first metal layer 134 in the boundary region 30. Therefore, the remaining high-k dielectric layer 132 and the first metal layer 134 in the boundary region 30 are removed in the subsequent process.
Afterwards, a sealing layer 150 is formed on the hard mask layer 138 in the peripheral region 20, on the polysilicon layer 136 in the boundary region 30, and on the protection layer 120 in the pixel region 10 as shown in FIG. 1H, in accordance with some embodiments of the disclosure. It should be noted that one or more stack structure is formed in the peripheral region 20 of FIG. 1G, and a plurality of cavities 148 are formed between two adjacent stack structures. Therefore, afterwards, as shown in FIG. 1H, the sealing layer 150 is conformally formed along the pattern of the stack structure. The sealing layer 150 is also formed in the sidewalls and the bottom of the cavity 148.
The sealing layer 150 is made of a dielectric material. The dielectric material may include, for example, a silicon nitride, silicon oxide, silicon oxynitride, other suitable materials, and/or combinations thereof. The sealing layer 150 is deposited on the surfaces of the stack structure in the peripheral region 20 by using a suitable process, such as a chemical vapor deposition (CVD) process.
Afterwards, the photoresist layer 152 is formed on the sealing layer 150. It should be noted that the photoresist layer 152 is formed in the pixel region 10 and the peripheral region 20 to protect the devices in the pixel region 10 and the peripheral region 20.
After the photoresist layer 152 is formed, the exposed sealing layer 150 is removed as shown in FIG. 1I, in accordance with some embodiments of the disclosure. Afterwards, the hard mask layer 138 and the polysilicon layer 136, the first metal layer 134 and the high-k dielectric layer 132 are respectively and sequentially removed.
The hard mask layer 138 and the polysilicon layer 136, the first metal layer 134 and the high-k dielectric layer 132 are respectively removed by a dry etching process or a wet etching process. In some embodiments, the dry etching gas includes chlorine (Cl2), boron chloride (BCl3) or fluorine (F)-based gas. In some embodiments, the wet etching solution includes HF-based solution, NH4OH solution, NH4OH/H2O2 solution, HCl/H2O2 solution, H2SO4/H2O2 solution.
In order to completely remove the first metal layer 134 and the high-k dielectric layer 132, an over-etching process is performed on a portion of the isolation structure 124. Therefore, a recess 170 is formed by removing the portion of the isolation structure 124.
It should be noted that the recess 170 should not be deeper than the isolation structure 124. The recess 170 extends from a top surface of the substrate 102 to a depth Di. In some embodiments, the depth Di is in a range from about 10 A to about 5000 A. If the depth Di is too great, too much of the isolation structure 124 is removed. If the depth Di is too small, the first metal layer 134 and the high-k dielectric layer 132 may be remaining.
After the over-etching process, a photoresist layer 154 is formed in the peripheral region 20 and the boundary region 30 as shown in FIG. 1J, in accordance with some embodiments of the disclosure. Afterwards, the sealing layer 150 and the protection layer 120 in the pixel region 10 are removed to expose the hard mask layer 116. Afterwards, the photoresist layer 154 is removed.
After the photoresist layer 154 is removed, a portion of sealing layer 150 in the peripheral region 20, the hard mask layer 116 in the pixel region 10 and the hard mask layer 138 in the peripheral region 20 are removed as shown in FIG. 1K, in accordance with some embodiments of the disclosure. Therefore, in the pixel region 10, a top surface of the gate electrode layer 114 is exposed. In the boundary region 30, the isolation structure 124 is exposed. In the peripheral region 20, a top surface of the polysilicon layer 136 is exposed.
Afterwards, an interlayer dielectric (ILD) layer 160 is formed on the exposed surface of the gate electrode layer 114, the exposed isolation structure 124 and the exposed surface of the polysilicon layer 136.
The ILD layer 160 is made of silicon oxide, doped or undoped silicon oxide, undoped silicate glass (USG), phosphorus-doped silicon glass (PSG), boron phosphorus silicate glass (BPSG), phenyl triethoxy silicate (PTEOS) or boron phosphorous tetraethyl silicate (BPTEOS). The ILD 160 is formed by a chemical vapor deposition (CVD) process, a high-density plasma CVD process (HDP CVD), a spin coating or a deposition furnace.
After the ILD layer 160 is deposited, a planarizing process is performed on the ILD layer 160 as shown in FIG. 1L, in accordance with some embodiments of the disclosure. In some embodiments, the planarizing process is a chemical mechanical polishing (CMP) process. After the planarizing process, a photoresist layer 162 is formed on the top surface of the ILD layer 160 in the pixel region 10.
It should be noted that because the recess 170 is formed in the substrate 210, the ILD layer 160 has a recessed surface in the boundary region 30. After the planarizing process, a top surface of the ILD layer 160 in the boundary region 30 is lower than that in the pixel region 10 or in the peripheral region 20. In other words, a portion of the ILD layer 160 in the boundary region 30 extends from a top surface of the substrate 102 to a depth Di in a range from about 10 A to about 5000 A.
After the photoresist layer 162 is formed on the top surface of the ILD layer 160 in the pixel region 10, the polysilicon layer 136 is removed as shown in FIG. 1M, in accordance with some embodiments of the disclosure. Therefore, a plurality of trenches (not shown) is formed and a second metal layer 166 is filled in the trenches. In addition, the second metal layer 166 is also formed on the recessed surface of the ILD layer 160 in the boundary region 30. It should be noted that in the boundary region 30, the second metal layer 166 is embedded in the ILD layer 160.
The second metal layer 166 is made of conductive material. The conductive material may include metal (e.g., tantalum (Ta), titanium (Ti), molybdenum (Mo), tungsten (W), platinum (Pt), aluminum (Al), hafnium (Hf), ruthenium (Ru)), a metal silicide (e.g., titanium silicide, cobalt silicide, nickel silicide, tantalum silicide), or a metal nitride (e.g., titanium nitride, tantalum nitride). In some embodiments, the second metal layer 166 is formed by a chemical vapor deposition (CVD) process or a physical vapor deposition (PVD) process.
In some embodiments, the first metal layer 134 and the second metal layer 166 are made of the same material. In some other embodiments, the first metal layer 134 and the second metal layer 166 are made of different material. The thickness of the first metal layer 134 is smaller than that of the second metal layer 166.
It should be noted that after deposition of the second metal layer 166, a planarizing process is performed on the second metal material to remove the excess of the second metal material outside of the trenches. Therefore, a top surface of the second metal layer 166 in the peripheral region 20 is level with a top surface of the second metal layer 166 in the boundary region 30. In other words, the top surface of the second metal layer 166 in the boundary region 30 is higher than the top surface of the first metal layer 134 in the peripheral region 20.
After the planarizing process is performed on the second metal material, a second ILD layer 168 is formed on the second metal layer 166, the gate stack structure 110 and 210. Afterwards, the metallization structure (not shown) includes an interconnect structure, such as contact plugs and conductive features. Conductive features are embedded in the second ILD layer 168.
As shown in FIG. 1M, a gate stack structure 210 is constructed by the high-k dielectric layer 132, the first metal layer 132 and the second metal layer 134. The high-k dielectric layer 132 is used as a gate dielectric layer, and the first metal layer 132 and the second metal layer 134 are used as a gate electrode layer. In addition, sealing layers 150 are formed on the opposite sidewalls of the gate stack structure 210.
Although three gate stack structures 210 are formed in the peripheral region 20, the number of gate stack structures 210 are not limited to three and it may be adjusted according to actual application.
As shown in FIG. 1M, the high-k dielectric layer 132, the first metal layer 134 and the second metal layer 166 are formed in the peripheral region 20, but no remaining high-k dielectric layer 132 and the first metal layer 134 are formed in the boundary region 30. More importantly, no remaining high-k dielectric layer 132 and the first metal layer 134 are formed in the pixel region 10.
If the devices with the high-k dielectric layer and the metal layer (or called HK/MG) in the peripheral region are formed before the devices (such as photodiode (PD)) in the pixel region, the photodiode is sensitive to the metal ion and the performance of the photodiode may be degraded due to the contamination of the metal ion. In addition, formation of the photodiode in the pixel region is operated a high temperature, therefore the metal layer in the peripheral region may be deteriorated due to the high temperature.
It should be noted that in order to avoid the contamination and decline of the metal layer, the devices (such as the gate stack structure 110 and a photodiode) in the pixel region 10 are formed first, and the devices (such as gate stack structure 210) in the peripheral region 20 are formed afterwards, in accordance with some embodiments of the disclosure. Therefore, the metal layer (such as first metal layer 134 and/or the second metal layer 166) in the peripheral region 20 is not affected by the high temperature. Furthermore, the high-k dielectric layer and the metal layer (also called HK/MG) in the boundary region 30 are removed completely by an over-etching process, and therefore metal contamination is avoided. In addition, the performance of the devices (such as photodiode) in the pixel region 10 is improved.
Embodiments of an image sensor and a method for forming an image sensor are provided. The image sensor is a front-side illumination (FSI) image sensor or a back-side illumination (BSI) image sensor. The image sensor includes a substrate, and the substrate includes a pixel region, a peripheral region and a boundary region, and the boundary region is formed between the pixel region and the peripheral region. A high-k dielectric layer and a metal layer (also called HK/MG) are formed in the peripheral region. However, the high-k dielectric layer and the metal layer are not formed in the pixel region and the boundary region. Therefore, metal contamination problem is avoided. In addition, because the devices in the pixel region are formed before formation of the devices in the peripheral region, the high-k dielectric layer and the metal layer (also called HK/MG) is not affected by the high temperature caused by formation of the devices in the pixel region. Therefore, the illumination efficiency of the image sensor is improved.
In some embodiments, an image sensor is provided. The image sensor includes a substrate, and the substrate includes a pixel region, a peripheral region and a boundary region, and the boundary region is formed between the pixel region and the peripheral region. The image sensor also includes a first gate stack structure formed in the pixel region and a second gate stack structure formed in the peripheral region. The second gate stack structure includes a high-k dielectric layer and a first metal layer.
In some embodiments, an image sensor is provided. The image sensor includes a substrate, and the substrate includes a pixel region, a peripheral region and a boundary region, and the boundary region is formed between the pixel region and the peripheral region. The image sensor also includes a dielectric layer formed on and in the substrate in the boundary region. The image sensor further includes a metal layer formed in the boundary region, and the metal layer is embedded in the dielectric layer.
In some embodiments, a method for forming an image sensor is provided. The method includes providing a substrate, and the substrate includes a pixel region, a peripheral region and a boundary region, and the boundary region is formed between the pixel region and the peripheral region. The method also includes forming a first gate stack structure in the pixel region and forming a protection layer on the first gate stack structure. The method further includes forming an isolation structure in the substrate, and the isolation structure is formed in the boundary region. The method includes forming a high-k dielectric layer, a first metal layer and a polysilicon layer on the substrate. The method also includes forming and patterning a hard mask layer on the polysilicon layer to form a patterned hard mask layer. The method includes removing a portion of the high-k dielectric layer, the first metal layer and the polysilicon layer by using the patterned hard mask layer as a mask, and the high-k dielectric layer and the first metal layer are remained in the boundary region. The method further includes removing the high-k dielectric layer and the first metal layer in the boundary region.
The foregoing outlines features of several embodiments so that those skilled in the art may better understand the aspects of the present disclosure. Those skilled in the art should appreciate that they may readily use the present disclosure as a basis for designing or modifying other processes and structures for carrying out the same purposes and/or achieving the same advantages of the embodiments introduced herein. Those skilled in the art should also realize that such equivalent constructions do not depart from the spirit and scope of the present disclosure, and that they may make various changes, substitutions, and alterations herein without departing from the spirit and scope of the present disclosure.

Claims (20)

What is claimed is:
1. A method for forming an image sensor, comprising:
providing a substrate, wherein the substrate comprises a pixel region, a peripheral region and a boundary region, and the boundary region is formed between the pixel region and the peripheral region;
forming a first gate stack structure in the pixel region;
forming a protection layer on the first gate stack structure;
forming an isolation structure in the substrate, wherein the isolation structure is formed in the boundary region;
forming a plurality of layers over the substrate and over the protection layer;
patterning the plurality of layers to form a stack in the peripheral region of the substrate, wherein the plurality of layers remain in the boundary region when patterning the stack; and
subsequently, removing the plurality of layers in the boundary region.
2. The method for forming the image sensor as claimed in claim 1, wherein at least one of the plurality of layers is a high-k dielectric.
3. The method for forming the image sensor as claimed in claim 2, wherein at least one of the plurality of layers is a metal layer.
4. The method for forming the image sensor as claimed in claim 1, after removing the plurality of layers in the boundary region, further comprising:
removing a portion of the isolation structure to form a recess in the isolation structure.
5. The method for forming the image sensor as claimed in claim 4, further comprising:
forming a dielectric layer in the recess and in the peripheral region.
6. The method for forming an image sensor as claimed in claim 1, wherein the forming the protection layer on the first gate stack structure includes depositing amorphous silicon.
7. The method for forming an image sensor as claimed in claim 6, wherein the protection layer is formed having a sloped sidewall over the boundary region.
8. The method for forming an image sensor as claimed in claim 7, further comprising: forming the plurality of layers over the sloped sidewall.
9. A method for forming an image sensor, comprising:
providing a substrate, wherein the substrate comprises a pixel region, a peripheral region and a boundary region, and the boundary region is formed between the pixel region and the peripheral region;
providing at least one gate stack structure in the pixel region;
forming a protection layer on a first gate stack structure of the at least one gate stack structure;
forming an isolation structure in the substrate, wherein the isolation structure is formed in the boundary region;
after forming the protection layer and the isolation structure, depositing a plurality of layers on the substrate extending from over the protection layer in the boundary region to the peripheral region;
performing one or more etching processes on the plurality of layers to form a first stack and a second stack with a cavity interposing the first stack and the second stack in the peripheral region and remove the plurality of layers from the boundary region, wherein the isolation structure is recessed during the one or more etching processes.
10. The method of claim 9, wherein the depositing the plurality of layers includes:
depositing a high-k dielectric layer; and
depositing a conductive layer over the high-k dielectric layer.
11. The method of claim 10, wherein the depositing the plurality of layers further includes:
depositing a polysilicon layer over the conductive layer, wherein the conductive layer is a metal layer.
12. The method of claim 11, further comprising:
removing the polysilicon layer from at least one of the first stack or the second stack; and
forming another metal layer in a trench provided by the removing the polysilicon layer.
13. The method of claim 12, wherein the forming another metal layer also includes forming the another metal layer over the recessed isolation structure.
14. The method of claim 13, wherein the forming the another metal layer over the recessed isolation structure includes forming the another metal layer over an interlayer dielectric (ILD) layer disposed over the recessed isolation structure and in the cavity between the first stack and the second stack.
15. A method for forming an image sensor, comprising:
providing a substrate having a plurality of gate structures in a pixel region, a plurality of features interposed by cavities in a peripheral region and an isolation feature in a boundary region between the pixel region and the peripheral region;
depositing a dielectric material over the isolation feature and the plurality of features in the peripheral region, wherein the dielectric material has a concave top surface over the isolation feature; and
replacing a sacrificial layer of at least one of the plurality of features in the peripheral region with a conductive material, wherein during a deposition of the conductive material, a portion of the conductive material is formed over the dielectric material over the concave top surface in the boundary region.
16. The method of claim 15, further comprising:
etching back the dielectric material to expose a top surface of the sacrificial layer of each of the plurality of features.
17. The method of claim 15, wherein deposition of the conductive material includes depositing a metal of at least one of tantalum (Ta), titanium (Ti), molybdenum (Mo), tungsten (W), platinum (Pt), aluminum (Al), hafnium (Hf), or ruthenium (Ru).
18. The method of claim 15, wherein deposition of the conductive material includes forming a metal silicide.
19. The method of claim 15, further comprising: forming a recess in the isolation feature and depositing the dielectric material over the recess.
20. The method of claim 19, wherein after depositing the dielectric material, performing a chemical mechanical planarization process to form the concave top surface.
US16/396,572 2014-08-22 2019-04-26 Image sensor and method for forming the same Active US10868055B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US16/396,572 US10868055B2 (en) 2014-08-22 2019-04-26 Image sensor and method for forming the same

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US14/466,308 US9935139B2 (en) 2014-08-22 2014-08-22 Image sensor and method for forming the same
US15/942,512 US10276613B2 (en) 2014-08-22 2018-03-31 Image sensor and method for forming the same
US16/396,572 US10868055B2 (en) 2014-08-22 2019-04-26 Image sensor and method for forming the same

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US15/942,512 Continuation US10276613B2 (en) 2014-08-22 2018-03-31 Image sensor and method for forming the same

Publications (2)

Publication Number Publication Date
US20190252434A1 US20190252434A1 (en) 2019-08-15
US10868055B2 true US10868055B2 (en) 2020-12-15

Family

ID=55273997

Family Applications (3)

Application Number Title Priority Date Filing Date
US14/466,308 Active 2034-10-27 US9935139B2 (en) 2014-08-22 2014-08-22 Image sensor and method for forming the same
US15/942,512 Active US10276613B2 (en) 2014-08-22 2018-03-31 Image sensor and method for forming the same
US16/396,572 Active US10868055B2 (en) 2014-08-22 2019-04-26 Image sensor and method for forming the same

Family Applications Before (2)

Application Number Title Priority Date Filing Date
US14/466,308 Active 2034-10-27 US9935139B2 (en) 2014-08-22 2014-08-22 Image sensor and method for forming the same
US15/942,512 Active US10276613B2 (en) 2014-08-22 2018-03-31 Image sensor and method for forming the same

Country Status (5)

Country Link
US (3) US9935139B2 (en)
KR (1) KR101710764B1 (en)
CN (1) CN105374831B (en)
DE (1) DE102015105953B4 (en)
TW (1) TWI543353B (en)

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9935139B2 (en) * 2014-08-22 2018-04-03 Taiwan Semiconductor Manufacturing Co., Ltd. Image sensor and method for forming the same
CN108734071A (en) * 2017-04-24 2018-11-02 上海箩箕技术有限公司 The forming method of imaging sensor
KR102342550B1 (en) 2017-06-09 2021-12-23 삼성전자주식회사 Semiconductor devices
KR102506837B1 (en) * 2017-11-20 2023-03-06 삼성전자주식회사 Image sensor and method for fabricating the same
US10923594B2 (en) * 2018-12-20 2021-02-16 Globalfoundries U.S. Inc. Methods to reduce or prevent strain relaxation on PFET devices and corresponding novel IC products

Citations (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006100620A (en) 2004-09-30 2006-04-13 Sony Corp Solid-state image pickup element and semiconductor device
US7038259B2 (en) 2003-10-22 2006-05-02 Micron Technology, Inc. Dual capacitor structure for imagers and method of formation
US20070187787A1 (en) 2006-02-16 2007-08-16 Ackerson Kristin M Pixel sensor structure including light pipe and method for fabrication thereof
US7417273B2 (en) 2005-03-17 2008-08-26 Fujitsu Limited Image sensor with embedded photodiode region and fabrication method thereof
JP2009088447A (en) 2007-10-03 2009-04-23 Sony Corp Solid-state image sensing device and its manufacturing method
KR20090071227A (en) 2007-12-27 2009-07-01 주식회사 동부하이텍 Image sensor and method for manufacturing thereof
TW201015691A (en) 2008-10-06 2010-04-16 Taiwan Semiconductor Mfg Photo alignment mark for gate last process
US7749788B2 (en) 2006-08-31 2010-07-06 Canon Kabushiki Kaisha Manufacturing method of photoelectric conversion device
US20100197128A1 (en) * 2009-02-04 2010-08-05 Schaeffer James K CMOS Integration with Metal Gate and Doped High-K Oxides
JP2010232284A (en) 2009-03-26 2010-10-14 Sony Corp Solid state imaging apparatus, method of manufacturing the same, and electronic apparatus
WO2012029644A1 (en) 2010-08-30 2012-03-08 シャープ株式会社 Semiconductor device and process for production thereof
US8354631B2 (en) 2009-03-04 2013-01-15 Sony Corporation Solid-state image device manufacturing method thereof, and image capturing apparatus with first and second stress liner films
US8440540B2 (en) 2009-10-02 2013-05-14 Taiwan Semiconductor Manufacturing Company, Ltd. Method for doping a selected portion of a device
US20140227843A1 (en) 2013-02-12 2014-08-14 Renesas Electronics Corporation Method of manufacturing a semiconductor device
US20140264719A1 (en) 2013-03-12 2014-09-18 Taiwan Semiconductor Manufacturing Company, Ltd. Varied STI Liners for Isolation Structures in Image Sensing Devices
US10276613B2 (en) * 2014-08-22 2019-04-30 Taiwan Semiconductor Manufacturing Co., Ltd. Image sensor and method for forming the same

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100869744B1 (en) * 2006-12-29 2008-11-21 동부일렉트로닉스 주식회사 CMOS Image Sensor and Method of Manufaturing Thereof
KR100872719B1 (en) * 2007-04-17 2008-12-05 동부일렉트로닉스 주식회사 Image Sensor and Method for Manufacturing thereof
CN102479793B (en) * 2010-11-29 2014-01-15 格科微电子(上海)有限公司 Complementary metal-oxide-semiconductor (CMOS) image sensor and manufacture method thereof
JP2013089707A (en) * 2011-10-17 2013-05-13 Sony Corp Image sensor, imaging device, and imaging device and method
JP2014072237A (en) * 2012-09-27 2014-04-21 Renesas Electronics Corp Semiconductor device

Patent Citations (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7038259B2 (en) 2003-10-22 2006-05-02 Micron Technology, Inc. Dual capacitor structure for imagers and method of formation
JP2006100620A (en) 2004-09-30 2006-04-13 Sony Corp Solid-state image pickup element and semiconductor device
US7417273B2 (en) 2005-03-17 2008-08-26 Fujitsu Limited Image sensor with embedded photodiode region and fabrication method thereof
US20070187787A1 (en) 2006-02-16 2007-08-16 Ackerson Kristin M Pixel sensor structure including light pipe and method for fabrication thereof
US7749788B2 (en) 2006-08-31 2010-07-06 Canon Kabushiki Kaisha Manufacturing method of photoelectric conversion device
JP2009088447A (en) 2007-10-03 2009-04-23 Sony Corp Solid-state image sensing device and its manufacturing method
KR20090071227A (en) 2007-12-27 2009-07-01 주식회사 동부하이텍 Image sensor and method for manufacturing thereof
TW201015691A (en) 2008-10-06 2010-04-16 Taiwan Semiconductor Mfg Photo alignment mark for gate last process
US20100197128A1 (en) * 2009-02-04 2010-08-05 Schaeffer James K CMOS Integration with Metal Gate and Doped High-K Oxides
US8354631B2 (en) 2009-03-04 2013-01-15 Sony Corporation Solid-state image device manufacturing method thereof, and image capturing apparatus with first and second stress liner films
JP2010232284A (en) 2009-03-26 2010-10-14 Sony Corp Solid state imaging apparatus, method of manufacturing the same, and electronic apparatus
US8440540B2 (en) 2009-10-02 2013-05-14 Taiwan Semiconductor Manufacturing Company, Ltd. Method for doping a selected portion of a device
WO2012029644A1 (en) 2010-08-30 2012-03-08 シャープ株式会社 Semiconductor device and process for production thereof
US20140227843A1 (en) 2013-02-12 2014-08-14 Renesas Electronics Corporation Method of manufacturing a semiconductor device
US8951869B2 (en) 2013-02-12 2015-02-10 Renesas Electronics Corporation Method of manufacturing a semiconductor device
US20140264719A1 (en) 2013-03-12 2014-09-18 Taiwan Semiconductor Manufacturing Company, Ltd. Varied STI Liners for Isolation Structures in Image Sensing Devices
US9006080B2 (en) 2013-03-12 2015-04-14 Taiwan Semiconductor Manufacturing Company, Ltd. Varied STI liners for isolation structures in image sensing devices
US10276613B2 (en) * 2014-08-22 2019-04-30 Taiwan Semiconductor Manufacturing Co., Ltd. Image sensor and method for forming the same

Also Published As

Publication number Publication date
US20160056191A1 (en) 2016-02-25
DE102015105953A1 (en) 2016-02-25
TW201608710A (en) 2016-03-01
KR101710764B1 (en) 2017-02-27
KR20160023530A (en) 2016-03-03
US20190252434A1 (en) 2019-08-15
CN105374831B (en) 2019-06-14
DE102015105953B4 (en) 2021-10-14
TWI543353B (en) 2016-07-21
US9935139B2 (en) 2018-04-03
US10276613B2 (en) 2019-04-30
US20180226440A1 (en) 2018-08-09
CN105374831A (en) 2016-03-02

Similar Documents

Publication Publication Date Title
US11728366B2 (en) Extra doped region for back-side deep trench isolation
US10868055B2 (en) Image sensor and method for forming the same
KR101671640B1 (en) Image sensor device and method
US10147752B2 (en) Back-side illuminated (BSI) image sensor with global shutter scheme
US9640582B2 (en) Method of manufacturing image sensor having enhanced backside illumination quantum efficiency
KR102399338B1 (en) Method of fabricating an image sensor same
US9647022B2 (en) Multi-layer structure for high aspect ratio etch
US20220344383A1 (en) Backside structure for image sensor
KR101412883B1 (en) Image device and methods of forming the same
US10497736B2 (en) Backside illuminated image sensor
US20210351225A1 (en) Image sensor grid and method of manufacturing same
CN115831989A (en) Image sensor pixel with deep trench isolation structure
JP2005191480A (en) Manufacturing method of solid-state imaging device
TWI775332B (en) Backside illuminated image sensor and manufacturing method therefore
US20240153979A1 (en) Image Sensor Structure with Reduced Floating Node and Manufacturing Method Thereof

Legal Events

Date Code Title Description
FEPP Fee payment procedure

Free format text: ENTITY STATUS SET TO UNDISCOUNTED (ORIGINAL EVENT CODE: BIG.); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

AS Assignment

Owner name: TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD., TAIW

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:LIU, MING-CHYI;REEL/FRAME:049198/0146

Effective date: 20140924

Owner name: TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD., TAIWAN

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:LIU, MING-CHYI;REEL/FRAME:049198/0146

Effective date: 20140924

STPP Information on status: patent application and granting procedure in general

Free format text: DOCKETED NEW CASE - READY FOR EXAMINATION

STPP Information on status: patent application and granting procedure in general

Free format text: NON FINAL ACTION MAILED

STPP Information on status: patent application and granting procedure in general

Free format text: NOTICE OF ALLOWANCE MAILED -- APPLICATION RECEIVED IN OFFICE OF PUBLICATIONS

STPP Information on status: patent application and granting procedure in general

Free format text: PUBLICATIONS -- ISSUE FEE PAYMENT VERIFIED

STCF Information on status: patent grant

Free format text: PATENTED CASE

MAFP Maintenance fee payment

Free format text: PAYMENT OF MAINTENANCE FEE, 4TH YEAR, LARGE ENTITY (ORIGINAL EVENT CODE: M1551); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

Year of fee payment: 4