TWI836121B - 在晶圓上增加圖案密度的方法 - Google Patents

在晶圓上增加圖案密度的方法 Download PDF

Info

Publication number
TWI836121B
TWI836121B TW109125228A TW109125228A TWI836121B TW I836121 B TWI836121 B TW I836121B TW 109125228 A TW109125228 A TW 109125228A TW 109125228 A TW109125228 A TW 109125228A TW I836121 B TWI836121 B TW I836121B
Authority
TW
Taiwan
Prior art keywords
layer
line
substrate
etching
filling material
Prior art date
Application number
TW109125228A
Other languages
English (en)
Other versions
TW202123340A (zh
Inventor
珊嘉娜 達斯
安東 J 德維利耶
丹尼爾 富爾福德
Original Assignee
日商東京威力科創股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 日商東京威力科創股份有限公司 filed Critical 日商東京威力科創股份有限公司
Publication of TW202123340A publication Critical patent/TW202123340A/zh
Application granted granted Critical
Publication of TWI836121B publication Critical patent/TWI836121B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • H01L21/32137Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas of silicon-containing layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0338Process specially adapted to improve the resolution of the mask

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

本文之技術包含半導體晶圓之圖案化方法,其中線邊緣粗糙度(LER)及/或線寬粗糙度(LWR)獲得改善,包括寬度小於12 nm的線。形成初始的雙層心軸。將頂層修整為特定比例。反轉材料保護下層的露出部分,而將中央部分移除,從而產生兩個心軸,其各者為初始心軸寬度的五分之一。將所得之心軸轉移至兩個下伏層中,以形成第二雙層心軸。在第二雙層心軸上形成側壁間隔件,且填充材料可填充剩餘間隔。平坦化步驟可將基板平坦化至第二雙層心軸的底層,其引致一多線層,該多線層具有1:1間隔比的方形輪廓線,而無間隔件圓化現象。

Description

在晶圓上增加圖案密度的方法
[相關申請案的交互參照] 本申請案主張2019年8月2日提交的案名為「METHOD FOR INCREASING PATTERN DENSITY ON A WAFER」之美國專利申請案第16/529,972號的優先權,在此將其全文引入以供參照。
本發明涉及基板處理,更具體而言,涉及用於圖案化基板的技術,包括半導體晶圓之圖案化。
微影處理中之線寬縮減方法歷來涉及使用較大NA(數值孔徑)之光學元件、較短的曝光波長、或空氣以外的界面介質(例如,水浸)。隨著習知微影處理之解析度已逼近理論限制,製造商開始轉向雙重圖案化(DP)方法以克服光學限制。
在材料處理方法(例如微影術)中,產生圖案化層之操作包含將輻射敏感材料(例如光阻)塗佈於基板的表面上。將此輻射敏感材料轉變為一起伏圖案(圖案化遮罩),其可用以將圖案蝕刻或轉移至基板上的下伏層中。輻射敏感材料之圖案化通常涉及利用例如一微影系統由輻射源通過光罩(及相關光學元件)而曝光至輻射敏感材料上。接著,在此曝光步驟之後,可使用顯影溶劑將輻射敏感材料之受照射區域移除(在使用正型光阻的情況下)、或將未受照射區域移除(在使用負型光阻的情況下)。此圖案化遮罩可包含複數子層。
半導體技術正持續發展到14奈米以下的較小特徵部尺寸。用於製造前述元件之特徵部之尺寸的持續減小,使得用以形成該等特徵部之技術面臨越來越高的要求。「節距」的概念可用以描述該等特徵部的尺寸。節距為兩個相鄰重複特徵部中的兩個相同點之間的距離。
節距縮減技術(其常被些許錯誤地稱為「節距倍增(pitch multiplication)」,以「節距雙倍化(pitch doubling)」及「節距四倍化(pitch quadrupling)」為例)可將微影術的能力擴展至超出特徵部尺寸限制(曝光限制)的範圍。亦即,習知上節距「倍增」某個因數實際上涉及使節距倍縮該因數。使用193nm之浸潤式微影的雙重圖案化技術(DPT)被認為對於22 nm以後的節點而言是最具前景的候選者之一。特別是因為自對準間隔件雙重圖案化(SADP)已被確立為節距雙倍化處理,並且適合於NAND快閃記憶體裝置之大量製造。此外,可獲得超精細的解析度,以重複SADP步驟兩次作為節距四倍化處理。反轉層之引入使得能夠形成精細的渠溝圖案及孔洞圖案。在此,初始圖案係透過X-Y雙線曝光而獲得。接著,將反轉材料塗佈於初始圖案上,且後續的蝕刻處理將初始渠溝圖案轉變為線。
一實施例包含一種基板圖案化方法。接收具有位於下伏層堆疊體上的第一起伏圖案的一基板。該第一起伏圖案包含具有三層材料的線形結構。該三層材料包含位在一抗反射塗層上的一光阻層。該抗反射塗層係位在第三層上。該等線形結構可具有第一節距,該第一節距具有5:3的線對間隔比。一蝕刻操作選擇性地蝕刻該光阻層,僅使得該光阻層係從線的初始寬度被橫向蝕刻至線的結果寬度,線的該結果寬度等於光阻之線的初始寬度的五分之三。填充材料填充該第一起伏圖案之複數結構之間的間隔、覆蓋該抗反射塗層之水平頂表面、並且使該光阻層的頂表面保持露出。執行第二蝕刻操作,該第二蝕刻操作移除該光阻層,並各向異性地蝕刻該抗反射塗層及該第三層的露出部分。將該填充材料從該基板上移除。利用第三層及第二層作為蝕刻遮罩,將該第三層之剩餘部分所界定之圖案轉移至第四層及第五層中。該第四層係位在該第三層下方,且該第五層係位在該第四層下方。此圖案轉移步驟致使第四層及第五層形成雙層心軸。接著,在雙層心軸上形成側壁間隔件。可在基板上沉積第二填充材料,其至少部分地填充界定於雙層心軸上的側壁間隔件之間的間隔。藉由移除該第四層並從該等側壁間隔件及該第二填充材料向下移除材料至該第五層的頂表面,以將該基板平坦化,從而形成一多線層,其在該第五層之頂表面處具有一平坦表面且具有1:1之比例的線。
當然,為了清楚解釋而呈現本文所述之不同步驟的討論順序。一般而言,可以任何適當順序執行該等步驟。此外,雖然本文之每個不同特徵、技術、構造等可於本發明的不同地方討論,但每個概念應可各自單獨或互相組合而執行。因此,可以許多不同方式實施及分析本發明。
應注意,此發明內容之章節並未指明本發明或申請專利範圍之所有實施例及/或漸增之新穎態樣。而應為,此發明內容僅提供不同實施例及相對於習知技術之新穎性對應點的初步討論。關於本發明及實施例之額外的細節及/或可能的觀點,讀者將被導向如下進一步討論之本發明的實施方式之章節及對應之圖式。
本文之技術包含半導體晶圓之圖案化方法,其中線邊緣粗糙度(LER)及/或線寬粗糙度(LWR)獲得改善,甚至適用於寬度小於12 nm的線。形成初始的雙層心軸。將頂層修整為特定比例。反轉材料(reversal material)保護下層的露出部分,而將中央部分移除,從而產生兩個心軸,其各者為初始心軸寬度的五分之一。將所得之心軸轉移至兩個下伏層中,以形成第二雙層心軸。在第二雙層心軸上形成側壁間隔件,且填充材料可填充剩餘間隔。平坦化步驟可將基板平坦化至第二雙層心軸的底層,其引致一多線層,該多線層具有1:1間隔比的方形輪廓線,而無間隔件圓化現象。
本文之實施例包含將基板圖案化以進行微製造的基板處理技術。參照圖1,在基板100上製備一基板層堆疊體。基板堆疊體內的膜層可具有各種材料及厚度,並且具有不同的蝕刻電阻率。基板100包含膜層107、膜層109、膜層111、膜層114、膜層116、膜層118、及膜層120。膜層107可為一光阻層。膜層109可為底部抗反射塗層。膜層111可為非晶碳。膜層114可為硬遮罩材料。膜層116及118可為額外的記憶層。膜層120可為多晶矽。可藉由化學氣相沉積(CVD)、物理氣相沉積(PVD)、旋塗式沉積、或任何其他沉積技術以沉積各種膜層。不同的基板堆疊體可依據設計目標而具有膜層及厚度之各種配置。
接著,使該光阻層暴露於光化輻射之圖案,其在該光阻層中產生潛在圖案。對該光阻層進行顯影(例如藉由使用負型顯影劑),並且此引致光阻之起伏圖案131,如圖2所示。此起伏圖案可包含具有5:3節距的線或結構。作為非限制性範例,可透過在負型顯影光阻上使用X-Y雙線偶極曝光(X-Y double line dipole exposure)而獲得該潛在圖案。圖2及其餘圖式包含標尺190,其顯示線與間隔之簡化的相對比例。
接著,將光阻起伏圖案轉移至一或更多下伏膜層。該起伏圖案用作一蝕刻遮罩,以用於(例如藉由基於電漿之蝕刻劑)各向異性地蝕刻下伏膜層。此可包含將圖案轉移至抗反射塗層、SiON、非晶碳等之膜層。反應離子蝕刻(RIE)處理可用以將光阻起伏圖案轉移至抗反射塗層中、並轉移至平坦化膜(如非晶碳層)中。範例結果係顯示於圖3中,在圖3中該光阻起伏圖案已被轉移至膜層109及膜層111中,從而形成起伏圖案132。藉由標尺190可看出,起伏圖案132具有8個單位的節距,其具有5:3的線對間隔比,因為線具有五個單位的橫截面線寬,而間隔具有三個單位的橫截面間隔寬度。
接著,將光阻的起伏圖案從初始寬度修整為經修改寬度,該經修改寬度小於該初始寬度。作為非限制性範例,可將光阻修整至初始寬度的五分之三(3/5)。圖4顯示光阻的線,其初始的寬度為5個單位,但已被修整為3個單位的寬度。可使用對光阻具選擇性的各向同性蝕刻處理執行此第一層之修整步驟,因為蝕刻化學品不會顯著地蝕刻ARC塗層、非晶碳層、及硬遮罩底板,該硬遮罩底板可為氮化矽(SiN)、TiN等。
現參照圖5,在基板上沉積填充材料122以用作反轉材料或反轉層。基板100可利用各種沉積技術而塗佈有填充材料122。若填充材料之沉積產生一上覆部分(overburden),則可均勻地回蝕填充材料的頂部或使其平坦化,直到使起伏圖案131露出為止。平坦化的另一選項為擴散受限的溶解度改變處理。可使填充材料凹入至光阻起伏圖案之頂表面以下。例如,酸或溶解度改變劑被沉積在填充材料上,並垂直向下擴散至可顯影之填充材料中,其使得擴散的部分/厚度可溶解、或在烘烤步驟後可溶解。隨後,可溶解的頂部可被顯影和去除,從而使光阻的起伏圖案露出。然而,填充材料可能在光阻起伏圖案被修整的區域中覆蓋第一下伏層。含矽的可顯影底部抗反射塗層(Si-DBARC)可用作該填充材料。
接著執行第三蝕刻步驟。此第三蝕刻步驟為定向且具選擇性的。填充材料抵抗蝕刻作用,而光阻經受蝕刻,且在光阻下方的第二層(膜層109)及第三層(膜層111)之露出部分亦被蝕刻。應注意,可使用不同的蝕刻化學品以將各個單獨膜層作為目標。因此,第三蝕刻步驟可包含三個個別的蝕刻操作。可利用灰化步驟以移除光阻。亦應注意,可使用包含界面層的其他膜層。介於膜層107與109之間、及109與111之間的任何界面層可依次被蝕刻。應注意,填充材料122用作一蝕刻遮罩,其覆蓋和保護起伏圖案132之一部分。此處理本質上將線圖案變為渠溝圖案,因為開口或渠溝係形成於起伏圖案132的各條線中。圖6顯示一範例結果。
接著,可利用選擇性電漿蝕刻、濕式蝕刻、或顯影化學品將填充材料122移除。圖7顯示一範例結果。所得之線或結構現在具有的厚度為光阻線之初始厚度的五分之一(1/5)。因此,長度為五個單位的各個初始心軸被分成兩個長度為一個單位的心軸,且在各條新的線之間有三個單位的間隔。
接著,剩餘的(窄化的)結構可用作一蝕刻遮罩以轉移至膜層114中,該膜層114可為一硬遮罩層(非晶碳、SiN等)。接著可將起伏圖案132中的剩餘結構移除。一範例結果係顯示於圖8中。該結果可包含具有4個單位之新節距的線圖案,其中線具有一個單位的寬度,而間隔具有三個單位的寬度。因此線對間隔比為1:3。此時,可接著繼續進行各種微製造步驟之任一者。例如,在硬遮罩材料中形成之新的線可用作自對準雙重圖案化(SADP)處理中的心軸。
在另外的步驟中,所得之圖案被轉移至下伏層中,其可包含兩個下伏層(膜層116及膜層118)。接著可將膜層114之蝕刻遮罩移除。一範例結果係顯示於圖9中。應注意,起伏圖案135包含形成雙層心軸的線。
雙層心軸可接著用於側壁間隔件之形成。例如,執行保形沉積處理,如ALD氧化物保形塗層。一範例結果係顯示於圖10中。此保形塗層在所有表面上沉積大致相等厚度的薄膜。
在保形沉積處理之後,可進行一間隔件開啟蝕刻處理,以從水平表面去除間隔件材料(例如二氧化矽)。一範例結果係顯示於圖11中,其顯示現在位於兩層心軸上的側壁間隔件137,且間隔係介於複數側壁間隔件之間。
接著可將第二填充材料沉積在基板上。圖12顯示填充複數側壁間隔件137之間的間隔之填充材料142。一範例填充材料為旋塗非晶碳過填充(overfill)或有機平坦化層。可對沉積所致之任何上覆部分(overburden)進行回蝕,以使側壁間隔件及心軸露出。
接著,藉由化學機械研磨(CMP)將基板平坦化。可將兩層/雙層心軸的下層選擇為CMP停止材料,例如氮化矽。CMP停止材料為抵抗CMP平坦化且用作停止CMP處理之有效材料的材料。一範例結果係顯示於圖13中。應注意, 基板上之所得圖案可為一多線層,其具有平坦頂表面及不同蝕刻電阻率之材料的重複圖案,而無任何的材料頂部圓化現象。替代性地,可對基板進行蝕刻以使硬遮罩心軸暴露。該結果可為非晶碳與SiN之交替線,且間隔件氧化矽位在它們之間。
可從此時繼續進行任何進一步的步驟。例如,可透過蝕刻處理移除間隔件氧化物材料(圖14)。或者,可將CMP停止材料及填充材料142兩者移除,留下所有均為相同材料之1:1比例的線與間隔之圖案。在另一選項中,可在多線層上方形成蝕刻遮罩,且/或可將圖案轉移至下方的多晶矽層中。
因此,一實施例包含一種基板圖案化方法。接收或形成具有位在下伏層堆疊體上之第一起伏圖案的基板。第一起伏圖案包含具有三層材料的線形結構。該三層材料包含位在抗反射塗層上的一光阻層。抗反射塗層係位在第三層上。該線形結構可具有第一節距,其具有5:3的線對間隔比,因為線具有五個單位的橫截面線寬,而間隔具有三個單位的橫截面間隔寬度。
執行第一蝕刻操作。此第一蝕刻操作為各向同性或非定向的,並且使用選擇性蝕刻該光阻層而不蝕刻抗反射塗層、不蝕刻第三層、且不蝕刻下伏層堆疊體的化學品。此各向同性蝕刻操作使得光阻層係從線的初始寬度被至少橫向蝕刻至線的結果寬度。高度亦可能隨著均勻蝕刻而減少。線的結果寬度小於線的初始寬度。可橫向地蝕刻此光阻層以使得光阻之線的結果寬度等於光阻之線的初始寬度的五分之三。
在基板上沉積填充材料,其填充第一起伏圖案之複數結構之間的間隔、覆蓋抗反射塗層之水平頂表面、並且使光阻層的頂表面保持露出。沉積填充材料之步驟可包含複數步驟。例如,沉積填充材料之步驟可能產生材料之上覆部分(overburden),其完全地覆蓋基板及其上的結構。可透過回蝕處理或基於酸之擴散處理而移除該上覆部分(或結構之頂表面上方的量)。可使溶解度改變劑從填充材料之頂表面擴散一預定深度至填充材料中,從而使此部分可溶於特定溶劑。擴散作用可由熱或光驅動。接著可將填充材料之可溶解部分顯影(移除),從而使光阻層之頂表面露出。
執行第二蝕刻操作,其移除光阻層,並各向異性地蝕刻抗反射塗層及第三層的露出部分。此實質上在心軸/線中形成渠溝。將填充材料從基板上移除。利用第三層及第二層作為蝕刻遮罩,將第三層之剩餘部分所界定之圖案轉移至第四層及第五層中。第四層係位在第三層下方,且第五層係位在第四層下方。此圖案轉移步驟致使第四層及第五層形成雙層心軸。接著,在雙層心軸上形成側壁間隔件。可在基板上沉積第二填充材料,其至少部分地填充界定於雙層心軸上的側壁間隔件之間的間隔,與第五層相比,第二填充材料的高度更高。換言之,第二填充材料至少與第五層一樣厚。此第二填充材料起初亦可能為上覆沉積物,其被凹入或回蝕。接著,藉由移除第四層並從側壁間隔件及第二填充材料向下移除材料至第五層的頂表面,從而形成在第五層之頂表面處具有平坦表面的多線層。可將第五層選擇為對化學機械平坦化具抵抗性的材料。可選用性地執行其他步驟。可從多線層移除側壁間隔件。可在多線層上形成蝕刻遮罩。此蝕刻遮罩界定使多線層之所有線的區段露出的開口,然後可從多線層中蝕刻至少一材料的露出部分。可利用多線層及該蝕刻遮罩作為一組合式蝕刻遮罩以蝕刻位在多線層下方的第六層。
另一實施例包含一種基板圖案化方法。在基板上的下伏層堆疊體上形成第一起伏圖案。第一起伏圖案包含具有三層材料的線形結構。該三層材料包含位在第二層上的第一層、及位在第三層上的第二層。該等線形結構係按第一節距形成,該第一節距具有5:3的線對間隔比,因為線具有五個單位的橫截面線寬,而間隔具有三個單位的橫截面間隔寬度。
執行第一蝕刻操作,該第一蝕刻操作為各向同性的,並且使用選擇性蝕刻第一層而不蝕刻第二層、不蝕刻第三層、且不蝕刻下伏層堆疊體的化學品。該各向同性蝕刻操作使得第一層被橫向蝕刻,從而致使五個單位的橫截面線寬被修整為三個單位的第二橫截面線寬。在基板上沉積填充材料,其填充第一起伏圖案之複數結構之間的間隔、覆蓋第二層之水平頂表面、但使第一層的頂表面保持露出。起初可能存在上覆部分,其被移除掉,或者可使用由下而上之填充。執行第二蝕刻操作,其移除第一層,並各向異性地蝕刻第二層及第三層的露出部分。可將填充材料從基板上移除,然後將第三層之剩餘部分所界定之圖案轉移至第四層及第五層中。第四層係位在第三層下方,第五層係位在第四層下方,從而使得第四層及第五層形成具有第二節距的雙層心軸,該第二節距具有1:3的第二線對間隔比,因為雙層心軸具有一個單位的第二橫截面線寬,而界定於雙層心軸之間的間隔具有三個單位的第二橫截面間隔寬度。可將第五層選擇為對化學機械平坦化具抵抗性的材料。
可在雙層心軸上形成側壁間隔件。在基板上沉積第二填充材料,其至少部分地填充界定於雙層心軸上的側壁間隔件之間的間隔。與第五層相比,第二填充材料的高度更高。藉由移除第四層並從側壁間隔件及第二填充材料向下移除材料至第五層的頂表面,從而形成在第五層之頂表面處具有平坦表面的多線層。從多線層移除側壁間隔件。多線層上的剩餘線具有1:1的第三線對間隔比,因為每條剩餘線為一個單位寬,而每個剩餘間隔為一個單位寬。在多線層上形成額外的蝕刻遮罩,其界定使多線層之所有線的區段露出的開口。可從多線層中蝕刻至少一材料的露出部分。可利用多線層及該蝕刻遮罩作為一組合式蝕刻遮罩以蝕刻位在多線層下方的第六層。
另一實施例包含一種基板圖案化方法。接收具有形成於下伏層堆疊體上之第一起伏圖案的基板。可將此基板接收於半導體處理工具(例如蝕刻腔室)中。可在利用各種半導體製造工具(如塗佈機-顯影機、爐管、掃描機、基於電漿之蝕刻及沉積工具)形成和圖案化膜層之後將其接收。第一起伏圖案包含具有至少兩層材料的線形結構。該兩層材料包含位在第二層上的第一層。線形結構具有第一節距,其具有5:3的線對間隔比,因為線具有五個單位的橫截面線寬,而間隔具有三個單位的橫截面間隔寬度。
執行第一蝕刻操作,該第一蝕刻操作為各向同性的,並且使用選擇性蝕刻第一層而不蝕刻第二層、且不蝕刻下伏層堆疊體的化學品。該各向同性蝕刻操作使得第一層係從線的初始寬度被至少橫向蝕刻至線的結果寬度,線的結果寬度小於線的初始寬度。第一層之線的結果寬度等於第一層之線的初始寬度的五分之三。
在基板上沉積填充材料,其填充第一起伏圖案之複數結構之間的間隔並覆蓋第二層之水平頂表面,但使第一層的頂表面保持露出。執行第二蝕刻操作,其移除第一層,並各向異性地蝕刻第二層的露出部分。將填充材料從基板上移除,然後將第二層之剩餘部分所界定之圖案轉移至第三層中。第三層係位在第二層下方,從而使得第三層形成用於側壁間隔件的心軸。可在心軸上形成側壁間隔件,然後可將心軸從基板上移除。
應注意,可將各種材料使用於一給定膜層。範例材料可包含光阻、含矽抗反射塗層、SiON、非晶碳、氮化矽、氧化矽、硬遮罩材料、及含金屬材料,如氮化鈦。亦應注意,本文考量了許多的技術變形。例如,初始的起伏圖案可具有兩個膜層而非三個膜層。接著使頂層變細,俾界定欲從下伏心軸移除的一寬度。換言之,俾界定形成於線內的渠溝俾增加節距密度。
因此,本文之技術提供期望的節距間隔及方形的間隔件輪廓以改善LER及LWR。
在前述中,已提出特定細節,例如處理系統之特定幾何以及其中所使用之各種元件及處理之敘述。然而,吾人應了解,本文之技術可實行於不同於這些特定細節之其他實施例,且此等細節係用於解釋之目的而非用以設限制。本文揭露之實施例已參照附圖敘述。同樣地,為了作解釋,已提到特定數目、材料、及配置以供徹底理解。然而,在無這些特定細節的情況下,亦可能實行實施例。實質上具有相同功能性結構之元件係由類似的參考符號表示,因此可能省略所有多餘的敘述。
已將各種技術描述為多重的分散操作以協助理解各實施例。不應將描述之順序解釋為隱含有這些操作必須係順序相依之意。這些操作確實並不需依描述之順序執行。所述之操作可依不同於所述之實施例的順序執行。在額外之實施例中,可執行各種額外之操作及/或可省略所述之操作。諸如「第一」、「第二」等的標示可用於區別元件及程序。應注意,這些僅是標示,除非有明確指示或根據相關性可明顯看出,否則並不傳達位置、順序、序列等。
本文所提及之「基板」或「目標基板」基本上指涉依據本發明受處理之物體。該基板可包含任何材料部分或元件之結構,特別係半導體或其他電子元件,以及可係例如一基底基板結構,如半導體晶圓、標線片,或是在基底基板結構之上方或覆蓋其上之膜層例如一薄膜。因此,基板並不限於任何特定基底結構、基底層或覆蓋層、經圖案化或未經圖案化,而係考量包含任何此類膜層或基底結構,以及任何膜層及/或基底結構之組合。該敘述可參考基板之特定類型,但僅為了說明之目的。
熟悉本技藝者亦將理解,可對前述之該技術之操作做出許多變化,而依然能達到本發明之相同目的。本發明之範圍擬包含此類變化。因此,不擬將本發明之實施例之以上敘述視為限制性者。而擬將對於本發明之實施例的任何限制於以下申請專利範圍說明。
100:基板 107:膜層 109:膜層 111:膜層 114:膜層 116:膜層 118:膜層 120:膜層 122:填充材料 131:起伏圖案 132:起伏圖案 135:起伏圖案 137:側壁間隔件 142:填充材料 190:標尺
參考後續實施方式章節以及隨附圖式,對本發明的各種實施例之更完整了解及許多其伴隨的優點將變得顯而易見。該等圖式未必按比例繪製,而是著重於說明特徵、原理、及概念。
圖1為顯示依據本文揭示之實施例之程序流程的例示性基板區段的橫剖面圖。
圖2為顯示依據本文揭示之實施例之程序流程的例示性基板區段的橫剖面圖。
圖3為顯示依據本文揭示之實施例之程序流程的例示性基板區段的橫剖面圖。
圖4為顯示依據本文揭示之實施例之程序流程的例示性基板區段的橫剖面圖。
圖5為顯示依據本文揭示之實施例之程序流程的例示性基板區段的橫剖面圖。
圖6為顯示依據本文揭示之實施例之程序流程的例示性基板區段的橫剖面圖。
圖7為顯示依據本文揭示之實施例之程序流程的例示性基板區段的橫剖面圖。
圖8為顯示依據本文揭示之實施例之程序流程的例示性基板區段的橫剖面圖。
圖9為顯示依據本文揭示之實施例之程序流程的例示性基板區段的橫剖面圖。
圖10為顯示依據本文揭示之實施例之程序流程的例示性基板區段的橫剖面圖。
圖11為顯示依據本文揭示之實施例之程序流程的例示性基板區段的橫剖面圖。
圖12為顯示依據本文揭示之實施例之程序流程的例示性基板區段的橫剖面圖。
圖13為顯示依據本文揭示之實施例之程序流程的例示性基板區段的橫剖面圖。
圖14為顯示依據本文揭示之實施例之程序流程的例示性基板區段的橫剖面圖。
109:膜層
111:膜層
114:膜層
116:膜層
118:膜層
120:膜層
132:起伏圖案
190:標尺

Claims (20)

  1. 一種基板圖案化方法,包含: 接收一基板,該基板具有形成於下伏層堆疊體上的第一起伏圖案,該第一起伏圖案包含具有三層材料的線形結構,該三層材料包含位在一抗反射塗層上的一光阻層,該抗反射塗層係位在第三層上; 執行第一蝕刻操作,該第一蝕刻操作為各向同性,並且使用選擇性蝕刻該光阻層而不蝕刻該抗反射塗層、不蝕刻該第三層、且不蝕刻該下伏層堆疊體的化學品,其中各向同性的該第一蝕刻操作使得該光阻層係從線的初始寬度被至少橫向蝕刻至線的結果寬度,線的該結果寬度小於線的該初始寬度; 在該基板上沉積一填充材料,其填充該第一起伏圖案之複數結構之間的間隔、覆蓋該抗反射塗層之水平頂表面、並且使該光阻層的頂表面保持露出; 執行第二蝕刻操作,該第二蝕刻操作移除該光阻層,並各向異性地蝕刻該抗反射塗層及該第三層的露出部分; 將該填充材料從該基板上移除,然後將該第三層之剩餘部分所界定之圖案轉移至第四層及第五層中,該第四層係位在該第三層下方,該第五層係位在該第四層下方,將該圖案轉移之步驟致使該第四層及該第五層形成雙層心軸; 在該等雙層心軸上形成側壁間隔件; 在該基板上沉積第二填充材料,其至少部分地填充界定於該等雙層心軸上的該等側壁間隔件之間的間隔,與該第五層相比,該第二填充材料的高度更高;以及 藉由移除該第四層並從該等側壁間隔件及該第二填充材料向下移除材料至該第五層的頂表面,以將該基板平坦化,從而形成在該第五層之頂表面處具有一平坦表面的多線層。
  2. 如請求項1之基板圖案化方法,其中該等線形結構具有第一節距,該第一節距具有5:3的線對間隔比,因為線具有五個單位的橫截面線寬,而間隔具有三個單位的橫截面間隔寬度。
  3. 如請求項1之基板圖案化方法,其中該光阻層被橫向地蝕刻,使得光阻之線的結果寬度等於光阻之線的初始寬度的五分之三。
  4. 如請求項1之基板圖案化方法,其中沉積該填充材料之步驟產生填充材料上覆部分,該填充材料上覆部分係透過一填充材料回蝕處理而去除。
  5. 如請求項1之基板圖案化方法,其中沉積該填充材料之步驟產生填充材料上覆部分,藉由使一溶解度改變劑從該填充材料之頂表面擴散一預定深度至該填充材料中、並且去除該填充材料之可溶解部分,以去除該填充材料上覆部分,從而使該光阻層之頂表面露出。
  6. 如請求項1之基板圖案化方法,其中將該第五層選擇為對化學機械平坦化具抵抗性的材料。
  7. 如請求項1之基板圖案化方法,更包含從該多線層去除該等側壁間隔件。
  8. 如請求項1之基板圖案化方法,更包含在該多線層上形成一蝕刻遮罩,該蝕刻遮罩界定使該多線層之所有線的區段露出的開口。
  9. 如請求項8之基板圖案化方法,更包含從該多線層中蝕刻至少一材料的露出部分。
  10. 如請求項9之基板圖案化方法,更包含蝕刻位在該多線層下方的第六層,其中該多線層及該蝕刻遮罩係用作一組合式蝕刻遮罩。
  11. 一種基板圖案化方法,包含: 在一基板上的下伏層堆疊體上形成第一起伏圖案,該第一起伏圖案包含具有三層材料的線形結構,該三層材料包含位在第二層上的第一層、及位在第三層上的該第二層,其中該等線形結構係按第一節距形成,該第一節距具有5:3的線對間隔比,因為線具有五個單位的橫截面線寬,而間隔具有三個單位的橫截面間隔寬度; 執行第一蝕刻操作,該第一蝕刻操作為各向同性,並且使用選擇性蝕刻該第一層而不蝕刻該第二層、不蝕刻該第三層、且不蝕刻該下伏層堆疊體的化學品,其中各向同性的該第一蝕刻操作使得該第一層被橫向蝕刻,從而致使五個單位的該橫截面線寬被修整為三個單位的第二橫截面線寬; 在該基板上沉積一填充材料,其填充該第一起伏圖案之複數結構之間的間隔、覆蓋該第二層之水平頂表面、但使該第一層的頂表面保持露出; 執行第二蝕刻操作,該第二蝕刻操作移除該第一層,並各向異性地蝕刻該第二層及該第三層的露出部分;以及 將該填充材料從該基板上移除,然後將該第三層之剩餘部分所界定之圖案轉移至第四層及第五層中,該第四層係位在該第三層下方,該第五層係位在該第四層下方,從而使得該第四層及該第五層形成具有第二節距的雙層心軸,該第二節距具有1:3的第二線對間隔比,因為該等雙層心軸具有一個單位的第二橫截面線寬,而界定於該等雙層心軸之間的間隔具有三個單位的第二橫截面間隔寬度。
  12. 如請求項11之基板圖案化方法,更包含: 在該等雙層心軸上形成側壁間隔件; 在該基板上沉積第二填充材料,其至少部分地填充界定於該等雙層心軸上的該等側壁間隔件之間的間隔,與該第五層相比,該第二填充材料的高度更高;以及 藉由移除該第四層並從該等側壁間隔件及該第二填充材料向下移除材料至該第五層的頂表面,以將該基板平坦化,從而形成在該第五層之頂表面處具有一平坦表面的多線層。
  13. 如請求項11之基板圖案化方法,其中沉積該填充材料之步驟產生填充材料上覆部分,該填充材料上覆部分係透過一填充材料回蝕處理而去除。
  14. 如請求項11之基板圖案化方法,其中沉積該填充材料之步驟產生填充材料上覆部分,藉由使一溶解度改變劑從該填充材料之頂表面擴散一預定深度至該填充材料中、並且去除該填充材料之可溶解部分,以去除該填充材料上覆部分,從而使該第一層之頂表面露出。
  15. 如請求項11之基板圖案化方法,其中將該第五層選擇為對化學機械平坦化具抵抗性的材料。
  16. 如請求項12之基板圖案化方法,更包含從該多線層去除該等側壁間隔件,該多線層上之剩餘的線具有1:1的第三線對間隔比,因為每條剩餘的線為一個單位寬,而每個剩餘的間隔為一個單位寬。
  17. 如請求項12之基板圖案化方法,更包含: 在該多線層上形成一蝕刻遮罩,該蝕刻遮罩界定使該多線層之所有線的區段露出的開口; 從該多線層中蝕刻至少一材料的露出部分;以及 蝕刻位在該多線層下方的第六層,其中該多線層及該蝕刻遮罩係用作一組合式蝕刻遮罩。
  18. 一種基板圖案化方法,包含: 接收一基板,該基板具有形成於下伏層堆疊體上之第一起伏圖案,該第一起伏圖案包含具有至少兩層材料的線形結構,該兩層材料包含位在第二層上的第一層; 執行第一蝕刻操作,該第一蝕刻操作為各向同性,並且使用選擇性蝕刻該第一層而不蝕刻該第二層、且不蝕刻該下伏層堆疊體的化學品,其中各向同性的該第一蝕刻操作使得該第一層係從線的初始寬度被至少橫向蝕刻至線的結果寬度,線的該結果寬度小於線的該初始寬度; 在該基板上沉積一填充材料,其填充該第一起伏圖案之複數結構之間的間隔並覆蓋該第二層之水平頂表面,但使該第一層的頂表面保持露出; 執行第二蝕刻操作,該第二蝕刻操作移除該第一層,並各向異性地蝕刻該第二層的露出部分; 將該填充材料從該基板上移除,然後將該第二層之剩餘部分所界定之圖案轉移至第三層中,該第三層係位在該第二層下方,從而使得該第三層形成用於側壁間隔件的心軸; 在該等心軸上形成側壁間隔件;以及 將該等心軸從該基板上移除。
  19. 如請求項18之基板圖案化方法,其中該等線形結構具有第一節距,該第一節距具有5:3的線對間隔比,因為線具有五個單位的橫截面線寬,而間隔具有三個單位的橫截面間隔寬度。
  20. 如請求項19之基板圖案化方法,其中該第一層被橫向地蝕刻,使得該第一層之線的結果寬度等於該第一層之線的初始寬度的五分之三。
TW109125228A 2019-08-02 2020-07-27 在晶圓上增加圖案密度的方法 TWI836121B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US16/529,972 US10923363B1 (en) 2019-08-02 2019-08-02 Method for increasing pattern density on a wafer
US16/529,972 2019-08-02

Publications (2)

Publication Number Publication Date
TW202123340A TW202123340A (zh) 2021-06-16
TWI836121B true TWI836121B (zh) 2024-03-21

Family

ID=74259579

Family Applications (1)

Application Number Title Priority Date Filing Date
TW109125228A TWI836121B (zh) 2019-08-02 2020-07-27 在晶圓上增加圖案密度的方法

Country Status (4)

Country Link
US (1) US10923363B1 (zh)
KR (1) KR20220039755A (zh)
TW (1) TWI836121B (zh)
WO (1) WO2021025806A1 (zh)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP7438904B2 (ja) * 2020-09-17 2024-02-27 キオクシア株式会社 テンプレート、テンプレートの製造方法、及び半導体装置の製造方法
WO2023028246A1 (en) * 2021-08-25 2023-03-02 Geminatio, Inc. Anti-spacer based self-aligned high order patterning
US20240038535A1 (en) * 2022-07-28 2024-02-01 International Business Machines Corporation Metal hard mask for precise tuning of mandrels

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20150214070A1 (en) * 2014-01-28 2015-07-30 Tokyo Electron Limited Method For Self-Aligned Double Patterning Without Atomic Layer Deposition
US10014175B2 (en) * 2013-12-04 2018-07-03 Taiwan Semiconductor Manufacturing Company, Ltd. Lithography using high selectivity spacers for pitch reduction

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7892982B2 (en) 2006-03-06 2011-02-22 Samsung Electronics Co., Ltd. Method for forming fine patterns of a semiconductor device using a double patterning process
KR100790998B1 (ko) 2006-10-02 2008-01-03 삼성전자주식회사 셀프 얼라인 더블 패터닝법을 사용한 패드 패턴 형성 방법 및 셀프 얼라인 더블 패터닝법을 사용한 콘택홀 형성방법
US7825398B2 (en) * 2008-04-07 2010-11-02 Macronix International Co., Ltd. Memory cell having improved mechanical stability
US8969205B2 (en) 2013-03-28 2015-03-03 GlobalFoundries, Inc. Double patterning via triangular shaped sidewall spacers
KR101860251B1 (ko) 2014-02-23 2018-05-21 도쿄엘렉트론가부시키가이샤 평탄화를 위해 기판을 패터닝하는 방법

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10014175B2 (en) * 2013-12-04 2018-07-03 Taiwan Semiconductor Manufacturing Company, Ltd. Lithography using high selectivity spacers for pitch reduction
US20150214070A1 (en) * 2014-01-28 2015-07-30 Tokyo Electron Limited Method For Self-Aligned Double Patterning Without Atomic Layer Deposition

Also Published As

Publication number Publication date
TW202123340A (zh) 2021-06-16
WO2021025806A1 (en) 2021-02-11
KR20220039755A (ko) 2022-03-29
US20210035815A1 (en) 2021-02-04
US10923363B1 (en) 2021-02-16

Similar Documents

Publication Publication Date Title
US11107682B2 (en) Method for patterning a substrate using a layer with multiple materials
US9818611B2 (en) Methods of forming etch masks for sub-resolution substrate patterning
TWI625764B (zh) 次解析度基板圖案化所用之蝕刻遮罩的形成方法
US10366890B2 (en) Method for patterning a substrate using a layer with multiple materials
TWI633583B (zh) 形成記憶體fin圖案的方法與系統
TWI836121B (zh) 在晶圓上增加圖案密度的方法
JP2018531506A6 (ja) サブ解像度基板パターニングのためのエッチングマスクを形成する方法
US10332744B2 (en) Method for patterning a substrate using a layer with multiple materials
US11854806B2 (en) Method for pattern reduction using a staircase spacer
CN109983564B (zh) 亚分辨率衬底图案化的方法
KR102705674B1 (ko) 분해능이하 기판 패터닝을 위한 에칭 마스크를 형성하는 방법