TWI835231B - 積體晶片及其製造方法 - Google Patents

積體晶片及其製造方法 Download PDF

Info

Publication number
TWI835231B
TWI835231B TW111129080A TW111129080A TWI835231B TW I835231 B TWI835231 B TW I835231B TW 111129080 A TW111129080 A TW 111129080A TW 111129080 A TW111129080 A TW 111129080A TW I835231 B TWI835231 B TW I835231B
Authority
TW
Taiwan
Prior art keywords
layer
source
gate electrode
top surface
gate
Prior art date
Application number
TW111129080A
Other languages
English (en)
Other versions
TW202310168A (zh
Inventor
黃冠達
劉皓恆
林立德
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202310168A publication Critical patent/TW202310168A/zh
Application granted granted Critical
Publication of TWI835231B publication Critical patent/TWI835231B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76805Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics the opening being a via or contact hole penetrating the underlying conductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823475MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type interconnection or wiring or contact manufacturing related aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76804Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics by forming tapered via holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76895Local interconnects; Local pads, as exemplified by patent document EP0896365
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823437MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823462MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate insulating layers, e.g. different gate insulating layer thicknesses, particular gate insulator materials or particular gate insulator implants
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/481Internal lead connections, e.g. via connections, feedthrough structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/0203Particular design considerations for integrated circuits
    • H01L27/0207Geometrical layout of the components, e.g. computer aided design; custom LSI, semi-custom LSI, standard cell technique
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/0886Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/10Applying interconnections to be used for carrying current between separate components within a device
    • H01L2221/1005Formation and after-treatment of dielectrics
    • H01L2221/1052Formation of thin functional dielectric layers
    • H01L2221/1057Formation of thin functional dielectric layers in via holes or trenches
    • H01L2221/1063Sacrificial or temporary thin dielectric films in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • General Engineering & Computer Science (AREA)
  • Ceramic Engineering (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Geometry (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)

Abstract

提供一種製造積體晶片的方法。方法包括:在基板上方形成電晶體結構。電晶體結構包括一對源極/汲極區以及閘極電極,閘極電極在一對源極/汲極區之間。在一對源極/汲極區上方以及在閘極電極周圍形成下層間介電(ILD)層。在閘極電極上方形成閘極蓋層。執行選擇性蝕刻以及沉積製程,以在閘極蓋層上形成介電保護層,同時在下層間介電層之中形成接觸開口。在接觸開口之中形成下源極/汲極接觸件。

Description

積體晶片及其製造方法
本發明實施例係有關於一種半導體裝置及其製造方法,且特別關於一種積體晶片及其製造方法。
在積體電路(integrated circuits, ICs)的製造中,裝置在晶圓上形成並通過導電互連層連接。這些導電互連層可以在所謂的中段(middle-of-the-line, MOL)製程或後段(back-end-of-line, BEOL)製程期間形成。中段製程和後段製程的相似之處在於它們都在介電層中形成開口(例如,介電層中的接觸孔、溝槽或導孔),之後以導電材料填充這些開口。中段製程和後段製程的不同之處在於中段製程通常在製造製程中較早發生,並且可以指在裝置結構正上方或靠近裝置結構(例如,閘極電極或源極/汲極區)上形成接觸件的製程;而後段製程通常在製造製程中較晚發生,並且可以指在由中段製程形成的接觸件上方形成連續的金屬化層和導孔的製程。
本發明一些實施例提供一種製造積體晶片的方法,包括:在基板上方形成電晶體結構,其中電晶體結構包括一對源極/汲極區以及閘極電極,閘極電極在一對源極/汲極區之間;在一對源極/汲極區上方以及在閘極電極周圍形成下層間介電(inter-level dielectric, ILD)層;在閘極電極上方形成閘極蓋層;執行選擇性蝕刻以及沉積製程,以在閘極蓋層上形成介電保護層,同時在下層間介電層之中形成接觸開口;以及在接觸開口之中形成下源極/汲極接觸件。
本發明另一些實施例提供一種製造積體晶片的方法,包括:在基板上方形成電晶體結構,其中電晶體結構包括一對源極/汲極區以及閘極電極,閘極電極在一對源極/汲極區之間;在基板上方在一對源極/汲極區上方以及在閘極電極周圍形成下蝕刻停止層以及下層間介電層,其中下層間介電層包括第一介電材料;凹蝕閘極電極,使得閘極電極的頂表面低於下蝕刻停止層的頂表面;在凹蝕的閘極電極上形成閘極蓋層,使得閘極蓋層的頂表面與下層間介電層的頂表面對齊,其中閘極蓋層包括第二介電材料,第二介電材料不同於第一介電材料;執行選擇性蝕刻以及沉積製程,以沿著閘極蓋層的頂表面選擇性地形成介電保護層,同時選擇性地蝕刻下層間介電層以在一對源極/汲極區上方定義接觸開口,其中選擇性蝕刻以及沉積製程包括在基板上方同時以第一流速流動電漿蝕刻劑並且以第二流速流動選擇性前驅物氣體,第二流速小於第一流速;執行去除製程以去除介電保護層;以及在接觸開口之中形成下源極/汲極接觸件。
本發明又一些實施例提供一種積體晶片,包括:電晶體結構,設置在基板上方並且包括一對源極/汲極區以及閘極電極,閘極電極在一對源極/汲極區之間;下層間介電層,設置在一對源極/汲極區上方以及在閘極電極周圍,其中閘極電極的頂表面從下層間介電層的頂表面凹陷,其中下層間介電層包括第一介電材料;閘極蓋層,設置在閘極電極上,其中閘極蓋層具有頂表面,頂表面與下層間介電層的頂表面對齊,其中閘極蓋層包括第二介電材料,第二介電材料不同於第一介電材料;以及下源極/汲極接觸件,設置在一對源極/汲極區的第一源極/汲極區上方,其中下源極/汲極接觸件的頂表面與閘極蓋層的頂表面對齊,其中下源極/汲極接觸件包括本體區(body)以及第一突起(protrusion),其中第一突起從本體區橫向地延伸至閘極蓋層的部分上方的一處,其中本體區的寬度係第一突起的寬度的至少20倍。
以下內容提供了許多不同實施例或範例,以實現本揭露實施例的不同部件。以下描述組件和配置方式的具體範例,以簡化本揭露實施例。當然,這些僅僅是範例,而非意圖限制本揭露實施例。舉例而言,元件的尺寸不限於所揭露的範圍或數值,而是可以取決於製程條件及/或裝置的期望特性。此外,在以下描述中提及於第二部件上方或其上形成第一部件,其可以包含第一部件和第二部件以直接接觸的方式形成的實施例,並且也可以包含在第一部件和第二部件之間形成額外的部件,使得第一部件和第二部件可以不直接接觸的實施例。此外,本發明實施例可能在許多範例中重複元件符號及/或字母。這些重複是為了簡化和清楚的目的,其本身並非代表所討論各種實施例及/或配置之間有特定的關係。
此處可能用到與空間相對用詞,例如「在……之下」、「下方」、「較低的」、「上方」、「較高的」等類似用詞,是為了便於描述圖式中一個(些)部件或特徵與另一個(些)部件或特徵之間的關係。空間相對用詞用以包括使用中或操作中的裝置之不同方位,以及圖式中所描述的方位。當裝置被轉向不同方位時(旋轉90度或其他方位),其中所使用的空間相對形容詞也將依轉向後的方位來解釋。
積體晶片可以包括設置在基板上方及/或之中的複數個半導體裝置(例如,電晶體)。中段(MOL)互連結構可以設置在基板上方。中段互連結構包括對半導體裝置和電路性能具有重要作用的導電接觸件、互連導孔和金屬線。積體晶片包括設置在基板上方的電晶體結構,電晶體結構包括設置在基板上的一對源極/汲極區以及位於一對源極/汲極區之間的閘極電極。下層間介電(ILD)層設置在一對源極/汲極區上方並且圍繞閘極電極。閘極電極可以從下層間介電層的頂表面凹陷。此外,閘極蓋層可以設置在閘極電極上。通過凹蝕閘極電極並實施閘極蓋層,閘極電極與鄰近的導電部件隔離並受到保護,因此可以減少或消除漏電流的問題。此外,中段互連結構包括覆蓋在一對源極/汲極區上並且設置在閘極電極的相對側上的導電接觸件。隨著裝置部件的不斷縮小,各種導電接觸件和互連部件之間的距離縮小,而寄生電容導致的漏電流成為裝置性能的關鍵限制因素。期望減少或防止接觸件和其他導電部件之間的漏電流,同時限制電阻和電容的增加。
上述積體晶片的一個挑戰是在不降低裝置性能及/或耐久度(endurance)的情況下製造導電接觸件。例如,在製造中段互連結構期間,對下層間介電層執行蝕刻製程以在下層間介電層之中形成導電接觸開口並暴露源極/汲極區的上表面。導電材料形成在源極/汲極區上方以及導電接觸開口之中。此外,對導電材料執行平坦化製程直到達到閘極蓋層的上表面,從而形成複數個下源極/汲極接觸件。然而,蝕刻製程可以包括將下層間介電層和閘極蓋層暴露於一種或多種氟基蝕刻劑。一種或多種氟基蝕刻劑可以去除閘極蓋層的部分,從而減小閘極蓋層的厚度及/或圓化閘極蓋層的角落。這可能導致在閘極蓋層上形成揮發性副產物(例如,四氟化矽)及/或可能劣化閘極蓋層之間的界面,從而降低積體晶片的結構完整性及/或耐久度。此外,閘極蓋層的圓角可能導致相鄰接觸件之間的距離減小,從而增加中段互連結構之中的寄生電容及/或漏電流。這可能會部分地劣化積體晶片的性能。
因此,本揭露關於具有改善的中段互連結構的積體晶片以及相關的製造方法以改善寄生電容並增加結構完整性。因此,提高裝置的可靠度和性能。積體晶片包括設置在基板上方的電晶體結構,電晶體結構包括設置在基板上的一對源極/汲極區以及位於源極/汲極區之間的閘極電極。下層間介電層設置在一對源極/汲極區上方並圍繞閘極電極。閘極蓋層設置在閘極電極上。此外,源極/汲極接觸件設置在一對源極/汲極區上方以及在閘極電極的相對側壁上。在源極/汲極接觸件的製造期間,可以對下層間介電層和閘極蓋層執行選擇性蝕刻和沉積製程,以在下層間介電層之中和源極/汲極區上方形成接觸開口。選擇性蝕刻和沉積製程被配置為沿著閘極蓋層的上表面選擇性地形成介電保護層,同時選擇性地蝕刻下層間介電層並定義接觸開口。之後,在接觸開口之中形成導電接觸件。介電保護層被配置為在蝕刻下層間介電層並形成接觸開口的同時保護閘極蓋層,使得可以減少及/或防止揮發性副產物的形成、閘極蓋層的厚度減小以及閘極蓋層的角落圓化。這減少了相鄰接觸件之間的寄生電容並減少了對閘極蓋層的損壞,從而提高積體晶片的性能以及結構完整性。
第1圖繪示積體晶片100的一些實施例的剖面圖,積體晶片100具有閘極蓋層114在閘極電極108上方。
在一些實施例中,積體晶片100包括設置在基板102上/之中的電晶體結構101。在各種實施例中,例如,基板102可以是或包括塊體矽、任何類型的半導體本體(body)(例如,矽、SiGe等)、絕緣體上矽(silicon-on-insulator, SOI)基板、其他合適的基板材料,及/或晶圓上的一個或多個晶粒,以及與其相關的任何其他類型的半導體層、磊晶層或介電層。電晶體結構101可以是邏輯裝置,包括通過閘極介電層106與基板102隔開的閘極電極108。一對源極/汲極區104設置在基板102之中及/或上,在閘極電極108的相對側上。電晶體結構101可以是單閘極平面電晶體裝置以及多閘極電晶體裝置,例如鰭式場效電晶體(fin field-effect transistor, FinFET)。此外,電晶體結構101還可以是其他裝置,例如全繞式閘極(gate-all-around, GAA)裝置、Ω-閘極(omega-gate)裝置、部分耗盡絕緣體上矽(partially-depleted SOI, PD-SOI)裝置、完全耗盡絕緣體上矽(fully-depleted SOI, FD-SOI)裝置、或其他適用的裝置。
在各種實施例中,導電接觸件分別耦合至閘極電極108、源極/汲極區104、本體接觸區(未示出)或電晶體結構101的其他區域。在各種實施例中,導電接觸件是覆蓋基板102的中段(MOL)結構的一部分,並且可以包括複數個上導電接觸件120和複數個下源極/汲極接觸件116。在一些實施例中,每個導電接觸件可以包括由下層間介電(ILD)層117圍繞的下接觸結構122及/或由上層間介電層118圍繞的上接觸結構124。上接觸結構124覆蓋下接觸結構122。例如,上導電接觸件120的至少一部分可以是上接觸結構124的一部分,並且下源極/汲極接觸件116可以是下接觸結構122的一部分。在各種實施例中,上導電接觸件120和下源極/汲極接觸件116可以例如是或包括鎢、銅、鋁、釕、鈦、鉭、氮化鈦、氮化鉭、其他導電材料或前述的任何組合。此外,下蝕刻停止層110可以沿著下層間介電層117的側壁設置並襯墊(lining)下層間介電層117的側壁。
在各種實施例中,側壁間隔物112設置在閘極電極108旁邊。側壁間隔物112沿著閘極電極108的相對側壁和閘極介電層106的相對側壁延伸。此外,側壁間隔物112沿著下蝕刻停止層110設置。在一些實施例中,側壁間隔物112的頂表面設置在閘極電極108的頂表面上方。此外,側壁間隔物112的頂表面可以與下蝕刻停止層110的頂表面對齊或共平面。在進一步的實施例中,下蝕刻停止層110可以例如是或包括氮化矽、低介電常數介電材料、矽、氮化矽碳、其他合適的介電材料或前述的任何組合。在又一實施例中,側壁間隔物112可以例如是或包括氮化矽、碳化矽、氮氧化矽、碳氧化矽、其他合適的材料或前述的任何組合。
在各種實施例中,閘極電極108從下層間介電層117的頂表面凹陷。閘極蓋層114設置在閘極電極108上。閘極蓋層114的頂表面可以與下層間介電層117的頂表面對齊或共平面。在進一步的實施例中,閘極蓋層114可以包括非氧化物介電材料。例如,閘極蓋層114可以是或包括矽、氮化矽、氮化矽碳、非氧化物介電材料、其他合適的介電材料或前述的任何組合。在又一些實施例中,下蝕刻停止層110和閘極蓋層114可以包括相同的材料(例如,氮化矽、矽、氮化矽碳等)。閘極蓋層114從下蝕刻停止層110的頂表面和側壁間隔物112的頂表面沿著側壁間隔物112的側壁連續地延伸至閘極電極108的頂表面。在進一步的實施例中,閘極蓋層114係T形,使得閘極蓋層114的下部被側壁間隔物112圍繞,並且閘極蓋層114的上部直接覆蓋在下蝕刻停止層110以及側壁間隔物112上。
在一些實施例中,下接觸結構122包括覆蓋各自源極/汲極區104的複數個下源極/汲極接觸件116。在各種實施例中,下源極/汲極接觸件116各自填充在下蝕刻停止層110和閘極蓋層114的側壁之間並直接接觸側壁的溝槽。在又一些實施例中,下源極/汲極接觸件116的頂表面可以與閘極蓋層114的頂表面及/或下層間介電層117的頂表面對齊或共平面。在進一步的實施例中,複數個上導電接觸件120直接覆蓋並電性耦合至電晶體結構101的下源極/汲極接觸件116和閘極電極108。直接覆蓋電晶體結構101的閘極電極108的上導電接觸件120連續地延伸穿過上層間介電層118和閘極蓋層114以接觸閘極電極108。
在各種實施例中,在下接觸結構122的製造期間,對下層間介電層117和閘極蓋層114執行選擇性蝕刻和沉積製程以在下層間介電層117之中在對應的源極/汲極區104正上方形成開口。選擇性蝕刻和沉積製程被配置為沿著閘極蓋層114的頂表面選擇性地形成介電保護層(未示出),同時選擇性地蝕刻下層間介電層117。隨後,在開口之中形成下源極/汲極接觸件116,使得下源極/汲極接觸件116的頂表面與閘極蓋層114的頂表面對齊或共平面。通過沿著閘極蓋層114的頂表面形成介電保護層同時蝕刻下層間介電層117,可以減少或防止對閘極蓋層114的損壞。例如,在選擇性蝕刻和沉積製程期間,沿著閘極蓋層114的頂表面使用蝕刻劑形成揮發性副產物被減少或防止,從而增加積體晶片100的結構完整性和耐久度。此外,介電保護層防止閘極蓋層114的角落圓化,使得閘極蓋層114的上相對側壁以直角與閘極蓋層114的頂表面相交。這部分地促進下源極/汲極接觸件116各自具有矩形形狀,從而增加相鄰的下源極/汲極接觸件116之間的距離,並減少下接觸結構和上接觸結構122、124之中的寄生電容。此外,通過在形成下源極/汲極接觸件116的同時防止對閘極蓋層114的損壞,增加了閘極蓋層114在積體晶片100的後續製造步驟及/或操作期間減少或防止對閘極電極108的損壞的能力。因此,選擇性蝕刻和沉積製程提高了積體晶片100的性能和結構完整性。
第2A圖繪示對應第1圖的積體晶片100的一些替代實施例的積體晶片200a的一些實施例的剖面圖,其中上導電接觸件120包含襯層202a及導電本體202b。襯層202a橫向地圍繞導電本體202b並沿著導電本體202b的下表面延伸。在各種實施例中,襯層202a可以包括或被配置為擴散阻障層或黏著層。此外,襯層202a可以例如是或包括鈦、鉭、氮化鈦、氮化鉭、其他導電材料或前述的任何組合。導電本體202b可以例如是或包括鋁、銅、釕、鎢、其他導電材料或前述的任何組合。
在一些實施例中,閘極蓋層114包括第一閘極蓋結構114a,橫向地相鄰第二閘極蓋結構114b,其中第一和第二閘極蓋結構114a、114b直接覆蓋對應的閘極電極108。在各種實施例中,第一閘極蓋結構114a的寬度w1為大約45奈米(nm)、大約30奈米至60奈米或其他合適的數值。在各個實施例中,直接覆蓋對應的閘極電極108的每個閘極蓋結構的寬度彼此相等並且可以分別為大約45奈米、大約30奈米至60奈米或其他合適的數值。在一些實施例中,源極/汲極區104的頂表面與閘極蓋層114的頂表面之間的垂直距離v1為大約120奈米、大約80奈米至160奈米或其他合適的數值。在進一步的實施例中,閘極蓋層114的相鄰閘極蓋結構之間的橫向距離d1為大約15奈米、大約10奈米至20奈米或其他合適的數值。在一些實施例中,橫向距離d1可以對應於對應的下源極/汲極接觸件116的寬度,其設置在閘極蓋層114的相鄰閘極蓋結構之間。例如,橫向距離d1可以等於設置在第一閘極蓋結構114a和第二閘極蓋結構114b之間的下源極/汲極接觸件116的寬度。
第2B圖繪示對應第1圖的積體晶片100的一些替代實施例的積體晶片200b的一些實施例的剖面圖,其中上蝕刻停止層204設置在下層間介電層117和上層間介電層118之間。上蝕刻停止層204可以例如是或包括氮化矽、碳化矽、氮化矽碳、其他合適的介電材料或前述的任何組合。在一些實施例中,上蝕刻停止層204可以包括與下蝕刻停止層110相同的材料及/或可以包括與閘極蓋層114相同的材料。此外,複數個上導電接觸件120可以包括本體接觸件206。本體接觸件206包括通過對應的下源極/汲極接觸件116電性耦合至源極/汲極區104之一的第一部分206a以及電性耦合至閘極電極108的第二部分206b。因此,本體接觸件206被配置為將閘極電極108直接電性耦合至相鄰的源極/汲極區104。
第2C圖繪示對應第1圖的積體晶片100的一些替代實施例的積體晶片200c的一些實施例的剖面圖,其中下層間介電層117的中心段117c設置在閘極蓋層114的相鄰閘極蓋結構正之間。
第3A圖繪示對應第1圖的積體晶片100的一些替代實施例的積體晶片300a的一些實施例的剖面圖,其中每個下源極/汲極接觸件116包括一個或多個突起。一個或多個突起順應(conform)相鄰的閘極蓋層114的圓角。下源極/汲極接觸件116的一個或多個突起直接覆蓋閘極蓋層114的至少一部分。
在各種實施例中,複數個下源極/汲極接觸件116包括第一下源極/汲極接觸件116a。第一下源極/汲極接觸件116a具有第一直側壁302和相對第一直側壁302的第二直側壁304。在各種實施例中,第一和第二直側壁302、304大抵(substantially)是筆直的及/或各自垂直於基板102的頂表面。在一些實施例中,第一下源極/汲極接觸件116a包括本體區116br和一個或多個突起306、308,一個或多個突起306、308從本體區116br延伸至相鄰的閘極蓋層114的圓角。本體區116br被定義在第一直側壁302和第二直側壁304之間,使得本體區116br的寬度Wc被定義在第一和第二直側壁302、304之間。在各種實施例中,寬度Wc為大約15奈米(nm)、大約10奈米至20奈米或其他合適的數值。在又一些實施例中,第一下源極/汲極接觸件116a包括從本體區116br沿著第一方向延伸的第一突起306以及從本體區116br沿著第二方向延伸的第二突起308,第二方向相對於第一方向。第一突起306的第一寬度Wp1定義為從本體區116br至第一突起306的最外處(outermost point),第二突起308的第二寬度Wp2定義為從本體區116br至第二突起308的最外處。在各種實施例中,第一和第二寬度Wp1、Wp2分別為大約0.75奈米、大約0.5奈米至1奈米、小於大約1奈米或其他合適的數值。
此外,在一些實施例中,第一寬度Wp1與寬度Wc之間的比例(例如,Wp1:Wc)例如為大約1:20、大約0.25:20至1:20或其他合適的數值。在進一步的實施例中,第二寬度Wp2與寬度Wc之間的比例(例如,Wp2:Wc)例如為大約1:20、大約0.25:20至1:20或其他合適的數值。在各種實施例中,如果第一和第二寬度Wp1、Wp2與寬度Wc之間的比例(例如,Wp1:Wc和Wp2:Wc)相對較低(例如,小於大約1:20),則下源極/汲極接觸件116和橫向相鄰的上導電接觸件120之間的距離增加。這部分地減少下和上接觸結構122、124之中的寄生電容,從而提高積體晶片300a的性能。因此,在一些實施例中,本體區116br的寬度Wc是第一和第二寬度Wp1、Wp2的大約20、30、40倍或大約20至60倍。在又一些實施例中,如果第一和第二寬度Wp1、Wp2與寬度Wc之間的比例相對較高(例如,大於大約1:20),則下源極/汲極接觸件116與橫向相鄰的上導電接觸件120之間的距離減少。這可能會增加下和上接觸結構122、124之中的寄生電容,從而降低積體晶片300a的性能。應當理解,參照第3A圖的積體晶片300a描述的上述比例也適用於第3B、17和22B圖的結構。
第3B圖繪示對應第2C圖的積體晶片200c的一些替代實施例的積體晶片300b的一些實施例的剖面圖,其中與對應的下源極/汲極接觸件116直接相鄰的閘極蓋層114的上角是彎曲的(curved)或圓化的(rounded)。在進一步的實施例中,接觸下層間介電層117的閘極蓋層114的上角不是彎曲的或圓化的,並且順應矩形形狀。
第4圖繪示積體晶片400的視圖,積體晶片400具有閘極蓋層114在閘極電極108上方。
在一些實施例中,積體晶片400包括鰭式場效電晶體裝置、奈米線裝置或其他全繞式閘極(GAA)裝置。基板102可以包括下基部以及從下基部凸起的複數個上柱(upper columns),所述上柱沿著通道長度方向延伸並且彼此平行配置。磊晶半導體層可以設置在基板102的複數個上柱上,並且可以包括在相對側上作為源極/汲極區104的高摻雜部分以及作為通道區在源極/汲極區104之間的輕摻雜或非摻雜部分。導電層可以設置在通道區上作為閘極電極108並通過閘極介電質(例如,閘極介電層106)與通道區分開且配置為控制通道區的電流。閘極電極108可以沿著垂直於通道長度方向的通道寬度方向延伸。閘極電極108可以延伸以包繞(wrap around)通道區的側壁。如上圖所討論,在一些實施例中,閘極蓋層114設置在閘極電極108上,其中下源極/汲極接觸件116沿著閘極蓋層114的側壁設置。閘極蓋層114可以具有與下源極/汲極接觸件116的頂表面對齊或共平面的頂表面。在進一步的實施例中,複數個上導電接觸件120延伸穿過上層間介電層118和上蝕刻停止層204以接觸下方的下源極/汲極接觸件116及/或下方的閘極電極108。
根據本揭露,第5-14圖繪示形成積體晶片的方法的一些實施例的剖面圖500-1400,積體晶片具有閘極蓋層在閘極電極上方。儘管參考方法描述第5-14圖中所示的剖面圖500-1400,然而應當理解,第5-14圖中所示的結構不限於上述方法,而可以獨立於上述方法。儘管第5-14圖被描述為一系列操作,然而應當理解,這些操作不限於在其他實施例中可以改變操作的順序,並且所揭露的方法也適用於其他結構。在其他實施例中,可以完全地或部分地省略繪示及/或描述的一些操作。
如第5和6圖的剖面圖500和600所示,電晶體結構101設置在基板102上方並被下層間介電層117圍饒。電晶體結構101各自具有位於基板102上方的閘極介電層106、位於閘極介電層106上方的閘極電極108、以及設置在基板102之中或基板102上在閘極電極108相對側的一對源極/汲極區104(例如,參考第6圖)。閘極電極108可以例如是或包括多晶矽、鋁、鈦、鎢、其他合適的導電材料或前述的任何組合。此外,閘極介電層106可以例如是或包括二氧化矽、高介電常數介電材料、其他合適的介電材料或前述的任何組合。
在一些實施例中,每個電晶體結構101可以通過替換閘極製程形成。例如,如第5圖的剖面圖500所示,首先在基板102上方形成並圖案化虛設閘極結構502。此外,在虛設閘極結構502旁邊形成側壁間隔物112,襯墊或覆蓋虛設閘極結構502的側壁。在各種實施例中,側壁間隔物112包括二氧化矽、氮化矽、碳化矽、氧化鋁、氮化鋁、其他合適的介電材料或前述的任何組合。在一些實施例中,側壁間隔物112可以包括多層,例如主間隔物壁、襯層等。在進一步的實施例中,形成側壁間隔物112的製程可以包括:在虛設閘極結構502和基板102上方沉積(例如,通過化學氣相沉積(chemical vapor deposition, CVD)、物理氣相沉積(physical vapor deposition, PVD)、原子層沉積(atomic layer deposition, ALD)等)介電材料;以及對介電材料執行蝕刻製程,使得側壁間隔物112的頂表面與虛設閘極結構502的頂表面大抵對齊或共平面。
在各種實施例中,源極/汲極區104可以各自是設置在基板102之中的摻雜區。在這樣的實施例中,用於形成源極/汲極區104的製程可以包括根據遮罩層(例如,虛設閘極結構502及/或側壁間隔物112在離子佈植製程中可以作為遮罩層)進行離子佈植製程以在基板102之中佈植摻質,從而形成源極/汲極區104。在進一步的實施例中,源極/汲極區104可以各自是或包括設置在基板102上及/或之中的磊晶半導體材料。在這樣的實施例中,源極/汲極區104可以通過在基板102上方/上磊晶成長矽鍺或一些其他合適的半導體材料來形成。例如,源極/汲極區104可以通過分子束磊晶(molecular beam epitaxy, MBE)、氣相磊晶(vapor phase epitaxy, VPE)、液相磊晶(liquid phase epitaxy, LPE)、一些其他磊晶製程或前述的任何組合來形成。在一些替代實施例中,源極/汲極區104可以被沉積而非成長,並且沉積可以例如包括化學氣相沉積製程、物理氣相沉積製程和原子層沉積製程,或一些其他合適的沉積製程。在一些實施例中,源極/汲極區104可以被摻雜(例如,通過離子佈植製程),使得源極/汲極區104包括摻雜的矽鍺或一些其他合適的摻雜半導體材料。
如第5圖的剖面圖500所示,下蝕刻停止層110形成為襯在基板102的上表面並沿著側壁間隔物112向上延伸。此外,在電晶體結構101和下蝕刻停止層110上方沉積(例如,通過化學氣相沉積、物理氣相沉積、原子層沉積等)介電層,隨後進行平坦化製程(例如,化學機械平坦化(chemical mechanical planarization, CMP))以形成下層間介電層117。下蝕刻停止層110可以通過沉積製程,例如化學氣相沉積製程、物理氣相沉積製程、原子層沉積製程或其他合適的成長或沉積製程形成。此外,下層間介電層117可以通過電漿輔助化學氣相沉積(plasma enhanced CVD, PECVD)製程、物理氣相沉積製程、原子層沉積製程或其他合適的成長或沉積製程沉積。下蝕刻停止層110和下層間介電層117可以通過化學機械平坦化製程平坦化,使得下蝕刻停止層110的頂表面與下層間介電層117的頂表面對齊或共平面。在各種實施例中,下層間介電層117可以例如是或包括二氧化矽、摻雜的二氧化矽、摻雜氧的碳化矽、碳氧化矽、低介電常數介電材料、其他介電質或前述的任何組合。此外,下蝕刻停止層110可以例如是或包括低介電常數介電材料、氮化矽、其他介電材料或前述的任何組合。
在進一步的實施例中,對於替換閘極製程,第5圖中的虛設閘極結構502可以被去除並由閘極電極108替換,閘極電極由金屬或其他導電材料(例如,多晶矽)形成。此外,還可以在去除虛設閘極結構502之後形成閘極介電層106。為了形成閘極電極108,可以在閘極開口中填充金屬材料的堆疊,之後進行平坦化製程以去除下層間介電層117上方的多餘部分。在一些實施例中,金屬材料的堆疊可以包括以下材料或由以下材料形成:氮化鈦、氮化鉭、鈦鋁、鋁、鎢、其他合適的金屬材料或前述的任何組合。
如第7圖的剖面圖700所示,閘極電極108被圖案化,使得閘極電極108的頂表面凹陷至下層間介電層117的頂表面之下。在一些實施例中,用於圖案化閘極電極108的製程包括:在下層間介電層117上方形成遮罩層702,從而使閘極電極108暴露;以及根據遮罩層702執行蝕刻製程,將閘極電極108的頂表面降低至低於下層間介電層117的頂表面的位置。在進一步的實施例中,蝕刻製程包括非等向性蝕刻,例如垂直乾式蝕刻,並且閘極電極108凹陷的頂表面大抵是平面的,如第7圖所示。在更進一步的實施例中,蝕刻製程包括等向性蝕刻,例如濕式蝕刻,並且閘極電極108凹陷的頂表面可以具有凹入的(concave)形狀(未示出)。在各種實施例中,執行去除製程以去除遮罩層702(未示出)。
如第8圖的剖面圖800所示,閘極蓋層114形成在凹陷的閘極電極108上。在各種實施例中,閘極蓋層114被配置為在隨後的製程步驟期間保護閘極電極108並且可以稱為保護層。在一些實施例中,可以通過沉積製程,例如化學氣相沉積製程、物理氣相沉積製程、原子層沉積製程或其他合適的成長或沉積製程來形成閘極蓋層114。在進一步的實施例中,對閘極蓋層114執行平坦化製程(例如,化學機械平坦化製程),使得閘極蓋層的頂表面與下層間介電層117的頂表面對齊或共平面。在各種實施例中,閘極蓋層114可以是或包括矽、氮化矽、氮化矽碳、其他合適的介電材料或前述的任何組合。在進一步的實施例中,閘極蓋層114可以是或包括非氧化物介電材料。
如第9圖的剖面圖900所示,上介電結構908形成在下層間介電層117和閘極蓋層114上方。在各種實施例中,可以通過化學氣相沉積製程、物理氣相沉積製程、原子層沉積製程或其他合適的成長或沉積製程在下層間介電層117上方沉積上介電結構908以形成上介電結構908。此外,在下層間介電層117上方沉積上介電結構908之後,可以對上介電結構908執行平坦化製程(例如,化學機械平坦化製程),使得上介電結構908的頂表面大抵是平坦的。此外,在上介電結構908上方形成遮罩結構902,其中遮罩結構902包括沿著上介電結構908設置的硬遮罩層904以及覆蓋在硬遮罩層904上的介電層906。在各種實施例中,上介電結構908和下層間介電層117皆包括與閘極蓋層114的材料不同的氧化物(例如,二氧化矽)。例如,閘極蓋層114包括非氧化物材料。
如第10圖的剖面圖1000所示,對上介電結構908、閘極蓋層114和下層間介電層117執行選擇性蝕刻和沉積製程,從而在源極/汲極區104上方形成源極/汲極接觸開口1004,並沿著閘極蓋層114的頂表面選擇性地形成介電保護層1002。在各種實施例中,選擇性蝕刻和沉積製程被配置為沿著閘極蓋層114選擇性地形成介電保護層1002,同時蝕刻上介電結構908、下層間介電層117及/或下蝕刻停止層110以形成源極/汲極接觸開口1004。在各種實施例中,介電保護層1002可以例如是或包括鎢、氮化硼、鉬、其他合適的材料或前述的任何組合。在進一步的實施例中,選擇性蝕刻和沉積製程可以包括對上介電結構908、下層間介電層117及/或蝕刻停止層110執行選擇性電漿蝕刻製程,同時執行選擇性化學氣相沉積製程以選擇性地沿著閘極蓋層114的上表面形成介電保護層1002。
在各種實施例中,選擇性蝕刻和沉積製程可以在製程腔室(未示出)中進行,其中基板102設置在製程腔室之中。在進一步的實施例中,選擇性蝕刻和沉積製程可以包括使用電漿蝕刻劑執行選擇性蝕刻製程,同時執行選擇性沉積製程(例如,選擇性化學氣相沉積製程)。例如,選擇性蝕刻和沉積製程可以通過在製程腔室之中同時流動電漿蝕刻劑以及選擇性前驅物氣體來執行,其中電漿蝕刻劑被配置為選擇性地蝕刻下層間介電層117及/或蝕刻停止層110,並且選擇性前驅物氣體被配置為沿著閘極蓋層114的上表面選擇性地形成介電保護層1002。在各種實施例中,電漿蝕刻劑可以通過電漿源形成為電感耦合電漿(inductively coupled plasma, ICP)、電容耦合電漿(capacitively coupled plasma, CCP)、電子迴旋共振(electron cyclotron resonance, ECR)電漿等。
在一些實施例中,選擇性蝕刻和沉積製程在製程腔室之中進行,溫度為大約攝氏100度、大約攝氏0度至攝氏150度或其他合適的數值,壓力為大約1毫托至1托或其他合適的數值。在各種實施例中,電漿蝕刻劑由電漿源以大約300瓦至1200瓦、大約50瓦至2000瓦的功率或其他合適的數值形成。在又一些實施例中,將偏壓施加至晶圓卡盤(未示出),晶圓卡盤被配置為將基板102固持在製程腔室之中。例如,偏壓可以大於0伏特,大約0伏特至500伏特或其他合適的數值。製成腔室中的載體氣體可以由氫氣(H 2)、氮氣(N 2)及/或氧氣(O 2)組成。在一些實施例中,選擇性蝕刻和沉積製程通過在製程腔室之中同時流動電漿蝕刻劑和選擇性前驅物氣體來執行。例如,電漿蝕刻劑可以通過第一氣體管線流入製程腔室,並且選擇性前驅物氣體可以通過與第一氣體管線分開的第二氣體管線流入製程腔室。在一些實施例中,通過使電漿蝕刻劑和選擇性前驅物氣體經由分開的氣體管線流入製程腔室,可以減少及/或防止缺陷問題,因為電漿蝕刻劑和選擇性前驅物氣體在單一氣體管線中不會相互影響。在又一些實施例中,電漿蝕刻劑進入製程腔室的流速大於選擇性前驅物氣體進入製程腔室的流速。在一些實施例中,電漿蝕刻劑可以以大約10標準立方公分每分鐘(standard cubic centimeter per minute, sccm)至大約200sccm的第一流速或其他合適的數值流入製程腔室。在進一步的實施例中,選擇性前驅物氣體可以以大約10sccm至大約100sccm的第二流速或其他合適的數值流入製程腔室。在更進一步的實施例中,載體氣體可以以大約100sccm至大約1000sccm的流速或其他合適的數值流入製程腔室。
在各種實施例中,電漿蝕刻劑可以是或包含氟碳化合物(例如,CF 4)、氟化碳氫(例如,CHF 3、CH 2F 2、CH 3F)、六氟化硫(例如,SF 6)、氯(例如,Cl 2)、三氯化硼(例如,BCl 3)、溴化氫 (例如,HBr)、三氟化氮(例如,NF 3)、其他合適的蝕刻劑或前述的任何組合。在進一步的實施例中,選擇性前驅物氣體可以是或包括金屬氟化物(例如,六氟化鎢(WF 6))、氯化硼(例如,BCl 3)、二甲基、三甲基、其他合適的前驅物或任何組合。在各種實施例中,選擇性前驅物氣體與載體氣體(例如氫氣(H 2)或氮氣(N 2))一起流入製程腔室。在一個實施例中,如果選擇性前驅物氣體包括六氟化鎢(例如,WF 6),則載體氣體可以主要由氫氣(H 2)組成,如果選擇性前驅物氣體包括氯化硼(例如,BCl 3),則載體氣體可以主要由氮氣(N 2)組成。在一些實施例中,由於選擇性前驅氣體流入製程腔室,介電保護層1002選擇性地沿著閘極蓋層114的上表面形成,而不形成在下層間介電層117上。這部分是因為閘極蓋層114包括非氧化物介電材料而下層間介電層117包括氧化物。因此,選擇性蝕刻和沉積製程被配置為沿著非氧化物介電材料選擇性地形成介電保護層1002。此外,在選擇性蝕刻和沉積製程期間,沿著閘極蓋層114選擇性地形成介電保護層1002比其被電漿蝕刻劑去除及/或蝕刻更快。因此,介電保護層1002可以在選擇性蝕刻和沉積製程期間作為硬遮罩層,以防止或減少閘極蓋層114被電漿蝕刻劑蝕刻及/或損壞。這減少來自閘極蓋層114和電漿蝕刻劑的揮發性副產物的形成、減少閘極蓋層114的厚度的減小以及減少閘極蓋層114的角落的圓化,從而提高電晶體結構101的性能和結構完整性。
在又一些實施例中,在選擇性蝕刻和沉積製程期間,下蝕刻停止層110可以比上介電結構908及/或下層間介電層117更快地蝕刻。在進一步的實施例中,在形成源極/汲極接觸開口1004之後,可以執行去除製程以從閘極蓋層114(未示出)上方選擇性地去除介電保護層1002。在各種實施例中,去除製程可以包括執行濕式蝕刻製程及/或乾式蝕刻製程。例如,去除製程可以包括將介電保護層1002暴露於去離子水、氨水、過氧化氫、其他合適的化學品或前述的任何組合。在更進一步的實施例中,去除製程可以進一步包括將介電保護層1002暴露於氟基蝕刻劑或其他合適的蝕刻劑。
如第11圖的剖面圖1100所示,在源極/汲極接觸開口(第10圖的1004)之中和源極/汲極區104上方形成導電結構1102。在各種實施例中,導電結構1102可以例如是或包括鎢、銅、釕、鋁、鉬、鉭、鈦、其他導電材料或前述的任何組合。在進一步的實施例中,在形成導電結構1102之前,可以在基板102上方形成有助於接合及/或防止擴散的黏著層及/或阻障層(未示出)。在進一步的實施例中,可以通過化學氣相沉積製程、物理氣相沉積製程、濺鍍製程、電鍍製程或其他合適的沉積或成長製程在源極/汲極區104和閘極蓋層114上沉積導電結構1102,以在基板102上方形成導電結構1102。在進一步的實施例中,在形成導電結構1102之前,可以執行金屬化製程以在導電結構1102和源極/汲極區104的上表面的暴露部分的界面處形成半導體-金屬化合物膜或層(例如,矽化物、鍺化物、鍺矽化物等),從而提供低電阻接觸(未示出)。
如第12圖的剖面圖1200所示,對第11圖的結構進行平坦化製程,從而定義複數個下源極/汲極接觸件116。在各種實施例中,形成複數下源極/汲極接觸件116可以包括第9-12圖中所示及/或描述的操作。在一些實施例中,平坦化製程可包括化學機械平坦化製程、蝕刻製程、其他合適的平坦化製程或前述的任何組合。在進一步的實施例中,以這樣的方式執行平坦化製程,使閘極蓋層114的頂表面與下源極/汲極接觸件116的頂表面對齊或共平面。
如第13圖的剖面圖1300所示,上層間介電層118形成在閘極蓋層114和複數個下源極/汲極接觸件116上方。在各種實施例中,上層間介電層118可以是或包括原矽酸四乙酯(tetraethylorthosilicate, TEOS)氧化物、未摻雜的矽酸鹽玻璃、二氧化矽、摻雜的二氧化矽,例如硼磷矽酸鹽玻璃(borophosphosilicate glass, BPSG)、熔融石英玻璃(fused silica glass, FSG)、磷矽酸鹽玻璃(phosphosilicate glass, PSG)、摻硼矽酸鹽玻璃(boron doped silicon glass, BSG)、其他合適的介電材料或前述的任何組合。在又一些實施例中,上層間介電層118可以包括與下層間介電層117相同的材料。在一些實施例中,上層間介電層118可以通過化學氣相沉積製程、電漿輔助化學氣相沉積製程、物理氣相沉積製程、原子層沉積製程或其他合適的沉積或成長製程來沉積。
如第14圖的剖面圖1400所示,複數個上導電接觸件120形成在上層間介電層118之中和複數個下源極/汲極接觸件116上方。在各種實施例中,用於形成複數個上導電接觸件120的製程可以包括:在上層間介電層118上方形成遮罩層(未示出);根據遮罩層圖案化上層間介電層118及/或閘極蓋層114以定義複數個接觸開口(未示出);在基板102上沉積(例如,通過化學氣相沉積、物理氣相沉積、濺鍍、電鍍等)導電材料(例如,鋁、銅、鎢、釕、氮化鈦、氮化鉭、其他導電材料或前述的任何組合)並填充複數個接觸開口;以及對導電材料執行平坦化製程(例如,化學機械平坦化製程)直到達到上層間介電層118的上表面。在這樣的實施例中,圖案化上層間介電層118及/或閘極蓋層114可以包括執行濕式蝕刻製程、乾式蝕刻製程、其他合適的蝕刻製程或前述的任何組合。通過執行第10圖的選擇性蝕刻和沉積製程以定義用於下源極/汲極接觸件116的開口(第10圖的1004),減少對閘極蓋層114的損壞,從而減少及/或防止在形成上導電接觸件120期間及/或在隨後的製程步驟期間對閘極電極108的損壞。
根據本揭露,第15-17圖繪示形成積體晶片的第二種方法的一些實施例的剖面圖1500-1700,積體晶片具有閘極蓋層在閘極電極上方。例如,第15-17圖繪示操作的替代實施例,可以執行第15-17圖的操作以替代第5-14圖的方法的第10-12圖處的操作。因此,在一些實施例中,第二種方法包括替代地從第5-9圖進行至第15-17圖以及從第17圖進行至第13-14圖(亦即,略過第10-12圖)的方法。
如第15圖的剖面圖1500所示,對上介電結構908、閘極蓋層114和下層間介電層117執行選擇性蝕刻和沉積製程,從而在源極/汲極區104上方形成源極/汲極接觸開口1004並沿著閘極蓋層114的頂表面選擇性地形成介電保護層1002。在各種實施例中,可以如以上參考第10圖所描述執行選擇性蝕刻和沉積製程。然而,在一些實施例中,選擇性蝕刻和沉積製程可以減小閘極蓋層114的厚度及/或使閘極蓋層114的角落圓化。這可能部分地發生,因為在選擇性蝕刻和沉積製程期間電漿蝕刻劑的第一流速可以顯著地大於選擇性前驅物氣體的第二流速。例如,電漿蝕刻劑的第一流速可以為大約30sccm至大約50sccm,並且選擇性前驅物氣體的第二流速可以為大約20sccm。為了便於說明,閘極蓋層114的上部由虛線表示,並且在一些實施例中,閘極蓋層114的上部可以通過選擇性蝕刻和沉積製程去除。在去除閘極蓋層114的上部之後,沿著閘極蓋層114的暴露上表面選擇性地形成介電保護層1002,使得介電保護層1002順應閘極蓋層114的暴露上表面。
在各種實施例中,閘極蓋層114的第一閘極蓋結構114a的厚度減少了第一垂直損耗值1502,第一垂直損耗值1502為大約1奈米(nm)、大約0.1奈米至2奈米、小於大約2奈米或其他合適的數值。在進一步的實施例中,閘極蓋層114的第二閘極蓋結構114b的厚度減少了第二垂直損耗值1504,第二垂直損耗值1504為大約1奈米(nm)、大約0.1奈米至2奈米、小於大約2奈米或其他合適的數值。在一些實施例中,第一垂直損耗值1502等於第二垂直損耗值1504。在又一些實施例中,閘極蓋層114的第二閘極蓋結構114b的寬度w1為大約45奈米、大約30奈米至60奈米或其他合適的數值。在各種實施例中,閘極蓋層114的相鄰結構之間的橫向距離d1為大約15奈米、大約10奈米至20奈米或其他合適的數值。此外,在選擇性蝕刻和沉積製程期間,閘極蓋層114的暴露區域的每個外角的高度可以減少垂直距離1506。在一些實施例中,垂直距離1506可以為大約2.5奈米、大約0.1奈米至5奈米、小於5奈米或其他合適的數值。在又一些實施例中,在執行選擇性蝕刻和沉積製程之後,執行去除製程以從閘極蓋層114(未示出)上方去除介電保護層1002。
如第16圖的剖面圖1600所示,在源極/汲極接觸開口(第10圖的1004)之中和源極/汲極區104上方形成導電結構1102。在一些實施例中,在形成導電結構1102之前,可以在基板102上方形成有助於接合及/或防止擴散的黏著層及/或阻障層(未示出)。在進一步的實施例中,可以通過化學氣相沉積製程、物理氣相沉積製程、濺鍍製程、電鍍製程或其他合適的沉積或成長製程在源極/汲極區104和閘極蓋層114上沉積導電結構1102,以在基板102上方形成導電結構1102。在進一步的實施例中,在形成導電結構1102之前,可以執行金屬化製程以在導電結構1102和源極/汲極區104的上表面的暴露部分的界面處形成半導體-金屬化合物膜或層(例如,矽化物),從而提供低電阻接觸(未示出)。此外,導電結構1102形成為使其順應閘極蓋層114的圓角。
如第17圖的剖面圖1700所示,對第16圖的結構進行平坦化製程,從而定義複數個下源極/汲極接觸件116。在各種實施例中,第15-17圖繪示形成複數個下源極/汲極接觸件116的替代實施例。在一些實施例中,平坦化製程可以包括化學機械平坦化製程、蝕刻製程、其他合適的平坦化製程或前述的任何組合。在又一些實施例中,由於導電結構(第16圖的1102)順應閘極蓋層114的圓角,每個下源極/汲極接觸件116的至少一部分包括外部突起,外部突起直接覆蓋並順應閘極蓋層114的相鄰段的形狀。
根據本揭露,第18-22A圖繪示形成積體晶片的第三種方法的一些實施例的剖面圖1800-2200a,積體晶片具有閘極蓋層在閘極電極上方。例如,第18-22A圖繪示操作的替代實施例,可以執行第18-22A圖的操作以替代第5-14圖的方法的第9-14圖處的操作。因此,在一些實施例中,第三種方法包括替代地從第5-8圖進行至第18-22A圖(亦即,略過第9-14圖)的方法。
如第18圖的剖面圖1800所示,上介電結構1808形成在下層間介電層117和閘極蓋層114上方。在各種實施例中,可以通過化學氣相沉積製程、物理氣相沉積製程、原子層沉積製程或其他合適的成長或沉積製程在下層間介電層117上方沉積上介電結構1808以形成上介電結構1808。此外,在上介電結構1808上方形成遮罩結構1802,其中遮罩結構1802包括沿著上介電結構1808設置的硬遮罩層1804以及覆蓋在硬遮罩層1804上的介電層1806。
如第19圖的剖面圖1900所示,根據遮罩結構1802對上介電結構1808執行圖案化製程,從而在上介電結構1808之中定義複數個開口1902。在各種實施例中,圖案化製程包括執行乾式蝕刻製程、濕式蝕刻製程、其他合適的蝕刻製程或前述的任何組合。
如第20圖的剖面圖2000所示,對上介電結構1808、閘極蓋層114和下層間介電層117執行選擇性蝕刻和沉積製程,從而在源極/汲極區104上方形成源極/汲極接觸開口1004並沿著閘極蓋層114的頂表面選擇性地形成介電保護層1002。在各種實施例中,選擇性蝕刻和沉積製程如第10圖所示及/或描述以執行。在進一步的實施例中,選擇性蝕刻和沉積製程擴展開口(第19圖的1902),同時形成源極/汲極接觸開口1004並暴露源極/汲極區104的頂表面。在各種實施例中,在執行選擇性蝕刻和沉積製程之後,執行去除製程以沿著閘極蓋層114的頂表面去除介電保護層1002(未示出)。
如第21圖的剖面圖2100所示,複數個下源極/汲極接觸件116形成在對應源極/汲極區104上方的源極/汲極接觸開口(第20圖的1004)之中。在各種實施例中,用於形成複數個下源極/汲極接觸件116的製程包括:在基板102上方沉積(例如,通過化學氣相沉積製程、物理氣相沉積製程、濺鍍製程、電鍍製程等)導電結構(未示出),使得導電結構填充源極/汲極接觸開口(第20圖的1004);以及對導電結構執行平坦化製程(例如,化學機械平坦化製程)直到達到閘極蓋層114的頂表面。在各種實施例中,下源極/汲極接觸件116形成為使得下源極/汲極接觸件116的頂表面與閘極蓋層114的頂表面及/或下層間介電層117的頂表面對齊或共平面。在又一些實施例中,平坦化製程可以去除上介電結構(第20圖的1808)及/或遮罩結構(第20圖的1802)。
如第22A圖的剖面圖2200a所示,上層間介電層118形成在下層間介電層117上方,並且複數個上導電接觸件120形成在上層間介電層118之中。在各種實施例中,上層間介電層118可以如第13圖所示及/或描述以形成。在進一步的實施例中,複數個上導電接觸件120可以如第13圖所示及/或描述以形成。
第22B圖繪示第22A圖的剖面圖2200a的一些替代實施例的剖面圖2200b,其中複數個下源極/汲極接觸件116形成在對應的源極/汲極區104上方,使得每個下源極/汲極接觸件116包括一個或多個順應相鄰的閘極蓋層114的圓角的突起。在這樣的實施例中,用於形成源極/汲極接觸開口(例如,第20圖的1004)的選擇性蝕刻和沉積製程可以如第15圖所示及/或描述以執行。
在各種實施例中,複數個下源極/汲極接觸件116包括第一下源極/汲極接觸件116a。第一下源極/汲極接觸件116a具有第一直側壁302和相對第一直側壁302的第二直側壁304。在一些實施例中,第一下源極/汲極接觸件116a包括本體區116br和一個或多個突起306、308,一個或多個突起306、308從本體區116br延伸至相鄰的閘極蓋層114的圓角。本體區116br被定義在第一直側壁302和第二直側壁304之間,使得本體區116br的寬度Wc被定義在第一和第二直側壁302、304之間。在各種實施例中,寬度Wc為大約15奈米(奈米)、大約10奈米至20奈米或其他合適的數值。在又一些實施例中,第一下源極/汲極接觸件116a包括從本體區116br沿著第一方向延伸的第一突起306以及從本體區116br沿著第二方向延伸的第二突起308,第二方向相對於第一方向。第一突起306的第一寬度Wp1定義為從本體區116br至第一突起306的最外處,第二突起308的第二寬度Wp2定義為從本體區116br至第二突起308的最外處。在各種實施例中,第一和第二寬度Wp1、Wp2分別為大約0.75奈米、大約0.5奈米至1奈米、小於大約1奈米或其他合適的數值。
在一些實施例中,第一寬度Wp1與寬度Wc之間的比例(例如,Wp1:Wc)例如為大約1:20、大約0.25:20至1:20或其他合適的數值。在進一步的實施例中,第二寬度Wp2與寬度Wc之間的比例(例如,Wp2:Wc)例如為大約1:20、大約0.25:20至1:20或其他合適的數值。在各種實施例中,如果第一和第二寬度Wp1、Wp2與寬度Wc之間的比例(例如,Wp1:Wc和Wp2:Wc)相對較低(例如,小於大約1:20),則下源極/汲極接觸件116和橫向相鄰的上導電接觸件120之間的距離增加。這部分地減少下源極/汲極接觸件116和上導電接觸件120之間的寄生電容。在又一些實施例中,如果第一和第二寬度Wp1、Wp2與寬度Wc之間的比例相對較高(例如,大於大約1:20),則下源極/汲極接觸件116與橫向相鄰的上導電接觸件120之間的距離減少。這可能會增加下源極/汲極接觸件116和上導電接觸件120之間的寄生電容。
根據本揭露,第23圖繪示形成積體晶片的方法2300,積體晶片具有閘極蓋層在閘極電極上方。儘管方法2300被繪示及/或描述為一系列操作或事件,然而應當理解,上述方法不限於所示的順序或操作。因此,在一些實施例中,這些操作可以以不同於所示的順序執行及/或可以同時執行。此外,在一些實施例中,所示操作或事件可以細分為多個操作或事件,這些操作或事件可以在單獨的時間或與其他操作或子操作同時執行。在一些實施例中,可以省略一些所示的操作或事件,並且可以包括其他未示出的操作或事件。
在操作2302,形成電晶體結構,電晶體結構具有一對源極/汲極區以及在源極/汲極區之間的閘極電極。第5和6圖繪示對應於操作2302的一些實施例的剖面圖500和600。
在操作2304,在一對源極/汲極區上方以及閘極電極周圍形成下層間介電(ILD)層。第6圖繪示對應於操作2304的一些實施例的剖面圖600。
在操作2306,在閘極電極上方形成閘極蓋層。第7和8圖繪示對應於操作2306的一些實施例的剖面圖700和800。
在操作2308,執行選擇性蝕刻以及沉積製程,以在閘極蓋層上形成介電保護層,同時蝕刻下層間介電層以在下層間介電層之中形成複數個源極/汲極接觸開口。第10圖繪示對應於操作2308的一些實施例的剖面圖1000。第15圖繪示對應於操作2308的一些替代實施例的剖面圖1500。第20圖繪示對應於操作2308的又一些替代實施例的剖面圖2000。
在操作2310,在源極/汲極接觸開口之中以及在源極/汲極區上方形成複數個下源極/汲極接觸件。第11和12圖繪示對應於操作2310的一些實施例的剖面圖1100和1200。第16和17圖繪示對應於操作2310的一些替代實施例的剖面圖1600和1700。第21圖繪示對應於操作2310的又一些替代實施例的剖面圖2100。
在操作2312,在複數個下源極/汲極接觸件以及下層間介電層上方形成上層間介電層。第13圖繪示對應於操作2312的一些實施例的剖面圖1300。
在操作2314,在上層間介電層之中以及在閘極電極與下源極/汲極接觸件上方形成複數個上導電接觸件。第14圖繪示對應於操作2314的一些實施例的剖面圖1400。第22圖繪示對應於操作2314的一些替代實施例的剖面圖2200。
因此,在一些實施例中,本揭露關於一種用於形成積體晶片的方法(以及相應結構),包括對閘極蓋層和下層間介電層執行選擇性蝕刻和沉積製程,以在閘極蓋層上選擇性地形成介電保護層,同時蝕刻下層間介電層以在對應的源極/汲極區上形成接觸開口。隨後,在接觸開口之中及源極/汲極區上方形成下源極/汲極接觸件。
在一些實施例中,本揭露提供一種製造積體晶片的方法,包括:在基板上方形成電晶體結構,其中電晶體結構包括一對源極/汲極區以及閘極電極,閘極電極在一對源極/汲極區之間;在一對源極/汲極區上方以及在閘極電極周圍形成下層間介電(inter-level dielectric, ILD)層;在閘極電極上方形成閘極蓋層;執行選擇性蝕刻以及沉積製程,以在閘極蓋層上形成介電保護層,同時在下層間介電層之中形成接觸開口;以及在接觸開口之中形成下源極/汲極接觸件。
在一些實施例中,介電保護層形成在閘極蓋層上,同時蝕刻下層間介電層以形成接觸開口。
在一些實施例中,選擇性蝕刻以及沉積製程包括在閘極蓋層以及下層間介電層上方同時流動電漿蝕刻劑以及選擇性前驅物氣體。
在一些實施例中,電漿蝕刻劑不同於選擇性前驅物氣體。
在一些實施例中,電漿蝕刻劑的流速大於選擇性前驅物氣體的流速。
在一些實施例中,選擇性蝕刻以及沉積製程包括執行選擇性蝕刻製程,同時執行選擇性沉積製程。
在一些實施例中,選擇性蝕刻以及沉積製程係在大約0°C至150°C之間的溫度執行。
在一些實施例中,閘極蓋層包括第一介電材料,並且下層間介電層包括第二介電材料,第二介電材料不同於第一介電材料。
在一些實施例中,第一介電材料係非氧化物介電材料,並且第二介電材料係氧化物。
在一些實施例中,本揭露提供一種製造積體晶片的方法,包括:在基板上方形成電晶體結構,其中電晶體結構包括一對源極/汲極區以及閘極電極,閘極電極在一對源極/汲極區之間;在基板上方在一對源極/汲極區上方以及在閘極電極周圍形成下蝕刻停止層以及下層間介電層,其中下層間介電層包括第一介電材料;凹蝕閘極電極,使得閘極電極的頂表面低於下蝕刻停止層的頂表面;在凹蝕的閘極電極上形成閘極蓋層,使得閘極蓋層的頂表面與下層間介電層的頂表面對齊,其中閘極蓋層包括第二介電材料,第二介電材料不同於第一介電材料;執行選擇性蝕刻以及沉積製程,以沿著閘極蓋層的頂表面選擇性地形成介電保護層,同時選擇性地蝕刻下層間介電層以在一對源極/汲極區上方定義接觸開口,其中選擇性蝕刻以及沉積製程包括在基板上方同時以第一流速流動電漿蝕刻劑並且以第二流速流動選擇性前驅物氣體,第二流速小於第一流速;執行去除製程以去除介電保護層;以及在接觸開口之中形成下源極/汲極接觸件。
在一些實施例中,更包括:在下層間介電層以及下源極/汲極接觸件上方形成上層間介電層;以及在上層間介電層之中形成上導電接觸件,其中上導電接觸件電性耦合至閘極電極以及下源極/汲極接觸件。
在一些實施例中,閘極蓋層連續地從下蝕刻停止層的頂表面延伸至閘極電極的頂表面。
在一些實施例中,沿著閘極蓋層的頂表面選擇性地形成介電保護層比通過電漿蝕刻劑蝕刻介電保護層更快。
在一些實施例中,介電保護層包括導電材料。
在一些實施例中,電漿蝕刻劑包括氟基蝕刻劑,並且選擇性前驅物氣體包括金屬氟化物。
在一些實施例中,本揭露提供一種積體晶片,包括:電晶體結構,設置在基板上方並且包括一對源極/汲極區以及閘極電極,閘極電極在一對源極/汲極區之間;下層間介電層,設置在一對源極/汲極區上方以及在閘極電極周圍,其中閘極電極的頂表面從下層間介電層的頂表面凹陷,其中下層間介電層包括第一介電材料;閘極蓋層,設置在閘極電極上,其中閘極蓋層具有頂表面,頂表面與下層間介電層的頂表面對齊,其中閘極蓋層包括第二介電材料,第二介電材料不同於第一介電材料;以及下源極/汲極接觸件,設置在一對源極/汲極區的第一源極/汲極區上方,其中下源極/汲極接觸件的頂表面與閘極蓋層的頂表面對齊,其中下源極/汲極接觸件包括本體區(body)以及第一突起(protrusion),其中第一突起從本體區橫向地延伸至閘極蓋層的部分上方的一處,其中本體區的寬度係第一突起的寬度的至少20倍。
在一些實施例中,第一介電材料係氧化物並且第二介電材料係非氧化物介電材料。
在一些實施例中,更包括:側壁間隔物,沿著閘極電極的側壁設置;以及下蝕刻停止層,設置在側壁間隔物與下層間介電層之間;其中閘極蓋層從下蝕刻停止層的頂表面,沿著側壁間隔物的側壁,延伸至閘極電極的頂表面。
在一些實施例中,閘極蓋層具有彎曲角落,彎曲角落直接相鄰下源極/汲極接觸件,其中第一突起直接接觸並且順應(conforms)彎曲角落。
在一些實施例中,第一突起的頂表面對齊閘極蓋層的頂表面。
以上概述數個實施例之特徵,以使所屬技術領域中具有通常知識者可以更加理解本發明實施例的觀點。所屬技術領域中具有通常知識者應理解,可輕易地以本發明實施例為基礎,設計或修改其他製程和結構,以達到與在此介紹的實施例相同之目的及/或優勢。在所屬技術領域中具有通常知識者也應理解,此類等效的結構並無悖離本發明實施例的精神與範圍,且可在不違背本發明實施例之精神和範圍下,做各式各樣的改變、取代和替換。因此,本發明之保護範圍當視後附之申請專利範圍所界定為準。
100:積體晶片 101:電晶體結構 102:基板 104:源極/汲極區 106:介電層 108:閘極電極 110:蝕刻停止層 112:間隔物 114:閘極蓋層 116:接觸件 117:介電層 118:介電層 120:接觸件 122:接觸結構 124:接觸結構 204:蝕刻停止層 206:接觸件 302:側壁 304:側壁 306:突起 308:突起 400:積體晶片 500:剖面圖 502:虛設閘極結構 600:剖面圖 700:剖面圖 702:遮罩層 800:剖面圖 900:剖面圖 902:遮罩結構 904:硬遮罩層 906:介電層 908:介電結構 1000:剖面圖 1002:保護層 1004:開口 1100:剖面圖 1102:導電結構 1200:剖面圖 1300:剖面圖 1400:剖面圖 1500:剖面圖 1502:損耗值 1504:損耗值 1506:距離 1600:剖面圖 1700:剖面圖 1800:剖面圖 1802:遮罩結構 1804:硬遮罩層 1806:介電層 1808:介電結構 1900:剖面圖 1902:開口 2000:剖面圖 2100:剖面圖 2200:剖面圖 2300:方法 2302:操作 2304:操作 2306:操作 2308:操作 2310:操作 2312:操作 2314:操作 114a:蓋結構 114b:蓋結構 116a:接觸件 116br:本體區 117c:中心段 200a:積體晶片 200b:積體晶片 200c:積體晶片 202a:襯層 202b:導電本體 206a:第一部分 206b:第二部分 2200a:剖面圖 2200b:剖面圖 300a:積體晶片 300b:積體晶片 d1:距離 v1:距離 w1:寬度 Wc:寬度 Wp1:寬度 Wp2:寬度
以下將配合所附圖示詳述本揭露之各面向。應注意的是,依據在業界的標準做法,各種特徵並未按照比例繪製且僅用以說明例示。事實上,可能任意地放大或縮小單元的尺寸,以清楚地表現出本揭露的特徵。 第1圖繪示積體晶片的一些實施例的剖面圖,積體晶片具有閘極蓋層在閘極電極上方。 第2A-2C及3A-3B圖繪示積體晶片的一些額外實施例的各種剖面圖,積體晶片具有閘極蓋層在閘極電極上方。 第4圖繪示積體晶片的一些實施例的視圖,積體晶片具有閘極蓋層在閘極電極上方。 第5-14圖繪示形成積體晶片的方法的一些實施例的各種剖面圖,積體晶片具有閘極蓋層在閘極電極上方。 第15-17圖繪示形成積體晶片的第二種方法的一些實施例的各種剖面圖,積體晶片具有閘極蓋層在閘極電極上方。 第18-21及22A-22B圖繪示第二種方法的一些額外的替代實施例的各種剖面圖。 第23圖繪示形成積體晶片的方法的一些實施例的流程圖,積體晶片具有閘極蓋層在閘極電極上方。
100:積體晶片
101:電晶體結構
102:基板
104:源極/汲極區
106:介電層
108:閘極電極
110:蝕刻停止層
112:間隔物
114:閘極蓋層
116:接觸件
117:介電層
118:介電層
120:接觸件
122:接觸結構
124:接觸結構

Claims (15)

  1. 一種製造積體晶片的方法,包括:在一基板上方形成一電晶體結構,其中該電晶體結構包括一對源極/汲極區以及一閘極電極,該閘極電極在該對源極/汲極區之間;在該對源極/汲極區上方以及在該閘極電極周圍形成一下層間介電(inter-level dielectric,ILD)層;在該閘極電極上方形成一閘極蓋層;執行一選擇性蝕刻以及沉積製程,以在該閘極蓋層上形成一介電保護層,同時在該下層間介電層之中形成一接觸開口;以及在該接觸開口之中形成一下源極/汲極接觸件。
  2. 如請求項1所述之製造積體晶片的方法,其中該介電保護層形成在該閘極蓋層上,同時蝕刻該下層間介電層以形成該接觸開口。
  3. 如請求項1所述之製造積體晶片的方法,其中該選擇性蝕刻以及沉積製程包括在該閘極蓋層以及該下層間介電層上方同時流動一電漿蝕刻劑以及一選擇性前驅物氣體。
  4. 如請求項3所述之製造積體晶片的方法,其中該電漿蝕刻劑不同於該選擇性前驅物氣體。
  5. 如請求項3所述之製造積體晶片的方法,其中該電漿蝕刻劑的流速大於該選擇性前驅物氣體的流速。
  6. 如請求項1至5任一項所述之製造積體晶片的方法,其中該選擇性蝕刻以及沉積製程係在大約0℃至150℃之間的溫度執行。
  7. 如請求項1至5任一項所述之製造積體晶片的方法,其中該閘 極蓋層包括一第一介電材料,並且該下層間介電層包括一第二介電材料,該第二介電材料不同於該第一介電材料。
  8. 如請求項7所述之製造積體晶片的方法,其中該第一介電材料係一非氧化物介電材料,並且該第二介電材料係一氧化物。
  9. 一種製造積體晶片的方法,包括:在一基板上方形成一電晶體結構,其中該電晶體結構包括一對源極/汲極區以及一閘極電極,該閘極電極在該對源極/汲極區之間;在該基板上方在該對源極/汲極區上方以及在該閘極電極周圍形成一下蝕刻停止層以及一下層間介電層,其中該下層間介電層包括一第一介電材料;凹蝕該閘極電極,使得該閘極電極的一頂表面低於該下蝕刻停止層的一頂表面;在凹蝕的該閘極電極上形成一閘極蓋層,使得該閘極蓋層的一頂表面與該下層間介電層的一頂表面對齊,其中該閘極蓋層包括一第二介電材料,該第二介電材料不同於該第一介電材料;執行一選擇性蝕刻以及沉積製程,以沿著該閘極蓋層的該頂表面選擇性地形成一介電保護層,同時選擇性地蝕刻該下層間介電層以在該對源極/汲極區上方定義多個接觸開口,其中該選擇性蝕刻以及沉積製程包括在該基板上方同時以一第一流速流動一電漿蝕刻劑並且以一第二流速流動一選擇性前驅物氣體,該第二流速小於該第一流速;執行一去除製程以去除該介電保護層;以及在該些接觸開口之中形成多個下源極/汲極接觸件。
  10. 如請求項9所述之製造積體晶片的方法,其中該閘極蓋層連 續地從該下蝕刻停止層的該頂表面延伸至該閘極電極的該頂表面。
  11. 如請求項9或10所述之製造積體晶片的方法,其中沿著該閘極蓋層的該頂表面選擇性地形成該介電保護層比通過該電漿蝕刻劑蝕刻該介電保護層更快。
  12. 一種積體晶片,包括:一電晶體結構,設置在一基板上方並且包括一對源極/汲極區以及一閘極電極,該閘極電極在該對源極/汲極區之間;一下層間介電層,設置在該對源極/汲極區上方以及在該閘極電極周圍,其中該閘極電極的一頂表面從該下層間介電層的一頂表面凹陷,其中該下層間介電層包括一第一介電材料;一閘極蓋層,設置在該閘極電極上,其中該閘極蓋層具有一頂表面,該頂表面與該下層間介電層的該頂表面對齊,其中該閘極蓋層包括一第二介電材料,該第二介電材料不同於該第一介電材料;以及一下源極/汲極接觸件,設置在該對源極/汲極區的一第一源極/汲極區上方,其中該下源極/汲極接觸件的一頂表面與該閘極蓋層的該頂表面對齊,其中該下源極/汲極接觸件包括一本體區(body)以及一第一突起(protrusion),其中該第一突起從該本體區橫向地延伸至該閘極蓋層的一部分上方的一處,其中該本體區的寬度係該第一突起的寬度的至少20倍。
  13. 如請求項12所述之積體晶片,更包括:一側壁間隔物,沿著該閘極電極的側壁設置;以及一下蝕刻停止層,設置在該側壁間隔物與該下層間介電層之間;其中該閘極蓋層從該下蝕刻停止層的一頂表面,沿著該側壁間隔物的側壁, 延伸至該閘極電極的該頂表面。
  14. 如請求項12或13所述之積體晶片,其中該閘極蓋層具有一彎曲角落,該彎曲角落直接相鄰該下源極/汲極接觸件,其中該第一突起直接接觸並且順應(conforms)該彎曲角落。
  15. 如請求項14所述之積體晶片,其中該第一突起的一頂表面對齊該閘極蓋層的該頂表面。
TW111129080A 2021-08-27 2022-08-03 積體晶片及其製造方法 TWI835231B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US17/459,065 US11942372B2 (en) 2021-08-27 2021-08-27 Dielectric protection layer in middle-of-line interconnect structure manufacturing method
US17/459,065 2021-08-27

Publications (2)

Publication Number Publication Date
TW202310168A TW202310168A (zh) 2023-03-01
TWI835231B true TWI835231B (zh) 2024-03-11

Family

ID=84738366

Family Applications (1)

Application Number Title Priority Date Filing Date
TW111129080A TWI835231B (zh) 2021-08-27 2022-08-03 積體晶片及其製造方法

Country Status (5)

Country Link
US (2) US11942372B2 (zh)
KR (1) KR20230031764A (zh)
CN (1) CN115565942A (zh)
DE (1) DE102022100893A1 (zh)
TW (1) TWI835231B (zh)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN118099091B (zh) * 2024-04-19 2024-06-21 英诺赛科(苏州)半导体有限公司 半导体结构的制备方法、半导体结构及电子设备

Family Cites Families (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20190378722A1 (en) * 2018-06-07 2019-12-12 Globalfoundries Inc. Semiconductor device with improved gate-source/drain metallization isolation

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
Hong Xiao, Introduction to Semiconductor Manufacturing Technology Second Edition, SPIE PRESS, 2012

Also Published As

Publication number Publication date
US20240162095A1 (en) 2024-05-16
CN115565942A (zh) 2023-01-03
TW202310168A (zh) 2023-03-01
US20230061082A1 (en) 2023-03-02
DE102022100893A1 (de) 2023-03-02
KR20230031764A (ko) 2023-03-07
US11942372B2 (en) 2024-03-26

Similar Documents

Publication Publication Date Title
US9966267B2 (en) Semiconductor device having vertical channels and method of manufacturing the same
US10236255B2 (en) Contact having self-aligned air gap spacers
KR102209949B1 (ko) 반도체 구조물 커팅 프로세스 및 그로 인하여 형성된 구조물
TWI518755B (zh) 積體電路結構及其製作方法
KR100541515B1 (ko) 수직 채널 패턴을 갖는 반도체 장치 및 이를 제조하는 방법
US20240162095A1 (en) Dielectric protection layer in middle-of-line interconnect structure manufacturing method
TW202139296A (zh) 半導體裝置及其形成方法
TWI777530B (zh) 半導體裝置與其形成方法
US11594607B2 (en) Gate feature in FinFET device
TW202217974A (zh) 半導體裝置及其形成方法
US20220367258A1 (en) Semiconductor Device and Method
US20220262673A1 (en) Semiconductor device
TW202329242A (zh) 製造半導體裝置的方法
US11967622B2 (en) Inter block for recessed contacts and methods forming same
US20220336585A1 (en) Semiconductor devices having parasitic channel structures
TWI817115B (zh) 半導體元件及其形成方法
TWI836944B (zh) 半導體元件結構及其形成方法
US20230230876A1 (en) Method of forming a cap layer for sealing an air gap, and semiconductor device
TWI838669B (zh) 半導體裝置及其形成方法
US20230008165A1 (en) Method for sealing a seam, semiconductor structure, and method for manufacturing the same
US20240055525A1 (en) Semiconductor device and method of forming the same
US20240170563A1 (en) Dielectric Layer for Nanosheet Protection and Method of Forming the Same
US20240234527A1 (en) Inter block for recessed contacts and methods forming same
US20240128267A1 (en) Semiconductor device and manufacturing method thereof
TW202236505A (zh) 半導體結構的形成方法