TWI831046B - 基板處理設備及用於基板處理設備的操作方法 - Google Patents

基板處理設備及用於基板處理設備的操作方法 Download PDF

Info

Publication number
TWI831046B
TWI831046B TW110133263A TW110133263A TWI831046B TW I831046 B TWI831046 B TW I831046B TW 110133263 A TW110133263 A TW 110133263A TW 110133263 A TW110133263 A TW 110133263A TW I831046 B TWI831046 B TW I831046B
Authority
TW
Taiwan
Prior art keywords
chamber
substrate
power
gas
antenna
Prior art date
Application number
TW110133263A
Other languages
English (en)
Other versions
TW202226324A (zh
Inventor
梁承國
鄭奉周
姜圭完
Original Assignee
南韓商優吉尼科技股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 南韓商優吉尼科技股份有限公司 filed Critical 南韓商優吉尼科技股份有限公司
Publication of TW202226324A publication Critical patent/TW202226324A/zh
Application granted granted Critical
Publication of TWI831046B publication Critical patent/TWI831046B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32853Hygiene
    • H01J37/32862In situ cleaning of vessels and/or internal parts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4405Cleaning of reactor or parts inside the reactor by using reactive gases
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32522Temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/02Details
    • H01J2237/022Avoiding or removing foreign or contaminating particles, debris or deposits on sample or tube

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Public Health (AREA)
  • Epidemiology (AREA)
  • Health & Medical Sciences (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Cleaning Or Drying Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

在一個實施態樣中,一種用於操作一基板處理設備之方法,該基板處理設備包含:一腔室,在該腔室中一含氟且含矽物質經由用於置放於該腔室中之一基板的一氧化物膜移除製程而經沈積於一內壁上;及一天線,其安裝於該腔室外部,RF功率經施加至該天線,該方法包含:藉由將一惰性氣體供應至該腔室之內部且將RF功率施加至該天線而經由將該腔室之該內壁加熱至75℃或更高來使該含氟且含矽物質熱分解。

Description

基板處理設備及用於基板處理設備的操作方法
本發明係有關於基板處理設備及用於基板處理設備的操作方法。
發明背景
本發明係關於基板處理設備及用於基板處理設備之操作方法,且更特定言之,係關於能夠移除沈積於腔室之內壁上的含氟且含矽物質的基板處理設備及用於基板處理設備之操作方法。
在半導體、顯示器、太陽能電池及其他電子器件之製造中,當基板之表面曝露於氧氣及/或大氣水時,原生氧化物通常形成於基板之表面上。當在大氣或環境條件下在處理腔室之間移動基板時或當少量氧氣保留在處理腔室中時,會發生曝露於氧氣中。又,原生氧化物可能係由蝕刻製程期間之污染造成。原生氧化物膜通常極薄,諸如5至20Å,但足夠厚以在後續製造製程中產生困難。因此,原生氧化物層通常係不合需要的且需要在後續製造製程之前被移除。
發明概要
本發明提供基板處理設備及用於基板處理設備之操作方法,在移除基板表面上之氧化物之製程中,含氟且含矽物質會沈積於腔室之內壁上,且可移除該含氟且含矽物質。
又,本發明提供基板處理設備及用於基板處理設備之操作方法,可原位移除含氟且含矽物質。
本發明之另一目標將參看以下詳細描述及附圖而變得顯而易見。
在一個實施態樣中,一種用於操作基板處理設備之方法,該基板處理設備包含:腔室,在該腔室中含氟且含矽物質經由用於置放於該腔室中之基板的氧化物膜移除製程而經沈積於內壁上;及天線,其安裝於腔室外部,RF功率經施加至該天線,該方法包含:藉由將惰性氣體供應至腔室之內部且將RF功率施加至天線而經由將腔室之內壁加熱至75℃或更高來使含氟且含矽物質熱分解。
惰性氣體可為氬氣。
將RF功率施加至天線可包含在供應時間期間供應RF功率及在停止時間期間停止RF功率,週期性地重複供應RF功率及停止RF功率時間。
供應時間可長於停止時間。
該方法可進一步包含:在基板置放於基板支撐件上之狀態下,經由將反應氣體供應至基板之表面而與形成於該基板之該表面上的氧化物膜反應,藉由將源氣體供應至腔室之內部且將RF功率施加至天線而自該源氣體產生反應氣體;藉由將基板自腔室取出而將該基板轉移至退火腔室;及在退火腔室中將基板加熱至80℃或更高,其中在加熱該基板之後可實現使含氟且含矽物質熱分解。
在一個實施例中,一種基板處理設備包含:腔室,其具有內空間;基板支撐件,其安裝於內空間中,基板置放於該基板支撐件上;天線,其安裝於腔室外部,RF功率經施加至該天線;氣體供應單元,其能夠將惰性氣體及源氣體供應至腔室之內部;及控制器,其電氣連接至氣體供應單元及天線以將RF功率施加至天線,其中控制器具有清潔模式,使得在清潔模式下將惰性氣體供應至 腔室之內部且將RF功率施加至天線,由此將腔室之內壁加熱至75℃或更高,且使含氟且含矽物質熱分解。
根據本發明之實施態樣,可藉由用安裝於腔室外部之天線自惰性氣體產生電漿來升高腔室之內壁的溫度,且因此可移除沈積於腔室之內壁上的含氟且含矽物質。
特別言之,提供天線以在移除氧化物之製程中自源氣體產生反應氣體,使得有可能在無加熱裝置之情況下經由天線升高腔室內壁之溫度且原位移除沈積於腔室內壁上之含氟且含矽物質。
10:下部腔室
12:基板支撐件
14:擋板
14a:擋板孔
16:排出埠
18:真空泵
20:上部腔室
20a:供應孔
22:擴散板
22a:擴散孔
24:注入板
24a:注入孔
32:氫氣供應源
34:氟化氮供應源
36:氬氣供應源
32a,34a,36a:流動控制器
40:天線
B:產生空間
X,T1,T2,T3:區段
圖1說明根據本發明之一實施態樣的基板處理設備。
圖2說明源氣體及惰性氣體之供應時間及RF功率之供應時間。
圖3為說明根據RF功率之腔室內壁溫度變化的曲線圖。
較佳實施態樣之詳細說明
在下文中,將參看圖1至圖3詳細地描述本發明之例示性實施態樣。然而,可以不同形式體現本發明,且不應將本發明建構為限於本文中所闡述之實施態樣。確切而言,提供此等實施態樣以使得本揭露內容將為透徹且完整的,且將向熟習此項技術者充分傳達本發明之範疇。在圖式中,為了清楚說明起見而放大組件之形狀。
首先,基板S之表面上的氧化可例如在轉移基板時在基板被曝露於大氣時發生。因此,需要用於移除形成於基板上之原生氧化物(或表面氧化物)的清潔製程。
清潔製程可為使用具有自由基狀態之氫氣(H*)及NF3氣體的乾式蝕刻製程。舉例而言,當蝕刻形成於基板表面上之氧化矽時,將基板安置於腔室 內,且隨後在腔室內形成真空大氣以產生與腔室內的氧化矽反應之中間產物。
舉例而言,當將氫氣之自由基(H*)及諸如氟化物氣體之反應氣體(例如,氟化氮(NF3))供應至腔室中時,反應氣體如以下反應式(1)中所表示經還原以產生諸如NHxFy之中間產物(其中x及y為某些整數)。
Figure 110133263-A0305-02-0006-1
由於中間產物與氧化矽(SiO2)具有高反應性,因此當中間產物到達矽基板之表面時,中間產物選擇性地與氧化矽反應以產生如以下反應式(2)中所表示之反應產物((NH4)2SiF6)。
Figure 110133263-A0305-02-0006-2
其後,當矽基板經加熱為約100℃或更高之溫度時,反應產物如以下反應式(3)中所表示經熱解,以形成熱解氣體,且隨後熱解氣體經蒸發。因此,氧化矽可自基板之表面移除。如以下反應式(3)中所示,熱解氣體包括含氟氣體,諸如HF氣體或SiF4氣體。
Figure 110133263-A0305-02-0006-3
如上文所描述,清潔製程可包括用於產生反應產物之反應製程及用於使反應產物熱解之加熱製程。反應製程及加熱製程可在清潔腔室內同時執行。替代地,反應製程可在清潔腔室中之一者內執行,且加熱製程可在清潔腔室中之另一者內執行。
圖1說明根據本發明之一實施態樣的基板處理設備。基板處理設備包括反應腔室,該反應腔室包括下部腔室10及上部腔室20。中間產物及反應產物產生於反應腔室中,且隨後將基板轉移至退火腔室,且隨後在退火腔室中執行退火製程。
上部腔室20安裝於下部腔室10上方,下部腔室10具有形成於其中之反應空間A,且上部腔室20具有形成於其中之產生空間B。反應空間A經 由分別形成於下部腔室10之上部部分及上部腔室20之下部部分中的開口與產生空間B連通。
基板支撐件12安裝於下部腔室10內部,且基板可在經由形成於下部腔室10之側壁上的通道(圖中未示)裝載於下部腔室10中之後置放於基板支撐件12的上部部分上。擋板14具有環形形狀且圍繞基板支撐件12而安裝。擋板14經由擋板支撐件經支撐且定位成低於基板支撐件12之上部表面,且反應空間A中之副產物經由擋板孔14a移動至排出埠16。真空泵18連接至排出埠16,以強制性地將副產物及其類似物排放至反應腔室外部。
擴散板22安裝於反應空間A與產生空間B之間,且產生於產生空間B中之物質(例如,中間產物等)經由形成於擴散板22中之擴散孔22a移動至反應空間A。
注入板24安裝於產生空間B之上部部分中且與上部腔室20之頂板表面間隔開,且源氣體及惰性氣體經由供應孔20a供應至注入板24之上部空間。注入板24具有多個注入孔24a,且源氣體及惰性氣體可經由注入孔24a移動至注入板24之下部空間。
儲存於氣體供應源32、34及36中之氣體經由各別流動控制器32a、34a及36a移動至供應孔20a,且流動控制器32a、34a及36a可控制(或阻斷)氣體之流動速率。氣體供應源32、34及36可包括氫氣供應源32、氟化氮供應源34及氬氣供應源36。
天線40具有圓柱形形狀且在豎直方向上圍繞上部腔室20而安裝。天線40經由控制器50電氣連接至RF功率供應源,且控制器50可調整供應至天線40之RF功率。另外,控制器50可電氣連接至流動控制器32a、34a及36a以調整移動至供應孔20a之氣體的流動速率。
圖2說明源氣體及惰性氣體之供應時間及RF功率之供應時間。 在下文中,將參看圖1及圖2描述基板處理設備之操作方法。
將基板移動至下部腔室10中且置放於基板支撐件12上,且將基板平行於基板支撐件12之上部表面而安置。
隨後,在使用控制器50之情況下,將源氣體,即來自氫氣源(例如,氨氣(NH3)、H2O等)32之氫氣及來自氟化氮源34之氟化氮供應至產生空間B(圖2中之區段‘X’)。此時,可將作為惰性氣體之氬氣自氬氣供應源36供應至產生空間B以添加至氫氣及氟化氮,且氬氣可由另一惰性氣體替換。
另外,可經由控制器50將RF功率施加至天線40(圖2中之區段‘X’),且RF功率可為約500W。經由此製程,源氣體在產生空間B中解離以形成中間產物(反應氣體)(例如,氟化銨(NH4F)或氟化氫銨(NH4F(HF))),中間產物經由擴散孔22移動至反應空間A以便與含有氧化矽之基板表面反應。
其後,作為中間產物之反應氣體(例如,氟化銨(NH4F))在反應空間A中與基板表面上之氧化矽反應以形成六氟矽酸銨((NH4)2SiF6)、氨氣、水等,且可藉由真空泵18自反應腔室移除氨氣及水。
其後,將基板自反應腔室轉移至退火腔室,且當在退火腔室中將基板加熱至80℃或更多時,六氟矽酸銨可分解成諸如氨氣、氟化氫等揮發性組分或昇華。吹掃且抽空退火腔室。
同時,如上文所描述,作為中間產物之反應氣體在反應空間A中與基板表面上之氧化矽反應以產生作為反應產物之六氟矽酸銨((NH4)2SiF6),且在此製程中,不僅在基板表面上而且在反應腔室之內壁上產生反應產物。特別言之,由於此類反應產物會脫落或漂浮以在未來反應製程中充當污染物,因此需要週期性地進行腔室清潔製程以移除該等污染物(基於約20,000次)。
在習知腔室清潔方法中,含有氟(F)之清潔氣體被供應至腔室內部,但因為反應產物為含氟且含矽物質,所以反應產物不能經由清潔氣體移除。
圖3為說明根據RF功率之腔室內壁溫度變化的曲線圖。在上述反應模式(圖2中之區段‘X’)終止且自反應腔室移除基板之後,控制器50可在清潔模式下操作(圖2中之區段‘T1、T2、…’)。在下文中,將參看圖3描述清潔模式。
首先,在使用控制器50之情況下,關閉用於源氣體之流動控制器32a及34a以阻斷源氣體之供應,且打開用於氬氣之流動控制器36a以將氬氣供應至空間B(圖2中之‘T1’區段)。氬氣之供應量可為1,500sccm至2,500sccm,較佳地為2,000sccm。
另外,可經由控制器50將RF功率供應至天線40(圖2中之區段‘T1’),且RF功率可為約2,000W(反應腔室中之壓力=1托)。可歷時約150秒供應RF功率,且隨後可歷時約100秒切斷RF功率。
如圖3所示,經由此製程在產生空間B中自氬氣產生電漿,且因此產生空間B之溫度升高。亦即,可藉由經由氬氣產生電漿來加熱產生空間B,且特定言之,在接近於天線40之部分中,產生空間B之溫度升高大大增加。在此情況下,在供應RF功率之供應時間之後,需要切斷RF功率之停止時間,且停止時間之含義為歸因於電漿產生而使得升高產生空間B之溫度所需的反應時間增加。
如圖2及圖3中所示,將清潔模式重複若干次直至產生空間B之溫度Temp#1/Temp#2達到所需溫度(圖2中之區段‘T1、T2、…’),一個循環之所需時間為約250秒。當產生空間B之溫度(Temp#1/Temp#2)達到所需溫度時,控制器50最終切斷RF功率,且可經由安裝於上部腔室20之內壁上的溫度感測裝置(圖中未示)來量測產生空間(B)之溫度(Temp#1/Temp#2)。
經由此製程,產生空間B之溫度(Temp#1/Temp#2)可逐漸升高為達到約150℃(若重複10次,則溫度上升至201℃),且形成於產生空間B之內 壁上的反應產物可分解成揮發性組分或昇華,且隨後經由排出埠16強制性地排放至反應腔室外部。
如上文所描述,有可能以經由氬氣產生電漿之方式加熱產生空間B,且經由此,可移除形成於產生空間B之內壁上的反應產物及其類似物。特定言之,由於此方法並不顯著地影響基板支撐件12之溫度,因此不必在清潔反應腔室之後冷卻基板支撐件12以供後續處理。
同時,在此實施態樣中,採用氬氣作為載氣/吹掃氣體,且用氬氣吹掃反應腔室,但氬氣可由其他惰性氣體替換。
儘管參看例示性實施態樣詳細描述本發明,但本發明可以許多不同形式體現。因此,下文闡述之申請專利範圍之技術想法及範疇不限於較佳實施態樣。
10:下部腔室
12:基板支撐件
14:擋板
14a:擋板孔
16:排出埠
18:真空泵
20:上部腔室
20a:供應孔
22:擴散板
22a:擴散孔
24:注入板
24a:注入孔
32:氫氣供應源
34:氟化氮供應源
36:氬氣供應源
32a,34a,36a:流動控制器
40:天線
B:產生空間

Claims (4)

  1. 一種用於操作一基板處理設備之方法,該方法包含:將反應氣體供應至基板之表面以與形成於該基板之該表面上的氧化物膜反應,而產生含氟且含矽物質,該基板置放於安裝在腔室之內部的基板支撐件上,該反應氣體是藉由將源氣體供應至該腔室之該內部且將RF功率施加至安裝於該腔室外部之天線而自該源氣體產生;藉由將該基板自該腔室取出而將該基板轉移至退火腔室;以及藉由將惰性氣體供應至該腔室之該內部且將RF功率施加至該天線而經由將該腔室之內壁加熱至75℃或更高來使產生於該腔室之該內壁上的物質熱分解;其中將RF功率施加至該天線包含在一供應時間期間供應RF功率及在一停止時間期間停止RF功率,該供應時間及該停止時間係週期性地重複,該供應時間長於該停止時間。
  2. 如請求項1之方法,其中該惰性氣體為氬氣。
  3. 如請求項1之方法,該方法進一步包含:在該退火腔室中將該基板加熱至80℃或更高。
  4. 一種基板處理設備,其包含:一腔室,其具有一內空間;一基板支撐件,其安裝於該內空間中,一基板置放於該基板支撐件上;一天線,其安裝於該腔室外部,RF功率經施加至該天線;一氣體供應單元,其能夠將一惰性氣體及一源氣體供應至該腔室之內部;以及一控制器,其電氣連接至該氣體供應單元及該天線以將RF功率施加至該天線, 其中該控制器具有處理模式及清潔模式,其中在該處理模式中,將該反應氣體供應至基板之表面以與形成於該基板之表面上的氧化物膜反應,而產生含氟且含矽物質,且該基板自該腔室取出,其中在該清潔模式中,藉由將該惰性氣體供應至該腔室之內部且將RF功率施加至該天線而經由將該腔室之內壁加熱至75℃或更高來使產生於該腔室之該內壁上的物質熱分解;其中將RF功率施加至該天線包含在一供應時間期間供應RF功率及在一停止時間期間停止RF功率,該供應時間及該停止時間係週期性地重複,該供應時間長於該停止時間。
TW110133263A 2020-09-08 2021-09-07 基板處理設備及用於基板處理設備的操作方法 TWI831046B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
KR1020200114927A KR102516340B1 (ko) 2020-09-08 2020-09-08 기판 처리 장치 및 기판 처리 장치의 운용 방법
KR10-2020-0114927 2020-09-08

Publications (2)

Publication Number Publication Date
TW202226324A TW202226324A (zh) 2022-07-01
TWI831046B true TWI831046B (zh) 2024-02-01

Family

ID=80462361

Family Applications (1)

Application Number Title Priority Date Filing Date
TW110133263A TWI831046B (zh) 2020-09-08 2021-09-07 基板處理設備及用於基板處理設備的操作方法

Country Status (5)

Country Link
US (1) US20220076963A1 (zh)
JP (1) JP7288702B2 (zh)
KR (1) KR102516340B1 (zh)
CN (1) CN114156161A (zh)
TW (1) TWI831046B (zh)

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1741803A2 (en) * 2005-07-08 2007-01-10 Air Products and Chemicals, Inc. Free radical initiator in remote plasma chamber clean
JP2008525999A (ja) * 2004-12-21 2008-07-17 アプライド マテリアルズ インコーポレイテッド 化学蒸着エッチングチャンバから副生成物の堆積物を除去するインサイチュチャンバ洗浄プロセス
WO2009085561A2 (en) * 2007-12-20 2009-07-09 S.O.I.Tec Silicon On Insulator Technologies Methods for in-situ chamber cleaning process for high volume manufacture of semiconductor materials
CN102420100A (zh) * 2011-05-23 2012-04-18 上海华力微电子有限公司 一种刻蚀腔体清除记忆效应的方法
TW201614094A (en) * 2014-07-21 2016-04-16 Applied Materials Inc Conditioning remote plasma source for enhanced performance having repeatable etch and deposition rates
TW202000327A (zh) * 2018-06-15 2020-01-01 美商應用材料股份有限公司 用來允許高溫清潔以供快速處理晶圓的技術

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7269350B2 (en) 2001-07-05 2007-09-11 Wave7 Optics, Inc. System and method for communicating optical signals between a data service provider and subscribers
US6814814B2 (en) * 2002-03-29 2004-11-09 Applied Materials, Inc. Cleaning residues from surfaces in a chamber by sputtering sacrificial substrates
US20060051966A1 (en) * 2004-02-26 2006-03-09 Applied Materials, Inc. In-situ chamber clean process to remove by-product deposits from chemical vapor etch chamber
JP4811870B2 (ja) * 2004-04-09 2011-11-09 東京エレクトロン株式会社 Ti膜およびTiN膜の成膜方法およびコンタクト構造、ならびにコンピュータ読取可能な記憶媒体およびコンピュータプログラム
KR100989974B1 (ko) * 2005-02-02 2010-10-26 도쿄엘렉트론가부시키가이샤 클리닝 방법 및 플라즈마 처리 방법
JP4475136B2 (ja) * 2005-02-18 2010-06-09 東京エレクトロン株式会社 処理システム、前処理装置及び記憶媒体
JP5046506B2 (ja) 2005-10-19 2012-10-10 東京エレクトロン株式会社 基板処理装置,基板処理方法,プログラム,プログラムを記録した記録媒体
TW200746268A (en) * 2006-04-11 2007-12-16 Applied Materials Inc Process for forming cobalt-containing materials
JP2010016159A (ja) * 2008-07-03 2010-01-21 Hitachi High-Technologies Corp プラズマ処理方法およびプラズマ処理装置
US7967913B2 (en) * 2008-10-22 2011-06-28 Applied Materials, Inc. Remote plasma clean process with cycled high and low pressure clean steps
JP2011077378A (ja) 2009-09-30 2011-04-14 Ulvac Japan Ltd 基板処理方法及び基板処理装置
JP2012004188A (ja) * 2010-06-14 2012-01-05 Toshiba Corp エッチング方法
KR101312592B1 (ko) * 2012-04-10 2013-09-30 주식회사 유진테크 히터 승강형 기판 처리 장치
JP7109165B2 (ja) * 2017-05-30 2022-07-29 東京エレクトロン株式会社 エッチング方法
JP7336873B2 (ja) * 2018-11-30 2023-09-01 東京エレクトロン株式会社 基板処理方法

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008525999A (ja) * 2004-12-21 2008-07-17 アプライド マテリアルズ インコーポレイテッド 化学蒸着エッチングチャンバから副生成物の堆積物を除去するインサイチュチャンバ洗浄プロセス
EP1741803A2 (en) * 2005-07-08 2007-01-10 Air Products and Chemicals, Inc. Free radical initiator in remote plasma chamber clean
WO2009085561A2 (en) * 2007-12-20 2009-07-09 S.O.I.Tec Silicon On Insulator Technologies Methods for in-situ chamber cleaning process for high volume manufacture of semiconductor materials
CN102420100A (zh) * 2011-05-23 2012-04-18 上海华力微电子有限公司 一种刻蚀腔体清除记忆效应的方法
TW201614094A (en) * 2014-07-21 2016-04-16 Applied Materials Inc Conditioning remote plasma source for enhanced performance having repeatable etch and deposition rates
TW202000327A (zh) * 2018-06-15 2020-01-01 美商應用材料股份有限公司 用來允許高溫清潔以供快速處理晶圓的技術

Also Published As

Publication number Publication date
JP2022045355A (ja) 2022-03-18
KR102516340B1 (ko) 2023-03-31
US20220076963A1 (en) 2022-03-10
CN114156161A (zh) 2022-03-08
JP7288702B2 (ja) 2023-06-08
TW202226324A (zh) 2022-07-01
KR20220032953A (ko) 2022-03-15

Similar Documents

Publication Publication Date Title
CN109075030B (zh) 用于在等离子体处理腔室中的原位腔室清洁效率提高的等离子体处理工艺
KR102245729B1 (ko) 에피택셜 성장 이전에 기판 표면을 사전 세정하기 위한 방법 및 장치
US6844273B2 (en) Precleaning method of precleaning a silicon nitride film forming system
US8025931B2 (en) Film formation apparatus for semiconductor process and method for using the same
US20070062646A1 (en) Method and apparatus for processing substrates
US9163309B2 (en) Substrate processing apparatus, method of manufacturing semiconductor device and non-transitory computer-readable recording medium
JP3954833B2 (ja) バッチ式真空処理装置
JP5011148B2 (ja) 半導体装置の製造方法、クリーニング方法及び基板処理装置
KR20060093057A (ko) 종형 뱃치 처리 장치
JP2007088454A (ja) 制御可能な空間的変化を有する層を形成する方法及びシステム
WO2011025655A2 (en) Silicon-selective dry etch for carbon-containing films
US20120220116A1 (en) Dry Chemical Cleaning For Semiconductor Processing
JP5726281B1 (ja) 基板処理装置及び半導体装置の製造方法
TW200525611A (en) Chamber cleaning method
JP2010206050A (ja) 半導体装置の製造方法及び基板処理装置
KR20010039780A (ko) 발열체 cvd 장치 및 부착막의 제거방법
TWI831046B (zh) 基板處理設備及用於基板處理設備的操作方法
KR20210035740A (ko) 에칭 방법, 대미지층의 제거 방법, 및 기억 매체
TW202032659A (zh) 蝕刻方法、蝕刻殘渣之去除方法及記憶媒體
JP2003059899A (ja) 基板処理装置
KR20010081981A (ko) 산화처리장치 및 그 클리닝방법
JP3576828B2 (ja) エッチング方法及び基板処理装置
US20230274942A1 (en) Method for manufacturing semiconductor device
WO2020235596A1 (ja) 成膜方法および成膜装置、ならびに処理容器のクリーニング方法
JP2006278594A (ja) 半導体装置の製造方法