TWI822128B - 用於去耦合與半導體製造相關之變異來源之方法 - Google Patents

用於去耦合與半導體製造相關之變異來源之方法 Download PDF

Info

Publication number
TWI822128B
TWI822128B TW111122770A TW111122770A TWI822128B TW I822128 B TWI822128 B TW I822128B TW 111122770 A TW111122770 A TW 111122770A TW 111122770 A TW111122770 A TW 111122770A TW I822128 B TWI822128 B TW I822128B
Authority
TW
Taiwan
Prior art keywords
kpi
variation
residual
factors
media
Prior art date
Application number
TW111122770A
Other languages
English (en)
Other versions
TW202319840A (zh
Inventor
吉爾 伊麗莎白 弗里曼
維為克 庫瑪 簡
鮑國峯
溫 提波 泰爾
Original Assignee
荷蘭商Asml荷蘭公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 荷蘭商Asml荷蘭公司 filed Critical 荷蘭商Asml荷蘭公司
Publication of TW202319840A publication Critical patent/TW202319840A/zh
Application granted granted Critical
Publication of TWI822128B publication Critical patent/TWI822128B/zh

Links

Classifications

    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B19/00Programme-control systems
    • G05B19/02Programme-control systems electric
    • G05B19/418Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control [DNC], flexible manufacturing systems [FMS], integrated manufacturing systems [IMS] or computer integrated manufacturing [CIM]
    • G05B19/41875Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control [DNC], flexible manufacturing systems [FMS], integrated manufacturing systems [IMS] or computer integrated manufacturing [CIM] characterised by quality surveillance of production
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/70525Controlling normal operating mode, e.g. matching different apparatus, remote control or prediction of failure
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/38Masks having auxiliary features, e.g. special coatings or marks for alignment or testing; Preparation thereof
    • G03F1/44Testing or measuring features, e.g. grid patterns, focus monitors, sawtooth scales or notched scales
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/705Modelling or simulating from physical phenomena up to complete wafer processes or whole workflow in wafer productions
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/70508Data handling in all parts of the microlithographic apparatus, e.g. handling pattern data for addressable masks or data transfer to or from different components within the exposure apparatus
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B19/00Programme-control systems
    • G05B19/02Programme-control systems electric
    • G05B19/418Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control [DNC], flexible manufacturing systems [FMS], integrated manufacturing systems [IMS] or computer integrated manufacturing [CIM]
    • G05B19/41885Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control [DNC], flexible manufacturing systems [FMS], integrated manufacturing systems [IMS] or computer integrated manufacturing [CIM] characterised by modeling, simulation of the manufacturing system
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/45Nc applications
    • G05B2219/45031Manufacturing semiconductor wafers

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • General Engineering & Computer Science (AREA)
  • Quality & Reliability (AREA)
  • Automation & Control Theory (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)
  • Crystals, And After-Treatments Of Crystals (AREA)

Abstract

本文中描述了一種用於判定半導體製造中隨時間推移的程序漂移或離群值晶圓之方法。該方法涉及獲得表徵隨時間推移之一半導體程序之一效能的一關鍵效能指示符(KPI)變異(例如,LCDU),及與一組與該半導體程序相關聯之因數相關聯的資料。該KPI之一模型及該資料用於判定一第一組因數對於該KPI變異的貢獻,該第一組因數突破一統計臨限值。來自該第一組因數對於該KPI變異的該等貢獻係自該模型移除以獲得一殘餘KPI變異。基於該殘餘KPI變異,判定突破一殘餘臨限值之一殘餘值。該殘餘值指示該半導體程序中隨時間推移的程序漂移或在某一時間處對應於該殘餘值之一離群值基板。

Description

用於去耦合與半導體製造相關之變異來源之方法
本發明係關於改良半導體製造程序之效能之方法。該方法可結合微影設備或度量衡設備而使用。
微影設備為將所要圖案轉印至基板之目標部分上之機器。微影設備可用於例如積體電路(IC)製造。在彼情況下,圖案化裝置(其替代地被稱為光罩或倍縮光罩)可用以產生對應於IC之個別層之電路圖案,且可將此圖案成像至具有輻射敏感材料(抗蝕劑)層之基板(例如,矽晶圓)上之目標部分(例如,包含晶粒之部分、一個晶粒或若干晶粒)上。一般而言,單一基板含有經順次地曝光之鄰近目標部分之網路。已知微影設備包括:所謂的步進器,其中藉由一次性將整個圖案曝光至目標部分上來輻照每一目標部分;及所謂的掃描器,其中藉由在給定方向(「掃描」方向)上經由光束而掃描圖案同時平行或反平行於此方向而同步地掃描基板來輻照每一目標部分。
在將電路圖案自圖案化裝置轉印至基板之前,基板可經歷各種程序,諸如上底漆、抗蝕劑塗佈及軟烘烤。在曝光之後,基板可經受其他程序,諸如曝光後烘烤(PEB)、顯影、硬烘烤及量測/檢測經轉印電路 圖案。此程序陣列用作製造裝置(例如IC裝置)之個別層的基礎。基板隨後可經歷各種程序以產生裝置之個別層,該等程序諸如蝕刻、離子植入(摻雜)、金屬化、氧化、化學機械研磨等。若在裝置中需要若干層,則可對各層重複整個工序或其變體。最終,在基板上之每一目標部分中將存在一裝置。接著藉由諸如切割或鋸切之技術來使此等裝置彼此分離,由此,可將個別裝置安裝於載體上、連接至接腳,等等。
因此,製造半導體裝置典型地涉及使用數個製作程序來處理基板(例如,半導體晶圓)以形成裝置之各種特徵及多個層。通常使用(例如)沈積、微影、蝕刻、沈積、化學機械研磨及離子植入來製造及處理此等層及特徵。可在一基板上之複數個晶粒上製作多個裝置,且接著將該等裝置分離成個別裝置。裝置製造典型地包括圖案化程序。圖案化程序涉及在微影設備中使用圖案化裝置(例如,光罩)之圖案化步驟(諸如光學及/或奈米壓印微影術),以將圖案化裝置上之圖案轉印至基板,且典型地但視情況涉及一或多個相關圖案處理步驟,諸如藉由顯影設備進行抗蝕劑顯影、使用烘烤工具烘烤基板、使用圖案使用蝕刻設備進行蝕刻等。
半導體製造涉及監視及控制在製造中使用的一或多個程序及設備以使得電路之奈米級特徵可準確地印刷於基板上。舉例而言,微影程序經監視以藉由分析一或多個關鍵效能指示符(KPI)資料(例如,局部臨界尺寸均一性(LCDU)、失效率等)來偵測及標記程序漂移或偏移或離群值基板,該等關鍵效能指示符資料指示隨時間推移的程序效能。此等KPI(例如,局部CD變異(LCDU)、失效率等)係藉由以規則間隔使用給定程序曝光基板、量測此等基板及計算KPI資料並監視KPI資料在一段時間內的 趨勢而監視。舉例而言,在大批量製造(HVM)設定中,給定程序可採用預期對隨時間推移之KPI變異有貢獻的多個工具及倍縮光罩,且可存在其他可量測程序雜訊,諸如平均臨界尺寸(CD)或劑量,其亦對待量測的KPI具有影響。為考慮此等變異,不同工具及倍縮光罩可以單獨規格限制來單獨地監視,且先進程序控制可經應用以使得特徵之CD儘可能接近目標CD。
根據本發明,本文提供用以捕捉可因滿足KPI相關規格而被遮蔽之程序漂移或離群值基板的機制。所呈現機制分解並濾除來自特定組因數(諸如工具及倍縮光罩)對於KPI變異的貢獻及監視殘餘KPI變異。移除來自各種因數之貢獻改良隨時間推移之與半導體程序相關的殘餘KPI變異的信雜比。改良之信雜比又有利地有助於識別程序之時間漂移,或離群值基板。
在一實施例中,提供一種用於判定半導體製造中隨時間推移的程序漂移或離群值晶圓之方法。該方法涉及獲得表徵隨時間推移之一半導體程序之一效能的一關鍵效能指示符(KPI)變異(例如,LCDU),及與一組與該半導體程序相關聯之因數相關聯的資料;使用該KPI之一模型及該資料作為至該模型之輸入,判定一第一組因數對於該KPI變異之貢獻,該第一組因數突破一統計臨限值;移除該第一組因數對於該KPI變異之貢獻以獲得一殘餘KPI變異;及基於該殘餘KPI變異判定突破一殘餘臨限值的一殘餘值,該殘餘值指示該半導體程序中隨時間推移的程序漂移或在某一時間處對應於該殘餘值的一離群值基板。
在一實施例中,基於與半導體製造相關聯的該組因數組態該模型。該模型經應用於資料以判定來自該組因數對於KPI之變異的貢 獻。在一實施例中,變異數分析(ANOVA)、共變數分析(ANCOVA)或其他統計或模型化技術可應用於統計模型以判定該組因數中之每一者對於KPI變異的貢獻。
在一實施例中,該組因數包括類別變數、可量測參數(例如,劑量、CD、焦點等)或其組合。舉例而言,該等因數可包括以下各者中的一或多者:一第一類別變數,其用以表徵複數個微影設備對於KPI變異之貢獻;一第二類別變數,其用以表徵複數個倍縮光罩對於KPI之變異的貢獻;一第三類別變數,其用以表徵複數個度量衡工具對於KPI變異之貢獻;及一第四變數,其包含對於KPI變異有貢獻的一可量測晶圓參數(例如,平均CD)。
在一實施例中,該方法進一步包括偵測殘餘KPI變異中之系統分類(例如,資料圖案);回應於偵測到之系統分類,判定與系統分類相關聯的根本原因;及調整該模型以包括與該根本原因相關聯的因數作為對於KPI變異之貢獻者。在一實施例中,根本原因指示殘餘KPI變異由半導體程序下游的程序之特性,及/或半導體程序上游的程序之特性所引起的。在模型調整之後,偵測到之系統分類貢獻可有利地與後續殘餘KPI監視隔離,此進一步改良殘餘KPI變異之信雜比。
此外,在一實施例中,提供一種非暫時性電腦可讀媒體,其包含在由一或多個處理器執行時造成包含上文所論述之方法之步驟之操作的指令。
21:輻射光束
22:琢面化場鏡面裝置
24:琢面化光瞳鏡面裝置
26:經圖案化光束
28:反射元件
30:反射元件
100:電腦系統
102:匯流排
104:處理器
105:處理器
106:主記憶體
108:唯讀記憶體(ROM)
110:儲存裝置
112:顯示器
114:輸入裝置
116:游標控制件
118:通信介面
120:網路鏈路
122:區域網路
124:主電腦
126:網際網路服務提供者(ISP)
128:網際網路
130:伺服器
210:參數
211:源腔室
212:收集器腔室
220:參數/圍封結構
221:開口
230:參數/污染物截留器
240:參數/光柵光譜濾光器
250:特性
251:上游輻射收集器側
252:下游輻射收集器側
253:掠入射反射器
254:掠入射反射器
255:掠入射反射器
260:特性
270:處理參數
300:方法
301:資料
302:第一組因數
304:殘餘KPI變異
320:殘餘值
510:經量測LCDU
520:模型化LCDU
530:殘餘LCDU
610:所量測LCDU直方圖
611:雜訊
620:殘餘LCDU/殘餘LCDU直方圖
621:離群值
1000:微影投影設備
B:輻射光束
BD:光束遞送系統
C:目標部分
CO:聚光器/收集器光學件/近正入射收集器光學件
IF:位置感測器
IL:照明系統
IN:積光器
KPI:關鍵效能指示符
LA:微影設備
LCDU:局部臨界尺寸均一性
L1:一組基板
L2:第二組基板
M1:光罩對準標記
M2:光罩對準標記
MA:圖案化裝置
MT:支撐結構
O:光軸
P1:基板對準標記
P2:基板對準標記
P301:程序
P303:程序
P305:程序
P307:程序
PM:第一定位器/第一定位裝置
PS:投影系統
PS2:位置感測器
PW:第二定位器/第二定位裝置
R1:倍縮光罩
R2:倍縮光罩
SO:輻射源/源收集器模組
T1:工具
T2:工具
TH1:離群值臨限值
W:基板
WT:基板台
W2:晶圓
W7:晶圓
現在將參考附圖而僅作為實例來描述實施例,在該等附圖中: 圖1示意性地描繪根據實施例之微影設備;圖2展示根據一實施例之處理參數之實例類別;圖3為根據一實施例的用於判定半導體製造中隨時間推移之程序漂移之方法的流程圖;圖4為根據一實施例之針對使用不同工具及倍縮光罩圖案化的不同晶圓之局部臨界尺寸均一性(LCDU)及平均CD的圖;圖5A說明根據一實施例之經量測用於不同晶圓的例示性LCDU;圖5B說明根據一實施例之用於不同晶圓的例示性模型化LCDU;圖5C說明根據一實施例之用於不同晶圓的例示性殘餘LCDU;圖5D說明根據一實施例之用於不同晶圓的另一例示性殘餘LCDU中之圖案;圖6A說明根據一實施例之LCDU的直方圖;圖6B說明根據一實施例之其中相較於圖6A之LCDU的增加之信雜比允許離群值突出的殘餘LCDU之直方圖;圖7為根據一實施例之例示性電腦系統的方塊圖;圖8為根據一實施例的例示性極紫外線(EUV)微影投影設備之圖;圖9為根據一實施例之圖8中之例示性設備的更詳細視圖;且圖10為根據一實施例之圖8及圖9之設備的源收集器模組之 更詳細視圖。
儘管在本文中可特定地參考微影設備在IC製造中之使用,但應理解,本文中所描述之微影設備可具有其他應用,諸如製造整合式光學系統、用於磁疇記憶體之導引及偵測圖案、液晶顯示器(LCD)、薄膜磁頭等。熟習此項技術者應瞭解,在此等替代應用之情況下,本文中對術語「晶圓」或「晶粒」之任何使用可被視為分別與更一般之術語「基板」或「目標部分」同義。可在曝光之前或之後在(例如)塗佈顯影系統(通常將抗蝕劑層施加至基板且顯影經曝光抗蝕劑之工具)或度量衡或檢測工具中處理本文中所提及之基板。在適用情況下,可將本文中之揭示內容應用於此等及其他基板處理工具。另外,可將基板處理多於一次,(例如)以便產生多層IC,以使得本文中所使用之術語基板亦可指已含有多個經處理層之基板。
本文中所使用之術語「輻射」及「光束」涵蓋所有類型之電磁輻射,包括紫外線(UV)輻射(例如,具有為365nm、248nm、193nm、157nm或126nm之波長)及極紫外(EUV)輻射(例如具有在5nm至20nm之範圍內的波長),以及粒子束,諸如離子束或電子束。
本文所使用之術語「圖案化裝置」應被廣泛地解釋為指代可用以在輻射光束之橫截面中向輻射光束賦予圖案以在基板之目標部分中產生圖案的裝置。應注意,經賦予至輻射光束之圖案可能不會精確地對應於基板之目標部分中的所要圖案。通常,被賦予至輻射光束之圖案將對應於目標部分中所創製之裝置(諸如,積體電路)中之特定功能層。
圖案化裝置可為透射的或反射的。圖案化裝置之實例包括 光罩、可程序化鏡面陣列,及可程序化LCD面板。光罩在微影中為吾人所熟知,且包括諸如二元、交變相移及衰減式相移之光罩類型,以及各種混合光罩類型。可程式化鏡面陣列之一實例使用小鏡面之矩陣配置,該等小鏡面中之每一者可個別地傾斜,以便使入射輻射光束在不同方向上反射;以此方式,經反射光束被圖案化。
支撐結構固持圖案化裝置。支撐結構以取決於圖案化裝置之定向、微影設備之設計及其他條件(諸如,圖案化裝置是否被固持於真空環境中)的方式來固持圖案化裝置。支撐可使用機械夾持、真空或其他夾持技術,例如,在真空條件下之靜電夾持。支撐結構可為(例如)框架或台,其可根據需要而固定或可移動,且其可確保圖案化裝置(例如)相對於投影系統處於所要位置。可認為本文中對術語「倍縮光罩」或「光罩」之任何使用與更一般術語「圖案化裝置」同義。
本文中所使用之術語「投影系統」應被廣泛地解譯為涵蓋各種類型之投影系統,包括折射光學系統、反射光學系統及反射折射光學系統,只要其適合於(例如)所使用之曝光輻射或諸如浸沒流體之使用或真空之使用的其他因數。可認為本文中對術語「投影透鏡」之任何使用均與更一般之術語「投影系統」同義。
照明系統亦可涵蓋各種類型之光學組件,包括用於引導、成形或控制輻射光束的折射、反射及反射折射光學組件,且此等組件以下亦可共同或單個稱作「透鏡」。
圖1示意性地描繪根據一實施例之微影設備。該設備包含: 一照明系統(照明器)IL,其用以調節輻射光束PB(例如UV輻射或DUV輻射)。
支撐結構MT,其用以支撐圖案化裝置(例如,光罩)MA,且連接至用以相對於物品PL準確地定位該圖案化裝置之第一定位裝置PM;基板台(例如,晶圓台)WT,其用於固持基板(例如,抗蝕劑塗佈晶圓)W,且連接至用於相對於物品PL來準確地定位該基板之第二定位裝置PW;及投影系統(例如,折射投影透鏡)PL,其經組態以將由圖案化裝置MA賦予至輻射光束PB之圖案成像至基板W之目標部分C(例如,包含一或多個晶粒)上。
如此處所描繪,設備屬於透射類型(例如,採用透射光罩)。替代地,設備可屬於反射類型(例如,採用如上文所提及之類型的可程式化鏡面陣列)。
照明器IL自輻射源SO接收輻射光束。舉例而言,當源為準分子雷射時,源及微影設備可為分離實體。在此等狀況下,不認為源形成微影設備之部件,且輻射光束係憑藉包含(例如)合適導向鏡面及/或光束擴展器之光束遞送系統BD而自源SO傳遞至照明器IL。在其他狀況下,例如,當源為汞燈時,源可為設備之整體部分。源SO及照明器IL連同光束遞送系統BD在需要時可被稱作輻射系統。
照明器IL可更改光束之強度分佈。照明器可經配置以限制輻射光束之徑向範圍,使得在照明器IL之光瞳平面中之環形區內的強度分佈為非零。另外或替代地,照明器IL可操作以限制光束在光瞳平面中之分佈使得在光瞳平面中之複數個同等間隔之區段中的強度分佈為非零。輻射光束在照明器IL之光瞳平面中之強度分佈可被稱作照明模式。
照明器IL可包含經組態以調整光束之強度分佈之調整器AM。通常,可調整照射器之光瞳平面中之強度分佈之至少外部徑向範圍及/或內部徑向範圍(通常分別稱作σ外部及σ內部)。照明器IL可操作以使光束之角分佈變化。舉例而言,照明器可操作以變更強度分佈為非零的光瞳平面中之區段之數目及角範圍。藉由調整光束在照明器之光瞳平面中之強度分佈,可達成不同照明模式。舉例而言,藉由限制照明器IL之光瞳平面中之強度分佈之徑向範圍及角範圍,強度分佈可具有多極分佈,諸如偶極、四極或六極分佈。可(例如)藉由將提供所要照明模式之光學件插入至照明器IL中或使用空間光調變器來獲得彼照明模式。
照明器IL可操作以變更光束之偏振且可操作以使用調整器AM來調整偏振。橫越照明器IL之光瞳平面之輻射光束的偏振狀態可被稱作偏振模式。使用不同偏振模式可允許在形成於基板W上之影像中達成較大對比度。輻射光束可為非偏振的。替代地,照明器可經配置以使輻射光束線性地偏振。輻射光束之偏振方向可橫越照明器IL之光瞳平面而變化。輻射之偏振方向在照明器IL之光瞳平面中之不同區中可不同。可取決於照明模式來選擇輻射之偏振狀態。針對多極照明模式,輻射光束之每一極之偏振可大體上垂直於照明器IL的光瞳平面中之彼極的位置向量。舉例而言,對於偶極照明模式,輻射可在實質上垂直於平分偶極之兩個對置區段之線的方向上線性地偏振。輻射光束可在可被稱作X偏振狀態及Y偏振狀態之兩個不同正交方向中之一者上偏振。對於四極照明模式,每一極之區段中之輻射可在實質上垂直於平分彼區段之線之方向上線性地偏振。此偏振模式可稱為XY偏振。類似地,對於六極照明模式,每一極之區段中之輻射可在實質上垂直於平分彼區段之線之方向上線性地偏振。此偏振模式 可稱為TE偏振。
另外,照明器IL通常包含各種其他組件,諸如積光器IN及聚光器CO。照明器提供在橫截面中具有所要均一性及強度分佈的經調節輻射光束PB。
輻射光束PB入射於被固持於支撐結構MT上之圖案化裝置(例如,光罩)MA上。在已橫穿圖案化裝置MA的情況下,光束PB傳遞通過透鏡PL,該透鏡PL將光束B聚焦至基板W之目標部分C上。藉助於第二定位裝置PW及位置感測器IF(例如,干涉量測裝置),可準確地移動基板台WT,例如以便將不同目標部分C定位在光束PB之路徑中。類似地,第一定位裝置PM及另一位置感測器(其在圖1中並不明確地描繪)可用於例如在自光罩庫之機械擷取之後或在掃描期間相對於光束PB之路徑準確地定位圖案化裝置MA。一般而言,將憑藉形成定位裝置PM及PW之部分的長衝程模組(粗略定位)及短衝程模組(精細定位)來實現物件台MT及WT之移動。然而,在步進器(相對於掃描器)之狀況下,支撐結構MT可僅連接至短衝程致動器,或可固定。可使用圖案化裝置對準標記M1、M2及基板對準標記P1、P2來對準圖案化裝置MA及基板W。
投影系統PL具有可非均一之光學轉移函數,其可影響成像於基板W上之圖案。對於非偏振輻射,此等效應可由兩個純量映像相當良好地描述,該兩個純量映像描述依據射出投影系統PL之輻射光瞳平面中之位置而變化的該輻射之透射(變跡)及相對相位(像差)。可將可被稱作透射率映像射及相對相位映像之此等純量映像表達為基底函數之完整集合之線性組合。一特別適宜的集合為任尼克(Zernike)多項式,其形成單位圓上所定義之正交多項式集合。每一純量映像之判定可涉及判定此展開式中之 係數。因為任尼克多項式在單位圓上正交,所以可藉由依次演算經量測純量映像與每一任尼克多項式之內積且將此內積除以彼任尼克多項式之範數之平方來判定任尼克係數。
透射映像及相對相位映像係場及系統相依的。亦即,一般而言,每一投影系統PL將針對每一場點(亦即,針對投影系統PL之影像平面中之每一空間位置)具有一不同任尼克展開式。可藉由將輻射(例如)自投影系統PL之物件平面(亦即,圖案化裝置MA之平面)中之類點源投影通過投影系統PL且使用剪切干涉計以量測波前(亦即,具有相同相位之點之軌跡)來判定投影系統PL在其光瞳平面中之相對相位。剪切干涉計為共同路徑干涉計且因此,有利的是,無需次級參考光束來量測波前。剪切干涉計可包含:繞射光柵,例如,投影系統之影像平面(亦即,基板台WT)中之二維柵格;及偵測器,其經配置以偵測與投影系統PL之光瞳平面共軛的平面中之干涉圖案。干涉圖案係與輻射之相位相對於在剪切方向上之光瞳平面中之座標的之導數有相關。偵測器可包含感測元件陣列,諸如,電荷耦合裝置(CCD)。
可在兩個垂直方向上依序地掃描繞射光柵,該兩個垂直方向可與投影系統PL之座標系之軸線(x及y)重合或可與此等軸線成諸如45度之角度。可遍及整數個光柵週期(例如,一個光柵週期)執行掃描。掃描使在一個方向上之相位變異達到平均數,從而允許重建在另一方向上之相位變異。此情形允許判定依據兩個方向而判定變化的波前。
目前先進技術之微影設備LA之投影系統PL可能不產生可見條紋,且因此,可使用相位步進技術(諸如,移動繞射光柵)來增強波前之判定之準確度。可在繞射光柵之平面中且及在垂直於量測之掃描方向的方 向上執行步進。步進範圍可為一個光柵週期,且可使用至少三個(均一地分佈)相位步進。因此,舉例而言,可在y方向上執行三個掃描量測,每一掃描量測係針對在x方向上之不同位置而執行。繞射光柵之此步進將相位變異有效地變換成強度變化,從而允許判定相位資訊。光柵可在垂直於繞射光柵之方向(z方向)上步進以校準偵測器。
可藉由將輻射(例如)自投影系統PL之物件平面(亦即,圖案化裝置MA之平面)中之類點源投影通過投影系統PL且使用偵測器來量測與投影系統PL之光瞳平面共軛的平面中之輻射強度來判定投影系統PL在其光瞳平面中之透射(變跡)。可使用與用以量測波前以判定像差的偵測器同一個偵測器。投影系統PL可包含複數個光學(例如,透鏡)元件,且可進一步包含調整機構PA,該調整機構PA經組態以調整光學元件中之一或多者以便校正像差(橫越遍及場之光瞳平面之相位變異)。為了達成此調整,調整機制PA可操作而以一或多種不同方式操控投影系統PL內之一或多個光學(例如,透鏡)元件。投影系統可具有其光軸在z方向上延伸的座標系。調整機制PA可操作以進行以下各項之任何組合:使一或多個光學元件位移;使一或多個光學元件傾斜;及/或使一或多個光學元件變形。光學元件之位移可在任何方向(x、y、z或其組合)上進行。光學元件之傾斜通常在垂直於光軸之平面外藉由圍繞在x或y方向上之軸線旋轉而進行,但對於非可旋轉對稱之非球面光學元件可使用圍繞z軸之旋轉。光學元件之變形可包括低頻形狀(例如,散光)及高頻形狀(例如,自由形式非球面)兩者。可例如藉由使用一或多個致動器以對光學元件之一或多個側施加力及/或藉由使用一或多個加熱元件以加熱光學元件之一或多個選定區來執行光學元件之變形。一般而言,可沒有可能調整投影系統PL以校正變跡(橫 越光瞳平面之透射變異)。可在設計用於微影設備LA之圖案化裝置(例如,光罩)MA時使用投影系統PL之透射映像。在使用計算微影技術的情況下,圖案化裝置MA可經設計以至少部分地校正變跡。
微影程序之參數可被稱為「處理參數」。微影程序可包括實際曝光上游及下游之程序。圖2展示處理參數270之實例類別。第一類別可為微影設備或用於微影程序中之任何其他設備之參數210。此類別之實例包括微影設備之源、投影光學件、基板載物台等等之參數。第二類別可為在微影程序中執行之任何工序之參數220。此類別之實例包括焦點、劑量、頻寬、曝光持續時間、顯影溫度、用於顯影中之化學組合物等等。第三類別可為設計佈局之參數230。此類別之實例可包括輔助特徵之形狀及部位,以及藉由解析度增強技術(RET)應用之調整。第四類別可為基板之參數240。實例包括抗蝕劑層下之結構的特性、抗蝕劑層之化學組合物及實體尺寸。第五類別可為微影程序之一些參數的暫時變異之特性250。舉例而言,處理參數可包括高頻載物台移動(例如,頻率、振幅,等等)、高頻雷射頻寬改變(例如,頻率、振幅,等等)及高頻雷射波長改變之特性。此等高頻變化或移動為用以調整基礎參數(例如,載物台位置及雷射強度)之大於機制之回應時間的高頻變化或移動。第六類別可為在曝光下游的程序(諸如PEB、顯影、蝕刻、沈積、摻雜及封裝)之特性260。
可藉由合適方法判定處理參數中之一些或全部之值。舉例而言,可根據藉由各種基板度量衡工具獲得之資料判定該等值。該等值可自微影設備上之各種感測器獲得。該等值亦可來自微影程序之操作者。
現有技術基於一或多個KPI監視半導體製造程序。舉例而言,藉由監視KPI變異,程序漂移或偏移可經偵測及標記以觸發程序效能 隨時間推移降級的根本原因分析。作為實例,KPI係藉由使用給定程序以規則間隔曝光晶圓、量測此等晶圓、計算KPI變異及分析隨時間推移之KPI變異而監視。舉例而言,在大批量製造設定中,半導體製造程序可採用多個微影工具及倍縮光罩,且可存在其他可量測程序雜訊,諸如平均CD或劑量,其對KPI變異具有影響。為考慮歸因於工具及倍縮光罩之差異而引起的KPI變異,不同工具及倍縮光罩可以單獨規格限制而單獨地監視。一旦偵測到規格限制之違反,校正動作可經應用(例如,經由先進程序控制)以使得KPI在所要限制內。作為實例,校正動作可經應用以控制經印刷晶圓CD儘可能接近於目標CD。然而,在基於KPI之監視及程序控制中,某些晶圓或程序漂移可因滿足KPI規格而得以遮蔽。
本發明提供用以捕捉可因滿足KPI相關規格而被遮蔽之程序漂移或離群值基板的機制。在一實施例中,一旦偵測到程序漂移,程序漂移之相關來源可經更準確地判定。所呈現機制分解並濾除來自各種因數(諸如工具及倍縮光罩)對於KPI變異的貢獻及監視殘餘KPI變異。移除來自各種因數之貢獻改良隨時間推移之與半導體程序相關的殘餘變異的信雜比。改良之信雜比又有助於識別程序之時間漂移,或離群值基板。使用局部CD均一性(LCDU)之KPI解釋本文中之機制,該KPI可受類別自變數(諸如倍縮光罩、工具及連續自變數平均CD)影響。然而,本發明不限於LCDU,相同機制可使用其他KPI(諸如CD、曝光寬容度(EL)或聚焦深度(DOF))及自變數之其他組合而應用。
圖3為根據本發明之實施例的用於判定半導體製造中隨時間推移之程序漂移的例示性方法之流程圖。該方法涉及判定一組所選擇變數或因數(例如,工具、倍縮光罩等)對於KPI變異的貢獻。在一些實施例 中,此等因數為KPI變異之可量測及可定量原因。因而,當此等貢獻經移除時,與初始KPI相比,剩餘KPI變異可為關注的程序漂移或偏移之較佳指示符。下文關於程序P301、P303、P305及P307論述方法300之實例實施。方法300可經實施為一或多個處理器(例如,圖7中之104)上之指令。
程序P301涉及獲得表徵隨時間推移之一半導體程序之一效能的一關鍵效能指示符(KPI)變異,及與一組與該半導體程序相關聯之因數相關聯的資料301。在一實施例中,半導體製造程序可包括藉由一或多個微影設備執行的圖案化程序、度量衡程序、預圖案化程序(例如,CMP),及後圖案化程序(例如,蝕刻),或其他半導體製造相關程序。
在一實施例中,KPI可為以下中之至少一者:與經由圖案化程序成像於基板上之圖案相關聯的LCDU;與經由圖案化程序成像於基板上之圖案相關聯的邊緣置放誤差;與經由圖案化程序成像於基板上之圖案相關聯的疊對;或與處理監視或特性相關的任何其他合適之KPI。在一實施例中,可在一段時間內獲得KPI資料,其中複數個微影設備、預/後圖案化程序設備、複數個倍縮光罩、複數個度量衡工具及/或一或多個可量測參數可在半導體製造程序期間採用。
在一實施例中,對KPI變異有貢獻的該組因數可為類別變數、可量測參數(例如,參見圖2)或其組合。舉例而言,該組因數可為以下中之至少一者::一第一類別變數,其用以表徵複數個微影設備對於KPI變異之貢獻;一第二類別變數,其用以表徵複數個倍縮光罩對於KPI之變異的貢獻;一第三類別變數,其用以表徵複數個度量衡工具對於KPI變異之貢獻;及一第四變數,其包含對於KPI變異有貢獻的一可量測基板參數。在一實施例中,可量測參數包含以下中之至少一者:印刷於基板或 基板之一部分上的圖案之平均臨界尺寸(CD);微影設備之劑量;及微影設備之焦點。額外實例經說明於圖2中。
返回參看圖3,程序P303涉及使用KPI之模型及資料301作為至該模型之輸入以判定一第一組因數302對於KPI變異的貢獻。在一實施例中,第一組因數302係指具有突破統計臨限值之統計值的因數。舉例而言,與第一組因數302相關聯的值可被視為在統計學上顯著(例如,大於0.9之p值)。在一實施例中,其貢獻可在統計學上不顯著的因數可不自模型移除。換言之,來自統計上不顯著之因數的貢獻可包括為模型中之殘餘的部分。
在一實施例中,模型可為經組態以將KPI變異分解成該組因數及殘餘項之函數的統計模型。在一實施例中,模型可為經組態以將KPI變異分解成該組因數及殘餘項之函數的線性或回歸模型。在一實施例中,經組態以接收與該組因數相關之資料301作為輸入並產生殘餘KPI變異304作為輸出的機器學習模型。經組態以分解KPI變異的其他模型亦可在本發明之範圍內。
在一實施例中,第一組因數302之判定涉及基於與半導體製造(例如,圖案化程序)相關聯之該組因數組態該模型。該模型應用於與該組因數相關聯的資料301以判定來自該組因數對於KPI變異之貢獻。舉例而言,第一組因數302之判定可涉及將變異數分析(ANOVA)或共變數分析(ANCOVA)技術應用於統計模型以判定該組因數中之每一者對於KPI變異的貢獻。本發明不限於用於判定貢獻的特定技術。亦可應用其他技術。
作為實例,可考慮KPI變異(例如,LCDU變異)之三個來源的貢獻及統計顯著性。可使用諸如下述的KPI(例如,LCDU)之統計模型 對資料集執行ANOVA或ANCOVA:LCDU=μ+α i j +γ * CD ijk ijk
在上述LCDU模型中,i係指倍縮光罩且j係指工具,α i 及β j 分別指示來自類別變數倍縮光罩及工具之貢獻。項CD係指第k個基板之CD資料,其係使用倍縮光罩i及工具j來處理。項ε ijk 係指殘餘KPI變異。在一實施例中,在考慮連續共變數均值CD之後,ANCOVA將產生p值以基於倍縮光罩及工具指示在LCDU之間是否存在在統計學上顯著差異。
圖4說明對於正被監視的一組基板之LCDU相對於平均CD的例示性散佈圖。每一點表示單一基板之經量測CD及LCDU,且資料點之形狀及圖案指示用於處理特定基板的工具及倍縮光罩。舉例而言,工具可為T1或T2,且倍縮光罩可為R1或R2。圖展示可藉由平均CD解釋的所量測LCDU中之大量變異。使用上述LCDU模型之ANCOVA指示LCDU變異中之許多可藉由三個變數(工具、倍縮光罩及平均CD)考慮。
返回參看圖3,程序P305涉及移除來自該第一組因數302對於KPI變異的貢獻以獲得殘餘KPI變異304。舉例而言,參考上文LCDU等式,在工具、倍縮光罩及平均CD之貢獻已經量化(例如,藉由應用ANOCVA)之後,其貢獻可自與該組因數相關聯的資料集移除。舉例而言,自資料集移除係藉由自經量測KPI值減去經模型化KPI值或藉由任何其他數學或計算技術或操作而達成。殘餘(ε ijk )KPI變異為可不藉由工具間差、倍縮光罩間差及/或平均CD考慮的剩餘變異。換言之,LCDU變異已經分解成(i)可藉由工具、倍縮光罩及平均CD考慮的分量,及(ii)表示由一或多個程序及由隨時間推移之程序漂移所引起之變異的殘餘分量。若來自因數之貢獻為統計學上不顯著,則此類因數及其貢獻可不自資料集移除且作為殘餘KPI變異304之部分而包括。
程序P307涉及基於殘餘KPI變異304判定突破殘餘臨限值之殘餘值320。殘餘值320指示半導體程序中隨時間推移的程序漂移或在某一時間處對應於該殘餘值320之一離群值基板。舉例而言,殘餘KPI變異304替代初始KPI可隨時間推移傾向於識別任何離群值。離群值指示在某一時間處之殘餘KPI變異304已增加超過一臨限值,因此對應於彼時間或離群值殘餘值320之基板應經進一步分析以處理問題以使得可對程序進行適當控制調整。作為另一實例,離群值殘餘值320可指示殘餘值320歸因於在一段時間內引起的一些程序漂移而增加。因此,當漂移相對於先前殘餘值開始時,引起漂移之程序參數或事件可經監視且可採取適當動作。舉例而言,倍縮光罩可經清潔,光罩之冷卻系統可經啟動,或圖案化程序之設定中的其他變化可經監視,此係因為其可為殘餘KPI變異中之漂移或特定圖案的原因。引起殘餘中之圖案的其他實例可為可經替換的一抗蝕劑批量,改變至諸如清潔或蝕刻的上游或後續程序。
圖5A至圖5C說明隨時間推移之經量測LCDU 510、模型化LCDU 520及殘餘LCDU 530的例示性趨勢圖表。模型化LCDU 520可為根據ANCOVA的對於給定工具、倍縮光罩及平均CD之經估計LCDU。當來自工具、倍縮光罩及平均CD之貢獻被移除時,殘餘LCDU 530可為剩餘變異。趨勢圖中之每一點對應於基板(例如,W2及W7)。如可見,基於經指派用於LCDU 510、模型化LCDU 520及殘餘LCDU 530之臨限限制,相較於所量測LCDU 510趨勢圖,不同的基板可經標記為殘餘LCDU 530中之離群值。然而,如先前所提及,由於變異之某些可量測原因(例如,與所關注之程序漂移無關)已經移除,因此殘餘可為所關注之程序漂移或偏移的較佳指示符。舉例而言,在LCDU 510中,晶圓W2及W7不超出經指派 給LCDU趨勢圖之離群值臨限值TH1。然而,殘餘LCDU 530指示晶圓W2及W7為離群值,此係因為對應於此等晶圓W2及W7之殘餘值超出殘餘臨限值Rth1。因此,晶圓W2及W7因沒有問題而被遮蔽,但殘餘LCDU另外指示。
在一實施例中,該方法300可進一步包括偵測殘餘KPI變異304中之系統分類(例如,資料圖案);回應於偵測到之系統分類,判定與系統分類相關聯的根本原因;及基於該根本原因調整該模型。舉例而言,在殘餘KPI變異304中,資料圖案可隨時間推移而演變。與此資料圖案相關聯的根本原因可被視為一因數,其可經應用於該模型。舉例而言,一旦清潔倍縮光罩,殘餘KPI變異304可包括自第一範圍中之值至第二範圍中之值的位準變化(例如,參見圖5D)。舉例而言,在圖5D中,與一組基板L1相關聯之殘餘KPI變異係在第一位準處,且與第二組基板L2相關聯之殘餘KPI變異係在第二位準處。此類位準變化可歸因於倍縮光罩清潔出現而引起。因而,倍縮光罩清潔可作為模型中之類別變數而包括以量化倍縮光罩清潔之效應並自KPI變異潛在地移除倍縮光罩清潔的貢獻。作為實例,其他原因可為倍縮光罩或薄膜降級或替換。
在一實施例中,根本原因分析指示使用殘餘KPI變異304偵測到之離群值或程序漂移係由半導體製造程序下游的程序之特性所引起的。舉例而言,基板之曝光的下游。在一實施例中,根本原因分析指示殘餘KPI變異304係由半導體製造程序上游的程序之特性所引起的。舉例而言,基板之曝光的上游。在一實施例中,系統分類之偵測涉及識別殘餘KPI變異304之位準在一段時間內的移位。在一實施例中,系統分類之偵測涉及執行經組態以識別殘餘KPI變異304中之系統分類(例如,資料圖案) 的統計模型。
在一實施例中,該方法300可進一步涉及以規則間隔或連續地捕捉與該組與半導體製造程序相關聯的因數相關之新的資料301;及基於所捕捉資料301更新殘餘KPI變異304。在一實施例中,模型可基於所捕捉資料及偵測到之系統分類而更新。
在一實施例中,殘餘KPI變異304包含比所量測KPI中之信雜比高的信雜比。舉例而言,圖6說明所量測LCDU之直方圖610及殘餘LCDU 620。直方圖清楚地展示殘餘LCDU直方圖620中之離群值621,然而,此等隱藏於LCDU直方圖610之雜訊611內。此等直方圖說明殘餘LCDU 620改良隨時間推移的程序變異之信號/雜訊。
可理解本文所論述之機制不限於對於KPI變異有貢獻的特定KPI或一組因數。在一些實施例中,諸如LCDU之KPI可經量測用於單一劑量及焦點條件。對於此KPI,印刷於基板上的圖案之平均CD可用作連續共變數以考慮歸因於曝光劑量或其他因數中之雜訊居中的基板中之變異。在一些實施例中,其他KPI(諸如曝光寬容度及聚焦深度)可基於橫越用於印刷基板之焦點-曝光矩陣(FEM)自複數個劑量及焦點條件收集的資料而計算。對於此等「基於基板之」KPI,可不需要控制居中的基板中之變異。因而,僅僅用於曝光工具及倍縮光罩之類別變數可用於模型中。因此,如本文所論述,當執行ANOVA時來自倍縮光罩及曝光工具之貢獻可經判定且稍後經移除以計算殘餘KPI變異。
在一些實施例中,KPI可為基於程序條件之度量、基於基板之度量,或其他半導體相關度量。在一實施例中,基於條件之KPI可在用於基板之單焦點及劑量條件(例如,標稱條件)下判定。舉例而言,LCDU 可經判定為在標稱條件下每影像或視場(FOV)之CD量測之3西格馬的平均值。作為另一實例,CDU可經判定為在標稱條件下橫越全部影像/FOV之CD量測之3西格馬。作為又一個實例,模型化失效率可藉由在標稱條件下程序窗度量衡而模型化。在此等KPI之模型中,類別變數可對應於複數個微影工具、倍縮光罩,或用於半導體製造中之其他工具。在一實施例中,一些KPI可包括諸如用於倍縮光罩之共同類別變數,而在一些其他KPI中,類別變數可係不同的。類別變數之選擇可取決於特定類別變數貢獻於的KPI變異之量。在一實施例中,類別變數可基於啟發式體驗而選擇。
在一實施例中,基於基板之KPI可基於在基板上量測的全部焦點劑量條件資料而判定。舉例而言,一第一KPI(被稱作CDPW_EL)可為自擬合於CD程序窗內部的橢圓之最大區域判定的曝光寬容度。橢圓可受限於固定聚焦深度。對於第一KPI,類別變數可對應於倍縮光罩,而非微影工具。第二KPI(表示為CDPW_DoF)可為自擬合於CD程序窗內部的橢圓之最大區域判定的聚焦深度。橢圓可受限於固定曝光寬容度。對於第二KPI,類別變數可對應於倍縮光罩,而非微影工具。第三KPI可為擬合於CD程序窗內部的橢圓之最大區域。對於第一KPI,類別變數可對應於倍縮光罩及微影工具。第四KPI(表示為FRPW EL)可為自擬合於失效率程序窗內部的橢圓之最大區域判定的曝光寬容度。橢圓可受限於固定聚焦深度。第五KPI(表示為FRPW DoF)可為自擬合於失效率程序窗內部的橢圓之最大區域判定的聚焦深度。橢圓可受限於固定曝光寬容度。第六KPI(表示為FRPW最大橢圓區域)可為擬合於失效程序窗內部的橢圓之最大區域。第七KPI可為自擬合於重疊CD及失效率程序窗內部的橢圓之最大區域判定的曝光寬容度。橢圓可受限於固定聚焦深度。第八KPI可為自擬合 於重疊CD及失效率程序窗內部的橢圓之最大區域判定的聚焦深度。橢圓可受限於固定曝光寬容度。第九KPI可為擬合於重疊CD及失效程序窗內部的橢圓之最大區域。第十KPI可為獲得目標CD所需要的劑量,且可自為劑量之函數的CD模型計算。第十一KPI可為在最佳能量或最佳焦點處之劑量敏感度。劑量敏感度可經計算為CD隨劑量變化的變化,自CD模型在最佳能量/最佳焦點處計算。第十二KPI可為橫越如藉由程序窗度量衡方法模型化的全部焦點及劑量條件之最小失效率。在KPI之上述清單中,用於每一者的類別變數未經指定,但將藉由一般熟習此項技術者瞭解。各別類別變數可適當基於來自特定微影工具、倍縮光罩、度量衡工具等的可能貢獻。可理解可使用前述KPI中之一或多者且可如本文所論述判定對應殘餘KPI變異。
圖7為說明根據本發明之一實施例的經組態以輔助實施本文中所揭示之方法及流程的例示性電腦系統100之方塊圖。電腦系統100包括用於傳達資訊之匯流排102或其他通信機構,及與匯流排102耦接以用於處理資訊之處理器104(或多個處理器104及105)。電腦系統100亦包括主記憶體106,諸如隨機存取記憶體(RAM)或其他動態儲存裝置,其耦接至匯流排102以用於儲存待由處理器104執行之資訊及指令。主記憶體106在執行待由處理器104執行之指令期間亦可用於儲存暫時變數或其他中間資訊。電腦系統100進一步包括耦接至匯流排102以用於儲存用於處理器104之靜態資訊及指令之唯讀記憶體(ROM)108或其他靜態儲存裝置。提供儲存裝置110(諸如磁碟或光碟)且將其耦接至匯流排102以用於儲存資訊及指令。
電腦系統100可經由匯流排102耦接至用於向電腦使用者顯 示資訊之顯示器112,諸如,陰極射線管(CRT)或平板顯示器或觸控面板顯示器。包括文數字鍵和其他鍵的輸入裝置114耦接至匯流排102,以用於將資訊及命令選擇傳達至處理器104。另一類型之使用者輸入裝置為游標控制件116,諸如滑鼠、軌跡球或游標方向鍵,以用於將方向資訊及命令選擇傳達至處理器104且用於控制顯示器112上之游標移動。此輸入裝置通常具有在兩個軸線(第一軸(例如x)及第二軸(例如y))中的兩個自由度,此允許裝置在平面中指定位置。觸控面板(螢幕)顯示器亦可被用作輸入裝置。
根據一個實施例,程序之部分可回應於處理器104執行主記憶體106中含有之一或多個指令的一或多個序列而由電腦系統100執行。可自諸如儲存裝置110之另一電腦可讀媒體將此等指令讀取至主記憶體106中。主記憶體106中含有之指令序列的執行使得處理器104執行本文中所描述之程序步驟。亦可採用多處理配置中之一或多個處理器,以執行含於主記憶體106中的指令序列。在一替代實施例中,可代替或結合軟體指令來使用硬佈線電路系統。因此,本文中之描述不限於硬體電路系統及軟體之任何特定組合。
如本文中所使用之術語「電腦可讀媒體」係指參與將指令提供至處理器104以供執行之任何媒體。此媒體可採取許多形式,包括(但不限於)非揮發性媒體、揮發性媒體及傳輸媒體。非揮發性媒體包括例如光碟或磁碟,諸如儲存裝置110。揮發性媒體包括動態記憶體,諸如主記憶體106。傳輸媒體包括同軸電纜、銅線及光纖,包括包含匯流排102的線。傳輸媒體亦可呈聲波或光波之形式,諸如在射頻(RF)及紅外(IR)資料通信期間所產生之聲波或光波。電腦可讀媒體之常見形式包括(例如)軟磁 碟、軟性磁碟、硬碟、磁帶、任何其他磁媒體、CD-ROM、DVD、任何其他光學媒體、打孔卡、紙帶、具有孔圖案之任何其他實體媒體、RAM、PROM及EPROM、FLASH-EPROM、任何其他記憶體晶片或卡匣、如下文所描述之載波,或可供電腦讀取之任何其他媒體。
可在將一或多個指令之一或多個序列攜載至處理器104以供執行時涉及電腦可讀媒體之各種形式。舉例而言,初始地可將該等指令承載於遠端電腦之磁碟上。遠端電腦可將指令載入至其動態記憶體內,且使用數據機經由電話線而發送指令。在電腦系統100本端之數據機可接收電話線上之資料,且使用紅外線傳輸器將資料轉換成紅外線信號。耦接至匯流排102之紅外線偵測器可接收紅外線信號中所攜載之資料且將資料置放於匯流排102上。匯流排102將資料攜載至主記憶體106,處理器104自該主記憶體106擷取及執行指令。由主記憶體106接收之指令可視情況在由處理器104執行之前或之後儲存於儲存裝置110上。
電腦系統100亦合乎需要地包括耦接至匯流排102之通信介面118。通信介面118提供對網路鏈路120之雙向資料通信耦接,網路鏈路120連接至區域網路122。舉例而言,通信介面118可為整合式服務數位網路(ISDN)卡或數據機以提供對對應類型之電話線之資料通信連接。作為另一實例,通信介面118可為區域網路(LAN)卡以提供對相容LAN之資料通信連接。亦可實施無線鏈路。在任何此實施中,通信介面118發送且接收攜載表示各種類型之資訊之數位資料流的電信號、電磁信號或光學信號。
網路鏈路120通常經由一或多個網路而將資料通信提供至其他資料裝置。舉例而言,網路鏈路120可經由區域網路122提供至主電腦 124之連接或由網際網路服務提供者(ISP)126操作之資料裝備的連接。ISP 126又經由全球封包資料通信網路(現在通常被稱作「網際網路」128)來提供資料通信服務。區域網路122及網際網路128兩者使用攜載數位資料流的電信號、電磁信號或光學信號。經由各種網路之信號及在網路鏈路120上且經由通信介面118之信號(該等信號將數位資料攜載至電腦系統100及自電腦系統100攜載數位資料)為輸送資訊的載波之實例形式。
電腦系統100可經由網路、網路鏈路120及通信介面118發送訊息及接收資料,包括程式碼。在網際網路實例中,伺服器130可經由網際網路128、ISP 126、區域網路122及通信介面118傳輸用於應用程式之所請求程式碼。舉例而言,一個此類經下載應用程式可提供實施例之照明最佳化。所接收程式碼可在其被接收時由處理器104執行,及/或儲存於儲存裝置110或其他非揮發性儲存器中以供稍後執行。以此方式,電腦系統100可獲得呈載波形式之應用程式碼。
圖8描繪根據本發明之一實施例的另一例示性微影投影設備1000。設備1000包括:
- 源收集器模組SO,其用以提供輻射;
- 照明系統(照明器)IL,其經組態以調節來自源收集器模組SO之輻射光束B(例如,EUV輻射)。
- 支撐結構(例如,光罩台)MT,其經建構以支撐圖案化裝置(例如,光罩或倍縮光罩)MA且連接至經組態以準確地定位圖案化裝置之第一定位器PM;
- 基板台(例如晶圓台)WT,其經建構以固持基板(例如抗蝕劑塗佈晶圓)W並連接至經組態以準確地定位基板的第二定位器PW;及
- 投影系統(例如,反射投影系統)PS,其經組態以將藉由圖案化裝置MA賦予給輻射光束B之圖案投影至基板W的目標部分C(例如,包含一或多個晶粒)上。
如此處所描繪,設備1000屬於反射類型(例如採用反射光罩)。應注意,由於大多數材料在EUV波長範圍內具吸收性,因此圖案化裝置可具有包含例如鉬與矽之多層堆疊的多層反射器。在一個實例中,多堆疊反射器具有鉬與矽之40個層對,其中每一層之厚度為四分之一波長。可運用X射線微影來產生甚至更小的波長。因為大多數材料在EUV及x射線波長下具吸收性,所以圖案化裝置構形(topography)上之經圖案化吸收材料薄片段(例如,多層反射器之頂部上之TaN吸收器)界定特徵將印刷(正型抗蝕劑)或不印刷(負型抗蝕劑)之處。
參看圖8,照明器IL自源收集器模組SO接收極紫外線輻射光束。用以產生EUV輻射之方法包括但未必限於用在EUV範圍內之一或多種發射譜線將具有至少一元素(例如,氙、鋰或錫)之材料轉換成電漿狀態。在一種此類方法(常常被稱為雷射產生電漿(「LPP」))中,可藉由用雷射光束來輻照燃料(諸如,具有譜線發射元素之材料小滴、串流或叢集)而產生電漿。源收集器模組SO可為包括雷射(圖8中未示)之EUV輻射系統之部件,該雷射用於提供激發燃料之雷射光束。所得電漿發射輸出輻射(例如EUV輻射),該輸出輻射係使用安置於源收集器模組中之輻射收集器予以收集。舉例而言,當使用CO2雷射用以提供燃料激發之雷射光束時,雷射及源收集器模組可為分離實體。
在此等情況下,雷射不被視為形成微影設備之部件,且輻射光束係憑藉包含(例如)適合導向鏡面及/或光束擴展器之光束遞送系統而 自雷射傳遞至源收集器模組。在其他情況下,例如,當輻射源為放電產生電漿EUV產生器(常常被稱為DPP輻射源)時,輻射源可為源收集器模組之整體部分。
照明器IL可包含用於調整輻射光束之角強度分佈的調整器。通常,可調整照射器之光瞳平面中之強度分佈之至少外部徑向範圍及/或內部徑向範圍(通常分別稱作σ外部及σ內部)。另外,照明器IL可包含各種其他組件,諸如琢面化場鏡面裝置及琢面化光瞳鏡面裝置。照明器可用以調節輻射光束,以在其橫截面中具有所要均一性及強度分佈。
輻射光束B入射於固持在支撐結構(例如,光罩台)MT上之圖案化裝置(例如,光罩)MA上,且係由圖案化裝置而圖案化。在自圖案化裝置(例如光罩)MA反射後,輻射光束B傳遞通過投影系統PS,投影系統PS將光束聚焦在基板W之目標部分C上。藉助於第二定位器PW及位置感測器PS2(例如干涉量測裝置、線性編碼器或電容式感測器),基板台WT可準確地移動,例如,以便在輻射光束B之路徑中定位不同的目標部分C。類似地,第一定位器PM及另一位置感測器PS1可用以相對於輻射光束B之路徑來準確地定位圖案化裝置(例如,光罩)MA。可使用圖案化裝置對準標記M1、M2及基板對準標記P1、P2來對準圖案化裝置(例如,光罩)MA與基板W。
所描繪設備1000可用於以下模式中之至少一者中:
1.在步進模式中,在將被賦予至輻射光束之整個圖案一次性投影至目標部分C上(亦即,單次靜態曝光)時,使支撐結構(例如,光罩台)MT及基板台WT保持基本上靜止。接著,使基板台WT在X及/或Y方向上移位,以使得可曝光不同目標部分C。
2.在掃描模式中,同步地掃描支撐結構(例如,光罩台)MT及基板台WT,同時將賦予至輻射束之圖案投影至目標部分C上(亦即,單次動態曝光)。可藉由投影系統PS之縮小率及影像反轉特性來判定基板台WT相對於支撐結構(例如,光罩台)MT之速度及方向。
3.在另一模式中,支撐結構(例如光罩台)MT保持基本上靜止從而固持可程式化圖案化裝置,且在賦予至輻射光束之圖案經投影至目標部分C上的同時移動或掃描基板台WT。在此模式中,通常採用脈衝式輻射源,且在基板台WT之每一移動之後或在一掃描期間的順次輻射脈衝之間根據需要而更新可程式化圖案化裝置。此操作模式可易於應用於利用可程式化圖案化裝置(諸如,上文所提及之類型之可程式化鏡面陣列)之無光罩微影。
圖9更詳細地展示設備1000,其包括源收集器模組SO、照明系統IL及投影系統PS。源收集器模組SO經建構及配置成使得可將真空環境維持於源收集器模組SO之圍封結構220中。可藉由放電產生電漿輻射源來形成EUV輻射發射電漿210。可藉由氣體或蒸汽(例如,Xe氣體、Li蒸汽或Sn蒸汽)來產生EUV輻射,其中產生極熱電漿210以發射在電磁光譜之EUV範圍內之輻射。舉例而言,藉由引起至少部分離子化電漿之放電來產生極熱電漿210。為了輻射之有效率產生,可需要為(例如)10Pa之分壓之Xe、Li、Sn蒸汽或任何其他合適氣體或蒸汽。在一實施例中,提供經激發錫(Sn)電漿以產生EUV輻射。
由熱電漿210發射之輻射係經由定位於源腔室211中之開口中或後方的視情況選用的氣體障壁或污染物截留器230(在一些狀況下,亦被稱作污染物障壁或箔片截留器)而自源腔室211傳遞至收集器腔室212 中。污染物截留器230可包括通道結構。污染物截留器230亦可包括氣體障壁,或氣體障壁與通道結構之組合。如在此項技術中為吾人所知,本文中進一步所指示之污染物截留器或污染物障壁230至少包括通道結構。
收集器腔室211可包括可為所謂的掠入射收集器之輻射收集器CO。輻射收集器CO具有上游輻射收集器側251及下游輻射收集器側252。橫穿收集器CO之輻射可自光柵光譜濾光器240反射,以沿著由點虛線「O」指示之光軸而聚焦在虛擬源點IF中。虛擬源點IF通常被稱作中間焦點,且源收集器模組經配置以使得中間焦點IF位於圍封結構220中之開口221處或靠近開口221。虛擬源點IF為輻射發射電漿210之影像。
隨後,輻射橫穿照明系統IL,照明系統IL可包括琢面化場鏡面裝置22及琢面化光瞳鏡面裝置24,琢面化場鏡面裝置22及琢面化光瞳鏡面裝置24經配置以提供在圖案化裝置MA處之輻射光束21之所要角分佈,以及在圖案化裝置MA處之輻射強度之所要均一性。在由支撐結構MT固持之圖案化裝置MA處反射輻射光束21後,隨即形成經圖案化光束26,且經圖案化光束26藉由投影系統PS經由反射元件28、30成像至由基板台WT固持之基板W上。
比所展示裝置多的裝置通常可存在於照明光學件單元IL及投影系統PS中。取決於微影設備之類型,光柵光譜濾光器240可視情況存在。此外,可存在比諸圖中所展示之鏡面更多的鏡面,例如,與圖9中所展示相比,在投影系統PS中可存在1至6個額外反射元件。
如圖9所說明之收集器光學件CO被描繪為具有掠入射反射器253、254及255之巢狀收集器,僅僅作為收集器(或收集器鏡面)之一實例。掠入射反射器253、254及255圍繞光軸O軸向對稱地安置,且此類型 之收集器光學件CO理想地與放電產生電漿輻射源組合使用。
替代地,源收集器模組SO可為如圖10中所展示之LPP輻射系統之部分。雷射LAS經配置以將雷射能量沈積至諸如氙(Xe)、錫(Sn)或鋰(Li)之燃料中,從而產生具有數十電子伏特(eV)的電子溫度之高度離子化電漿210。在此等離子之去激發及再組合期間產生之高能輻射係自電漿發射、由近正入射收集器光學件CO收集,且聚焦至圍封結構220中之開口221上。
本文所揭示之概念可模擬或數學上模型化用於使子波長特徵成像之任何通用成像系統,且可尤其有用於能夠產生具有愈來愈小之大小之波長的新興成像技術。已經在使用中之新興技術包括極紫外線(EUV)微影,其能夠藉由使用ArF雷射來產生193nm之波長且甚至能夠藉由使用氟雷射來產生157nm之波長。此外,EUV微影能夠藉由使用同步加速器或藉由用高能電子撞擊材料(固體或電漿中任一者)來產生在20nm至5nm之範圍內的波長,以便產生在此範圍內之光子。
雖然本文所揭示之概念可用於在諸如矽晶圓之基板上之成像,但應理解,所揭示概念可供與任何類型之微影成像系統一起使用,例如,用於在除了矽晶圓以外之的基板上之成像之微影成像系統。
儘管可在本文中具體地參考在IC製造中的實施例之使用,但應理解,本文中之實施例可具有許多其他可能應用。舉例而言,其可用於整合式光學系統之製造、用於磁域記憶體之導引及偵測圖案、液晶顯示器(LCD)、薄膜磁頭、微機械系統(MEM)等。熟習此項技術者應瞭解,在此類替代應用之內容背景中,本文中對術語「倍縮光罩」、「晶圓」或「晶粒」之任何使用可被視為分別與更一般術語「圖案化裝置」、「基 板」或「目標部分」同義或可與其互換。可在曝光之前或之後在(例如)塗佈顯影系統(通常將抗蝕劑層施加至基板且顯影經曝光抗蝕劑之工具)或度量衡或檢測工具中處理本文中所提及之基板。在適用情況下,可將本文中之揭示內容應用於此等及其他基板處理工具。另外,可將基板處理超過一次,例如以便產生例如多層IC,使得本文中所使用之術語基板亦可指已含有多個經處理層之基板。
在本發明文件中,如本文所使用之術語「輻射」及「光束」涵蓋所有類型之電磁輻射,包括紫外輻射(例如具有約365、約248、約193、約157或約126nm之波長)及極紫外(EUV)輻射(例如具有介於5至20nm範圍內的波長)以及粒子束,諸如離子束或電子束。
如本文所使用之術語「最佳化(optimizing/optimization)」指代或意謂調整圖案化設備(例如微影設備)、圖案化程序等等。使得結果及/或程序具有較為合意之特性,諸如基板上之設計圖案之投影的較高準確度、較大程序窗等。因此,如本文所使用之術語「最佳化」指代或意謂識別用於一或多個參數之一或多個值的程序,該一或多個值相比於用於彼等一或多個參數之一或多個值之初始集合提供在至少一個相關度量方面的改良,例如局部最佳。應相應地解釋「最佳」及其他相關術語。在一實施例中,可反覆應用最佳化步驟,以提供一或多個度量之進一步改良。
可以任何便利形式實施本發明之態樣。舉例而言,可藉由一或多個適當電腦程式來實施實施例,該一或多個適當電腦程式可在可係有形載體媒體(例如,磁碟)或無形載體媒體(例如,通信信號)之適當載體媒體上進行。可使用可特定地採取可程式化電腦之形式的合適設備來實施本發明之實施例,該可程式化電腦執行經配置以實施如本文所描述之方法 之電腦程式。因此,本發明之實施例可以硬體、韌體、軟體或其任何組合來實施。本發明之實施例亦可被實施為儲存於機器可讀媒體上之指令,該等指令可由一或多個處理器讀取及執行。機器可讀媒體可包括用於儲存或傳輸呈可由機器(例如,計算裝置)讀取之形式之資訊的任何機構。舉例而言,機器可讀媒體可包括:唯讀記憶體(ROM);隨機存取記憶體(RAM);磁性儲存媒體;光學儲存媒體;快閃記憶裝置;電學、光學、聲學或其他形式之傳播信號(例如,載波、紅外線信號、數位信號,等等);及其他者。另外,韌體、軟件、例程、指令可在本文中被描述為執行某些動作。然而,應瞭解,此等描述僅僅為方便起見,且此等動作事實上係由計算裝置、處理器、控制器或執行韌體、軟體、常式、指令等等之其他裝置引起。
在方塊圖中,所說明之組件被描繪為離散功能區塊,但實施例不限於本文中所描述之功能性如所說明來組織之系統。由組件中之每一者所提供之功能性可由軟體或硬體模組提供,該等模組以與目前所描繪之方式不同的方式來組織,例如此類軟體或硬體可經摻和、結合、複製、分解、分佈(例如在資料中心內或按地區),或另外以不同方式組織。本文中所描述之功能性可由執行儲存於有形的、非暫時性機器可讀媒體上之程式碼之一或多個電腦之一或多個處理器提供。在一些狀況下,第三方內容遞送網路可主控經由網路傳達之資訊中之一些或全部,在此狀況下,在據稱供應或另外提供資訊(例如,內容)之情況下,可藉由發送指令以自內容遞送網路擷取彼資訊提供該資訊。
除非另有具體陳述,否則如自論述顯而易見,應瞭解,貫穿本說明書,利用諸如「處理」、「計算(computing/calculating)」、 「判定」或其類似者之術語的論述係指諸如專用電腦或相似專用電子處理/計算裝置之特定設備的動作或程序。
讀者應瞭解,本申請案描述若干發明。已將此等發明分組成單一文件,而非將彼等發明分離成多個單獨的專利申請案,此係因為該等發明之相關主題在應用程序中有助於經濟發展。但不應合併此等發明之相異優點及態樣。在一些狀況下,實施例解決本文中所提及之所有缺陷,但應理解,該等發明係獨立地有用,且一些實施例僅解決此等問題之子集或提供其他未提及之益處,該等益處對於檢閱本發明之熟習此項技術者將顯而易見。歸因於成本約束,目前可不主張本文中所揭示之一些發明,且可在稍後申請案(諸如接續申請案或藉由修正本技術方案)中主張該等發明。類似地,歸因於空間限制,本發明文件之[發明摘要]及[發明內容]章節皆不應被視為含有所有此等發明之全面清單或此等發明之所有態樣。
應理解,描述及圖式不意欲將本發明限制於所揭示之特定形式,但相反,意欲涵蓋屬於如由所附申請專利範圍所界定的本發明之精神及範疇內之所有修改、等效者及替代例。
鑒於此描述,本發明之各個態樣的修改及替代實施例對於熟習此項技術者而言將顯而易見。因此,此描述及圖式應被理解為僅為說明性的且係出於教示熟習此項技術者實施本發明之一般方式之目的。應理解,本文中所展示且描述之本發明之形式應被視為實施例之實例。元件及材料可替代本文中所說明及描述之元件及材料,部分及程序可被反轉或被省略,可獨立利用某些特徵,且可組合實施例或實施例之特徵,此皆如熟習此項技術者在獲得此描述之益處之後將顯而易見。在不背離如在以下申請專利範圍中所描述之本發明之精神及範疇的情況下,可對本文所述之元 件作出改變。本文中所使用之標題僅為達成組織性目的,且不意謂用以限制本說明書之範疇。
如遍及本申請案所使用,詞「可」係在許可之意義(亦即,意謂有可能)而非強制性之意義(亦即,意謂必須)予以使用。詞「包括(include/including/includes)」及其類似者意謂包括但不限於。如貫穿本申請案所使用,單數形式「一(a/an)」及「該(the)」包括複數個參照物,除非內容另有明確地指示。因此,舉例而言,對「元件(an element/a element)」之參考包括兩個或多於兩個元件之組合,儘管會針對一或多個元件使用其他術語及短語,諸如「一或多個」。除非另有指示,否則術語「或」係非獨占式的,亦即,涵蓋「及」與「或」兩者。描述條件關係之術語,例如,「回應於X,而Y」、「在X後,即Y」、「若X,則Y」、「當X時,Y」及其類似者涵蓋因果關係,其中前提為必要的因果條件,前提為充分的因果條件,或前提為結果的貢獻因果條件,例如,「在條件Y獲得後,即出現狀態X」對於「僅在Y後,才出現X」及「在Y及Z後,即出現X」為通用的。此等條件關係不限於即刻遵循前提而獲得之結果,此係因為可延遲一些結果,且在條件陳述中,前提連接至其結果,例如,前提係與出現結果之似然性相關。除非另有指示,否則複數個屬性或功能經映射至複數個物件(例如,執行步驟A、B、C及D之一或多個處理器)之陳述涵蓋所有此等屬性或功能經映射至所有此等物件及屬性或功能之子集經映射至屬性或功能之子集兩者(例如,所有處理器各自執行步驟A至D,及其中處理器1執行步驟A,處理器2執行步驟B及步驟C之一部分,且處理器3執行步驟C之一部分及步驟D之狀況)。另外,除非另有指示,否則一個值或動作係「基於」另一條件或值之陳述涵蓋條件或值為單獨因數之 情況及條件或值為複數個因數當中之一個因數之情況兩者。除非另有指示,否則某一集合之「每一」例項具有某一性質之陳述不應被解讀為排除較大集合之一些以其他方式相同或相似成員不具有該性質(亦即,每一者未必意謂每個都)之狀況。對自一範圍選擇之提及包括該範圍之端點。
在以上描述中,流程圖中之任何程序、描述或區塊應理解為表示程式碼之模組、區段或部分,其包括用於實施該程序中之特定的邏輯功能或步驟之一或多個可執行指令,且替代實施方案包括於本發明進展之例示性實施例之範疇內,其中功能可取決於所涉及之功能性不按照所展示或論述之次序執行,包括實質上同時或以相反次序執行,如熟習此項技術者應理解。
可使用以下條項進一步描述實施例:
1.一種其上記錄有指令之非暫時性電腦可讀媒體,當由一或多個處理器執行時,該等指令實施一種用於判定在半導體製造中隨時間推移之程序漂移的方法,該方法包含:獲得表徵隨時間推移之一半導體程序之一效能的一關鍵效能指示符(KPI)變異,及與一組與該半導體程序相關聯之因數相關聯的資料;使用該KPI之一模型及該資料作為至該模型之輸入判定一第一組因數對於該KPI變異的貢獻,該第一組因數突破一統計臨限值;移除來自該第一組因數對於該KPI變異的該等貢獻以獲得一殘餘KPI變異;及基於該殘餘KPI變異判定突破一殘餘臨限值之一殘餘值,該殘餘值指示該半導體程序中隨時間推移的程序漂移或在某一時間處對應於該殘餘值的一離群值基板。
2.如條項1之媒體,其中該第一組因數之該判定包含:基於與該半導體製造相關聯的該組因數組態該模型;及將該模型應用於該資料以判定該組因數對於該KPI中之該變異的一貢獻量。
3.如條項1至2中任一項之媒體,其中該模型包含以下各者中的一者:一統計模型,其經組態以將該KPI分解成該組因數及一殘餘項的一函數;及一機器學習模型,其經組態以接收與該組因數相關之該資料作為輸入,並產生該殘餘KPI變異作為輸出。
4.如條項3之媒體,其中該第一組因數之判定包含:將一變異數分析(ANOVA)或一共變數分析(ANCOVA)技術應用於該統計模型以判定該組因數中之每一者對於該KPI變異的貢獻。
5.如條項1至4中任一項之媒體,其中該KPI係以下中之至少一者:局部臨界尺寸均一性(LCDU),其與經由一圖案化程序成像於一基板上的一圖案相關聯;一邊緣置放誤差,其與經由該圖案化程序成像於該基板上之一圖案相關聯;及一疊對,其與經由該圖案化程序成像於該基板上之該圖案相關聯。
6.如條項1至5中任一項之媒體,其中該KPI變異係藉由使用複數個微影設備、複數個程序設備、複數個倍縮光罩、複數個度量衡工具及/或一或多個可量測參數而獲得。
7.如條項1至6中任一項之媒體,其中該組因數包含以下中之至少一 者:一第一類別變數,其用以表徵該複數個微影設備對於該KPI變異之貢獻;一第二類別變數,其用以表徵該複數個倍縮光罩對於該KPI中之該變異的貢獻;一第三類別變數,其用以表徵該複數個度量衡工具對於該KPI變異的貢獻;及一第四變數,其包含對於該KPI變異有貢獻的一可量測晶圓參數。
8.如條項7之媒體,其中該可量測參數包含以下中之至少一者:一圖案之平均臨界尺寸;一微影設備之劑量;及該微影設備之焦點。
9.如條項1至8中任一項之媒體,其進一步包含:偵測該殘餘KPI變異中之系統分類;回應於偵測到之系統分類,判定與該系統分類相關聯的一根本原因;及調整該模型以包括與該根本原因相關聯之一因數作為對於該KPI變異之一貢獻者。
10.如條項9之媒體,其中該根本原因指示該殘餘KPI變異係由該半導體程序下游的一程序之一特性所引起的。
11.如條項9之媒體,其中該根本原因指示該殘餘KPI變異係由該半導體程序上游的一程序之一特性所引起的。
12.如條項9之媒體,其中該系統分類之該偵測包含:識別該殘餘KPI變異之一位準在一段時間內的一移位。
13.如條項9至12中任一項之媒體,其中該系統分類之該偵測包含: 執行經組態以識別該殘餘KPI變異中之系統分類的一統計模型。
14.如條項1至13中任一項之媒體,其進一步包含:以一規則間隔或連續地捕捉與該組與該半導體程序相關聯之因數相關的資料;及基於該捕捉之資料更新該殘餘KPI變異。
15.如條項1至14中任一項之媒體,其中該殘餘KPI變異包含比所量測KPI中之一信雜比高的一信雜比。
16.一種用於判定半導體製造中隨時間推移之程序漂移的方法,該方法包含:獲得表徵隨時間推移之一半導體程序之一效能的一關鍵效能指示符(KPI)變異,及與一組與該半導體程序相關聯之因數相關聯的資料;使用該KPI之一模型及該資料作為至該模型之輸入判定一第一組因數對於該KPI變異的貢獻,該第一組因數突破一統計臨限值;移除來自該第一組因數對於該KPI變異的該等貢獻以獲得一殘餘KPI變異;及基於該殘餘KPI變異判定突破一殘餘臨限值之一殘餘值,該殘餘值指示該半導體程序中隨時間推移的程序漂移或在某一時間處對應於該殘餘值的一離群值基板。
17.如條項16之方法,其中該第一組因數之該判定包含:基於與該半導體製造相關聯的該組因數組態該模型;及將該模型應用於該資料以判定該組因數對於該KPI中之該變異的一貢獻量。
18.如條項16至17中任一項之方法,其中該模型包含以下各者中之一 者:一統計模型,其經組態以將該KPI分解成該組因數及一殘餘項的一函數;及一機器學習模型,其經組態以接收與該組因數相關之該資料作為輸入,並產生該殘餘KPI變異作為輸出。
19.如條項18之方法,其中該第一組因數之判定包含:將一變異數分析(ANOVA)或一共變數分析(ANCOVA)技術應用於該統計模型以判定該組因數中之每一者對於該KPI變異的貢獻。
20.如條項16至19中任一項之方法,其中該KPI係以下中之至少一者:局部臨界尺寸均一性(LCDU),其與經由一圖案化程序成像於一基板上的一圖案相關聯;一邊緣置放誤差,其與經由該圖案化程序成像於該基板上之一圖案相關聯;及一疊對,其與經由該圖案化程序成像於該基板上之該圖案相關聯。
21.如條項16至20中任一項之方法,其中該KPI變異係藉由使用複數個微影設備、複數個程序設備、複數個倍縮光罩、複數個度量衡工具及/或一或多個可量測參數而獲得。
22.如條項16至21中任一項之方法,其中該組因數包含以下中之至少一者:一第一類別變數,其用以表徵該複數個微影設備對於該KPI變異之貢獻;一第二類別變數,其用以表徵該複數個倍縮光罩對於該KPI中之該變 異的貢獻;一第三類別變數,其用以表徵該複數個度量衡工具對於該KPI變異的貢獻;及一第四變數,其包含對於該KPI變異有貢獻的一可量測晶圓參數。
23.如條項22之方法,其中該可量測參數包含以下中之至少一者:一圖案之平均臨界尺寸;一微影設備之劑量;及該微影設備之焦點。
24.如條項16至23之方法,其進一步包含:偵測該殘餘KPI變異中之系統分類;回應於偵測到之系統分類,判定與該系統分類相關聯的一根本原因;及調整該模型以包括與該根本原因相關聯之一因數作為對於該KPI變異之一貢獻者。
25.如條項24之方法,其中該根本原因指示該殘餘KPI變異係由該半導體程序下游的一程序之一特性所引起的。
26.如條項24之方法,其中該根本原因指示該殘餘KPI變異係由該半導體程序上游的一程序之一特性所引起的。
27.如條項24之方法,其中該系統分類之該偵測包含:識別該殘餘KPI變異之一位準在一段時間內的一移位。
28.如條項24至27中任一項之方法,其中該系統分類之該偵測包含:執行經組態以識別該殘餘KPI變異中之系統分類的一統計模型。
29.如條項16至28中任一項之方法,其進一步包含:以一規則間隔或連續地捕捉與該組與該半導體程序相關聯之因數相關的資料;及 基於該捕捉之資料更新該殘餘KPI變異。
30.如條項16至29中任一項之方法,其中該殘餘KPI變異包含比所量測KPI中之一信雜比高的一信雜比。
雖然已描述某些實施例,但此等實施例僅作為實例來呈現,且並不意欲限制本發明之範圍。實際上,本文中所描述之新穎方法、設備及系統可以多種其他形式實施;此外,在不背離本發明之精神情況下,可以本文中所描述之方法、設備及系統的形式進行各種省略、替代及改變。隨附申請專利範圍及其等效物意欲涵蓋如將屬於本發明之範圍及精神內的此類形式或修改。
300:方法
301:資料
302:第一組因數
304:殘餘KPI變異
320:殘餘值
KPI:關鍵效能指示符
P301:程序
P303:程序
P305:程序
P307:程序

Claims (15)

  1. 一種其上記錄有指令之非暫時性電腦可讀媒體,當由一或多個處理器執行時,該等指令實施一種用於判定在半導體製造中隨時間推移(over time)之程序漂移(process drifts)的方法,該方法包含:獲得表徵(characterizing)隨時間推移之一半導體程序之一效能的一關鍵效能指示符(KPI)變異,及與一組與該半導體程序相關聯之因數(factors)相關聯的資料;使用該KPI之一模型及該資料作為至該模型之輸入判定一第一組因數對於該KPI變異的貢獻,該第一組因數突破一統計臨限值;移除來自該第一組因數對於該KPI變異的該等貢獻以獲得一殘餘KPI變異;及基於該殘餘KPI變異判定突破一殘餘臨限值之一殘餘值,該殘餘值指示該半導體程序中隨時間推移的程序漂移或在某一時間處對應於該殘餘值的一離群值基板(outlier substrate)。
  2. 如請求項1之媒體,其中該第一組因數之該判定包含:基於與該半導體製造相關聯的該組因數組態該模型;及將該模型應用於該資料以判定該組因數對於該KPI中之該變異的一貢獻量。
  3. 如請求項1之媒體,其中該模型包含以下各者中之一者:一統計模型,其經組態以將該KPI分解成該組因數及一殘餘項的一函 數;及一機器學習模型,其經組態以接收與該組因數相關之該資料作為輸入,並產生該殘餘KPI變異作為輸出。
  4. 如請求項3之媒體,其中該第一組因數之判定包含:將一變異數分析(ANOVA)或一共變數分析(ANCOVA)技術應用於該統計模型以判定該組因數中之每一者對於該KPI變異的貢獻。
  5. 如請求項1之媒體,其中該KPI係以下中之至少一者:局部臨界尺寸均一性(LCDU),其與經由一圖案化程序成像於一基板上的一圖案相關聯;一邊緣置放誤差,其與經由該圖案化程序成像於該基板上之一圖案相關聯;及一疊對,其與經由該圖案化程序成像於該基板上之該圖案相關聯。
  6. 如請求項1之媒體,其中該KPI變異係藉由使用複數個微影設備、複數個程序設備、複數個倍縮光罩、複數個度量衡工具及/或一或多個可量測參數而獲得。
  7. 如請求項6之媒體,其中該組因數包含以下中之至少一者:一第一類別變數,其用以表徵該複數個微影設備對於該KPI變異之貢獻;一第二類別變數,其用以表徵該複數個倍縮光罩對於該KPI中之該變 異的貢獻;一第三類別變數,其用以表徵該複數個度量衡工具對於該KPI變異的貢獻;及一第四變數,其包含對於該KPI變異有貢獻的一可量測晶圓參數。
  8. 如請求項7之媒體,其中該可量測參數包含以下中之至少一者:一圖案之平均臨界尺寸;一微影設備之劑量;及該微影設備之焦點。
  9. 如請求項1之媒體,其進一步包含:偵測該殘餘KPI變異中之系統分類(systematics);回應於偵測到之系統分類,判定與該系統分類相關聯的一根本原因;及調整該模型以包括與該根本原因相關聯之一因數作為對於該KPI變異之一貢獻者。
  10. 如請求項9之媒體,其中該根本原因指示該殘餘KPI變異係由該半導體程序下游的一程序之一特性所引起的。
  11. 如請求項9之媒體,其中該根本原因指示該殘餘KPI變異係由該半導體程序上游的一程序之一特性所引起的。
  12. 如請求項9之媒體,其中該系統分類之該偵測包含:識別該殘餘KPI變異之一位準在一段時間內的一移位。
  13. 如請求項9之媒體,其中該系統分類之該偵測包含:執行經組態以識別該殘餘KPI變異中之系統分類的一統計模型。
  14. 如請求項1之媒體,其進一步包含:以一規則間隔或連續地捕捉與該組與該半導體程序相關聯之因數相關的資料;及基於該捕捉之資料更新該殘餘KPI變異。
  15. 如請求項1之媒體,其中該殘餘KPI變異包含比所量測KPI中之一信雜比高的一信雜比。
TW111122770A 2021-07-09 2022-06-20 用於去耦合與半導體製造相關之變異來源之方法 TWI822128B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US202163220309P 2021-07-09 2021-07-09
US63/220,309 2021-07-09

Publications (2)

Publication Number Publication Date
TW202319840A TW202319840A (zh) 2023-05-16
TWI822128B true TWI822128B (zh) 2023-11-11

Family

ID=82270705

Family Applications (2)

Application Number Title Priority Date Filing Date
TW112139502A TW202405581A (zh) 2021-07-09 2022-06-20 用於去耦合與半導體製造相關之變異來源之方法
TW111122770A TWI822128B (zh) 2021-07-09 2022-06-20 用於去耦合與半導體製造相關之變異來源之方法

Family Applications Before (1)

Application Number Title Priority Date Filing Date
TW112139502A TW202405581A (zh) 2021-07-09 2022-06-20 用於去耦合與半導體製造相關之變異來源之方法

Country Status (7)

Country Link
US (1) US20240142959A1 (zh)
EP (1) EP4367556A1 (zh)
KR (1) KR20240032090A (zh)
CN (1) CN117616342A (zh)
IL (1) IL309496A (zh)
TW (2) TW202405581A (zh)
WO (1) WO2023280486A1 (zh)

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20180173110A1 (en) * 2016-12-15 2018-06-21 Taiwan Semiconductor Manufacturing Co., Ltd. Noise Reduction for Overlay Control
EP3396456A1 (en) * 2017-04-25 2018-10-31 ASML Netherlands B.V. Method of monitoring and device manufacturing method
CN110494865A (zh) * 2017-03-27 2019-11-22 Asml荷兰有限公司 优化用于产品单元的多阶段处理的装置

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20180173110A1 (en) * 2016-12-15 2018-06-21 Taiwan Semiconductor Manufacturing Co., Ltd. Noise Reduction for Overlay Control
CN110494865A (zh) * 2017-03-27 2019-11-22 Asml荷兰有限公司 优化用于产品单元的多阶段处理的装置
EP3396456A1 (en) * 2017-04-25 2018-10-31 ASML Netherlands B.V. Method of monitoring and device manufacturing method

Also Published As

Publication number Publication date
IL309496A (en) 2024-02-01
EP4367556A1 (en) 2024-05-15
CN117616342A (zh) 2024-02-27
US20240142959A1 (en) 2024-05-02
WO2023280486A1 (en) 2023-01-12
TW202319840A (zh) 2023-05-16
KR20240032090A (ko) 2024-03-08
TW202405581A (zh) 2024-02-01

Similar Documents

Publication Publication Date Title
TWI590006B (zh) 用於改良微影製程的方法及電腦程式產品
TW202024772A (zh) 用於預測由於抗蝕劑層引起的失效的失效模型
TWI824334B (zh) 非暫時性電腦可讀媒體
CN112313581B (zh) 基于性能匹配的调谐扫描器的波前优化
JP2013145880A (ja) 確率的影響を低減するための照明源マスクの最適化
US10459345B2 (en) Focus-dose co-optimization based on overlapping process window
TWI665527B (zh) 用於缺陷認證之方法
CN113227907A (zh) 基于来自经印刷的衬底的测量反馈确定图案分级
US11630396B2 (en) Model calibration and guided metrology based on smart sampling
CN115104068A (zh) 用于以基于缺陷的过程窗口为基础的校准模拟过程的方法
TW202113508A (zh) 用於判定與期望圖案相關聯之隨機變異之方法
CN111512236A (zh) 涉及光学像差的图案化过程改进
KR20190005986A (ko) 변위 기반 오버레이 또는 정렬
CN112740110A (zh) 从图案化过程的图案集合确定候选图案的方法
US11474436B2 (en) Tuning patterning apparatus based on optical characteristic
WO2019162275A1 (en) Systems and methods for improving resist model predictions
CN116125756A (zh) 用于减少抗蚀剂模型预测误差的系统和方法
TWI822128B (zh) 用於去耦合與半導體製造相關之變異來源之方法
US20220113632A1 (en) Gauge selection for model calibration
TWI661264B (zh) 調諧製程模型之方法
TWI793443B (zh) 判定圖案之像差靈敏度的方法
TW202326293A (zh) 圖案化器件缺陷偵測系統及方法
TW201837613A (zh) 在製程中導引程序模型及檢測之方法