TWI816234B - 用於微影的相位移光罩及用於製造其的方法 - Google Patents

用於微影的相位移光罩及用於製造其的方法 Download PDF

Info

Publication number
TWI816234B
TWI816234B TW110143187A TW110143187A TWI816234B TW I816234 B TWI816234 B TW I816234B TW 110143187 A TW110143187 A TW 110143187A TW 110143187 A TW110143187 A TW 110143187A TW I816234 B TWI816234 B TW I816234B
Authority
TW
Taiwan
Prior art keywords
pattern
photomask
layer
defining layer
phase shifter
Prior art date
Application number
TW110143187A
Other languages
English (en)
Other versions
TW202232226A (zh
Inventor
葉澤安
君飛 鄭
蒙特雷 里菲
陳俊光
Original Assignee
美商恩特葛瑞斯股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商恩特葛瑞斯股份有限公司 filed Critical 美商恩特葛瑞斯股份有限公司
Publication of TW202232226A publication Critical patent/TW202232226A/zh
Application granted granted Critical
Publication of TWI816234B publication Critical patent/TWI816234B/zh

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/26Phase shift masks [PSM]; PSM blanks; Preparation thereof
    • G03F1/32Attenuating PSM [att-PSM], e.g. halftone PSM or PSM having semi-transparent phase shift portion; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/22Masks or mask blanks for imaging by radiation of 100nm or shorter wavelength, e.g. X-ray masks, extreme ultraviolet [EUV] masks; Preparation thereof
    • G03F1/24Reflection masks; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70216Mask projection systems
    • G03F7/70283Mask effects on the imaging process

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)
  • Physical Vapour Deposition (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

本發明提供一種用於半導體製造中之一微影程序之相位移光罩。該光罩包含一基板、一反射結構、一圖案界定層及一移相器。該反射結構安置於該基板上。該圖案界定層包含一第一材料且沈積於該反射結構上。該圖案界定層包括一圖案溝槽。該移相器包含一第二材料且安置於該圖案溝槽中。該第二材料之一透射率不同於該第一材料之一透射率。

Description

用於微影的相位移光罩及用於製造其的方法
本發明大體上係關於一種用於一微影程序中之光罩,且更具體而言,本發明係關於一種用於半導體製造之一微影程序中之相位移光罩。
在製造積體電路及其他半導體裝置中常遇到之一個程序步驟係微影。廣義而言,微影涉及使用一圖案化模板使一晶圓表面選擇性曝露於一輻射源以產生一蝕刻表面層。通常,圖案模板係一光罩,其係含有待再現於晶圓上之圖案之一平坦玻璃板。例如,晶圓表面可在其上沈積有氮化矽,接著塗覆一光敏液體聚合物或光阻劑。接著,一曝光光自光罩之一表面反射以將期望圖案投影至經光阻劑覆蓋晶圓上。
對於一負光阻劑,曝露於光之光阻劑之部分經化學改質且在晶圓隨後經受移除未曝露光阻劑之一化學介質時保持不受影響以使經改質光阻劑以光罩上圖案之精確形狀留在晶圓上。對於一正光阻劑,曝露於光之光阻劑之部分經化學改質且在晶圓隨後經受一化學介質時被移除,而未曝露光阻劑留在晶圓上。接著,晶圓經受一蝕刻程序,其移除氮化物層之曝露部分以使氮化物圖案以遮罩之精確設計留在晶圓上。此蝕刻層單獨或結合其他類似產生層表示以一特定積體電路或半導體晶片之「電路系 統」為特徵之裝置及裝置之間的互連。
行業趨向於生產更小及/或具有一更高邏輯密度之晶片,其需要更大晶圓上之更小線寬。然而,此等發展引起圖案之間的一小節距問題。已探索形成具有小節距(諸如小於40nm之節距)之垂直互連通導(通)孔之方法包含使用各界定不同通孔之圖案之兩個或多個光罩多次執行一微影程序,此導致生產時間增加及產量能力降低。
另外,可在晶圓表面上再現圖案之解析度取決於用於將圖案投影至經光阻劑塗覆之晶圓之表面上之曝光光之波長。當前最新微影工具使用波長為13.5nm之極紫外(EUV)光,其容許約7nm、5nm或更小之最小特徵大小。然而,為在經光阻劑覆蓋之晶圓上具有期望圖案之一更高影像對比度,需要一更高曝露劑量(即,產生EUV光之更高功率輸出),此不利地增加製造半導體晶片之成本。
因此,本發明之一目的係提供一種用於在一半導體晶片中圖案化具有相對較小節距之通孔同時使用一個單一光罩且不多次重複微影程序之經修改光罩。本發明之另一目的係關於製造一相位移光罩。
根據一些實施例,該光罩包含一基板、一反射結構、一圖案界定層及一移相器。該反射結構安置於該基板上。該圖案界定層包含一第一材料且沈積於該反射結構上。該圖案界定層包括一圖案溝槽。該移相器包含一第二材料且安置於該圖案溝槽中。該第二材料之一透射率不同於該第一材料之一透射率。
根據一些其他實施例,一種光罩包含一基板、一反射結構、一圖案界定層及一移相器。該光罩具有一黑色邊界區域及由該黑色邊 界區域包圍之一圖案區域。該反射結構沈積於該基板上。一框架溝槽相對於該光罩之該黑色邊界區域形成於該反射結構中。該圖案界定層相對於該光罩之該圖案區域沈積於該反射結構上且具有形成於該圖案界定層中之一圖案溝槽。該移相器沈積於該圖案溝槽中。該光罩相對於該圖案區域之一上表面(由該圖案界定層及該移相器共同界定)係一平坦表面。
根據一些其他實施例,提供一種用於製造一光罩之方法。該方法包含提供一基板。該方法進一步包含在該基板上形成一反射結構。該方法亦包含在該反射結構上沈積包含一第一材料之一圖案界定層。另外,該方法包含在該圖案界定層中形成一圖案溝槽。該方法進一步包含在該圖案溝槽中沈積包含一第二材料之一移相器。該第二材料之一透射率不同於該第一材料之一透射率。
提供以上概要來促進理解本發明獨有之一些創新特徵,且其不意欲為一全面描述。可藉由整體參考整個說明書、申請專利範圍、圖式及摘要來獲得本發明之一完全瞭解。
1:曝光設備
4:粒子
5:半導體晶圓
6:光阻劑層
8:靜電卡盤
9:曝光光
10:光罩
10a:光罩
10b:光罩
10c:光罩
10d:光罩
10e:光罩
10f:光罩
11:基板
12:反射結構
13:覆蓋層
14:圖案界定層
14a:圖案界定層
14b:圖案界定層
14d:圖案界定層
14e:圖案界定層
14f:圖案界定層
15:吸收層
15f:吸收層
17:圖案溝槽
17a:圖案溝槽
17b:圖案溝槽
17d:圖案溝槽
17e:圖案溝槽
17f:圖案溝槽
18:移相器
18d:移相器
18e:移相器
18f:移相器
19:框架溝槽
21:光阻劑
22:光阻劑
23:抑制劑
24:光阻劑
25:緩衝層
26:光阻劑
61:開口
62:溝槽/開口
101:周邊區域
102:黑色邊界區域
103:圖案區域
105d:上表面
105e:上表面
111:頂面
112:底面
113:側面
121:第一層
122:第二層
140d:開口
140f:半透光材料
141:頂面
141d:頂面
141e:頂面
150d:吸收材料
151:頂面
180d:薄膜
180e:移相器材料
181d:頂面
181e:頂面
210:光阻劑特徵
220:光阻劑特徵
240:光阻劑特徵
260:光阻劑特徵
601:區域
602:區域
A:區域
B:區域
H1:高度差
R1:第一區域
R2:第二區域
Ta:反射光/曝光光
Tb:反射光/曝光光
Tc:反射光/曝光光
W1:間距
W2:間距
可結合附圖鑑於各種說明性實施例之以下描述來更完整理解本發明,其中:
圖1展示根據本發明之一些實施例之具有一半暗圖案界定層之一光罩之一橫截面圖。
圖2展示根據本發明之一些實施例之經受一輻射光之一光罩之一示意圖及繪製輻射光在自光罩反射之後的波相位(i)及能量強度(ii)之圖式。
圖3A展示根據本發明之一些實施例之作為一負性光阻劑自 用於界定垂直互連通導孔之一光罩曝露於一輻射光之一微影程序之一個階段之一示意圖。
圖3B展示根據本發明之一些實施例之作為一負性光阻劑自用於界定溝槽線之一光罩曝露於輻射光之一微影程序之一個階段之一示意圖。
圖4展示根據本發明之一些實施例之具有一半暗圖案界定層之一光罩之一橫截面圖。
圖5展示根據本發明之一些實施例之經受一輻射光之圖4中所展示之光罩之一示意圖及繪製輻射光在自光罩反射之後的波相位(i)及能量強度(ii)之圖式。
圖6A至圖6K展示根據本發明之一些實施例之製造具有一半暗圖案界定層之一光罩之一方法之各種階段。
圖6L展示圖6K中一區域A之一放大圖。
圖7A至圖7F展示根據本發明之一些實施例之製造具有一半暗圖案界定層之一光罩之一方法之各種階段。
圖7G展示圖7F中一區域B之一放大圖。
圖8A至圖8F展示根據本發明之一些實施例之製造具有一半暗圖案界定層之一光罩之一方法之各種階段。
儘管本發明可接受各種修改及替代形式,但其細節已藉由實例展示於圖式中且將詳細描述。然而,應暸解,不意欲將本發明之態樣限制於所描述之特定說明性實施例。相反地,意欲涵蓋落於本發明之精神及範疇內之所有修改、等效物及替代。
如本說明書及隨附申請專利範圍中所使用,除非內容另有明確規定,否則單數形式「一(a/an)」及「該」包含複數個指涉物。如本說明書及隨附申請專利範圍中所使用,術語「或」一般用於包含「及/或」,除非內容另有明確規定。
以下詳細說明將參考圖式閱讀,其中不同圖式中之類似元件用類似元件符號編號。例如,光罩一般或共同由元件符號10指稱且由元件符號10後跟一字母後綴(例如10a)個別指稱。詳細描述及圖式(其未必按比例繪製)描繪說明性實施例且不意欲限制本發明之範疇。所描繪之說明性實施例僅意在說明。任何說明性實施例之選定特徵可併入至一額外實施例中,除非明確說明相反。
圖1展示根據本發明之一些實施例之一光罩10之一橫截面圖。在本文中,術語「光罩」可用於係指石英胚料、光遮罩或EUV遮罩。根據一些實施例,光罩10係一反射型光罩。應注意,本文中所描繪之實施例及概念適用於涉及兩個相鄰圖案之間的一小節距之任何光罩,其包含(但不限於)透射型光罩。在一些實施例中,光罩用於引進一短輻射光(諸如具有約1nm至約100nm之一波長之極紫外光(EUV))之一微影程序中,但本發明可考量其他波長之光(輻射)。在所描繪之實施例中,遮罩10係一相位差遮罩,諸如一衰減相位差遮罩(AttPSM)。
在一些實施例中,光罩10界定一周邊區域101、一黑色邊界區域102及一圖案區域103。如自光罩10之一俯視圖所見,圖案區域103位於光罩10之中心。圖案區域103係包含一積體電路裝置(或晶片)之一中心區域之光罩10之一區。例如,光罩10包含以下之一圖案:一電阻器、一電容器、一電感器、一二極體、一金屬氧化物半導體場效電晶體 (MOSFET)、一互補MOS(CMOS)電晶體、一雙極性接面電晶體(BJT)、一橫向擴散MOS(LDMOS)電晶體、一高功率MOS電晶體、一鰭狀場效電晶體(FinFET)、其他積體電路組件或其等之一組合。在所描繪之實施例中,光罩10包含一積體電路裝置(或晶片)之通孔之一圖案。黑色邊界區域102圍繞圖案區域103延伸且相鄰於圖案區域103。黑色邊界區域102之寬度可在約2mm至約3mm之一範圍內。一框架溝槽19形成於光罩10之黑色邊界區域102中以減少非想要曝光。周邊區域101圍繞黑色邊界區域102延伸且位於黑色邊界區域102與基板11之一外邊緣之間。周邊區域101不包含一積體電路裝置之一圖案。下文將詳細描述根據本發明之一些實施例之光罩10之結構特徵。
在一些實施例中,光罩10包含一基板11、一反射結構12、一覆蓋層13、一圖案界定層14及一吸收層15。基板11大體上呈矩形,具有一頂面111及一底面112。頂面111與底面112對置且藉由一側面113與底面112間隔開。底面112可在製造及處置光罩10期間用作一參考面。例如,底面112可由一曝光設備1(圖2)中之一靜電卡盤8固持。在一些實施例中,基板11由具有一低熱膨脹係數之材料製成以最小化歸因於加熱之影像失真。低熱膨脹係數材料之非限制性實例包含玻璃、陶瓷或玻璃陶瓷。為了靜電卡持,可在底面112上形成一導電層。導電層可包含鉭硼(TaB)或氮化鉻(CrN)且可透過適合沈積技術形成於底面112上。
反射結構12經組態以反射投影於光罩10上之輻射光。在一些實施例中,反射結構12安置於基板11之頂面111上且覆蓋位於光罩10之周邊區域101及圖案區域103中之頂面111之部分。在一些實施例中,反射結構12包含數個膜對,且各膜對包含一第一層121及位於第一層121下方 或第一層121上方之一第二層122。反射結構12中膜對之數目可在自20至80之一範圍內。例如,反射結構12包含40個膜對。在一些實施例中,各膜對之第一層121及第二層122由對曝光波長具有不同折射率之兩種不同材料製成。根據一實施例,膜對之第一層121係一矽層,且膜對之第二層122係一鉬層。在一個實施例中,膜對之第一層121係一鈹層,且膜對之第二層122係一鉬層。第一層121及第二層122之不同反射層導致對一選定電磁輻射類型/波長曝光光之一高反射率。
反射結構12之各膜對之厚度可基於曝光光之波長及入射角判定。特定言之,第一層121及第二層122之厚度經判定使得可達成在各界面處繞射之曝光光之一最大建設性干涉及曝光光之一最小吸收。例如,為了EUV微影,第一層121(例如矽層)具有約4nm之一厚度,且第二層122(例如鉬層)具有約3nm之一厚度,其等經配置使得反射結構12展現對EUV範圍內之輻射光之約70%之一反射率。
覆蓋層13安置於與基板11對置之反射結構12之一側上且經組態以在形成圖案界定層14期間保護下伏反射結構12。例如,覆蓋層13選自展現不同於圖案界定層14之蝕刻特性之一材料。因此,覆蓋層13用作圖案化或修復/清潔圖案界定層14中之一蝕刻停止層。覆蓋層13之非限制性實例包含氧化鈦(TiO)或釕(Ru)。覆蓋層13可透過適合膜沈積技術依自約2nm至4nm之一範圍內之一厚度形成於反射結構12上。
圖案界定層14安置於覆蓋層13上且覆蓋位於基板11之圖案區域103中之覆蓋層13之一部分。圖案界定層14包含非常精細圖案,其用於界定由光罩10反射之曝光光之一影像以印記塗覆於一半導體晶圓上之一光阻劑材料上之對應圖案。例如,蝕刻圖案界定層14以具有數個圖案溝槽 17。圖案溝槽17穿過圖案界定層14且曝露覆蓋層13或反射結構12之部分,且用於在一微影程序中在一半導體晶圓上界定數個通孔及/或溝槽線。在一些實施例中,圖案界定層14係半暗以容許曝光光射入至圖案界定層14中且由反射結構12反射以曝露塗覆於半導體晶圓上之光阻劑材料。在本文中,圖案界定層14係「半暗」,此意謂一圖案界定層14相對於曝光光之透射率大於0%(即,圖案界定層相對於曝光光半透明);在一些實施例中,圖案界定層14相對於曝光光(例如EUV光)之透射率大於50%;在一個較佳實施例中,圖案界定層14相對於曝光光之透射率在約60%至約70%之一範圍內。圖案界定層14之材料之非限制性實例包含鉬(Mo)、鈮(Nb)、鈀(Pd)或釕(Ru)或其等之一混合物。
吸收層15安置於反射結構12上且覆蓋位於光罩10之周邊區域101中之覆蓋層13之一部分。在一些實施例中,吸收層15對曝光光具有大於圖案界定層14對相同曝光光之一吸收率之一吸收率。例如,吸收層15對曝光光具有至少50%之一吸收率,且圖案界定層14對相同曝光光具有小於50%之一吸收率。在一些實施例中,吸收層15對EUV範圍內之曝光光之反射率係約2.7%。
吸收層15由不同於圖案界定層14之材料之材料製成。在一個實施例中,吸收層15包含基本上無氧之鉭基材料,諸如矽化鉭基材料(TaSi)、氮化硼化鉭基材料(TaBN)及氮化鉭基材料(TaN)。在另一實施例中,吸收層15包含鉭基及氧基材料,諸如氧化及氮化鉭基及矽基材料(TaSiON)、氧化鉭硼基材料(TaBO)及氧化及氮化鉭基材料(TaON)。吸收層15可無圖案(即,無特徵用於在半導體晶圓上形成電路圖案)且吸收層15之一頂面151係平面的。一或多個對準標記可形成於吸收層15中。
在一些實施例中,吸收層15之頂面151及圖案界定層14之頂面141位於相同平面處。即,吸收層15及圖案界定層14具有相對於反射結構12之相同高度。在一些實施例中,吸收層15在將光罩10儲存於一光罩艙中期間用作光罩10之一支撐面。藉由形成具有與頂面151相同之位凖之頂面141,可保護形成於圖案界定層14中之精細特徵免於歸因於與光罩艙之一內表面碰撞而受損。
在一些實施例中,框架溝槽19藉由向下蝕刻吸收層15、覆蓋層13及反射結構12來形成以曝露在反射結構12下方具有低反射率之基板11。當一半導體晶圓上之晶粒依一密集間距配置時,一個曝光攝影之一影像邊界將與相鄰晶粒之部分重疊,此不利地影響形成於晶粒之邊緣處之特徵之臨界尺寸及對比。為在微影程序期間減少此非想要曝光光,移除黑色邊界區域102中之反射結構12,使得很少或沒有曝光光在黑色邊界區域102中自光罩10反射。在一些實施例中,光罩10之黑色邊界區域102處之一反射率(例如)小於或等於約0.5%,或尤其小於或等於約0.05%。在一些實施例中,黑色邊界區域102呈框形且包圍圖案區域103。在一些實施例中,框架溝槽19之一寬度在(例如)自約2mm至約3mm之範圍內。
圖2展示根據本發明之一些實施例之用於在一曝光設備1中使用光罩10執行一微影程序之一方法之一個階段。為簡潔起見,省略曝光設備1中用於透射曝光光之光學元件。在一些實施例中,當光罩10轉印至曝光設備1中時,光罩10由曝光設備1之光罩卡盤8固持,其中圖案界定層14之頂面141及吸收層15之頂面151面向下。光罩10可平行於位於下方之一半導體晶圓5放置。
在微影程序之前,半導體晶圓5可塗覆有包含光敏材料之 一光阻劑層6。光阻劑層6可為正性或替代地負性。在所描繪之實施例中,光阻劑層6係一負性光阻劑,其中曝露於光之光阻劑之一部分變得不溶於一光阻劑顯影劑,且光阻劑之一未曝露部分由光阻劑顯影劑溶解。負性光阻劑之材料之一非限制性實例係金屬氧化物阻劑。
為實施一微影程序,一曝光光9(諸如EUV光)自一光源(圖中未展示)產生且投影於光罩10之圖案界定層14上。曝光光9接著由反射結構12反射且將圖案自光罩10轉印至塗覆於一半導體晶圓5上之光阻劑層6。
具體而言,如圖2中所展示,歸因於圖案界定層14之一透明性,曝光光9之一部分入射至圖案界定層14且由圖案界定層14下方之反射結構12反射以產生具有與圖案界定層14相關聯之圖案之一反射光Ta。此外,曝光光9之另一部分穿過至圖案溝槽17且由反射結構12反射以產生具有與圖案界定層14之圖案溝槽17相關聯之圖案之一反射光Tb。
曝光光9可在穿過圖案界定層14時經歷一相位變化,此引起反射光Ta與反射光Tb之間的一相位差。圖2中之圖式(i)展示反射光Ta及反射光Tb在其等離開光罩10時之一波相位。如圖式(i)中所展示,反射光Ta之相位不同於反射光Tb之相位。反射光Ta與反射光Tb之間的相位差可取決於反射光Ta在圖案界定層14中之一行進長度而變動。在一些實施例中,圖案界定層14之一厚度經選擇使得反射光Ta與反射光Tb之間的一相位差在自約π至約1.3π之範圍內。
由於反射光Ta與反射光Tb之間的相位差,發生波干涉。因此,如圖2中之圖式(ii)中所展示,與圖案溝槽17之一者相關聯之光阻劑層6之一區域602由具有最低強度之光曝露。相比而言,與圖案界定層14相 關聯之光阻劑層6之一區域601由具有一較大強度之光曝露。在其中光阻劑層6係負性之組態中,曝露於區域602上之曝光光之強度較低,使得光阻劑層6之化學結構不改變且因此在隨後顯影程序之後移除。
下文將描述展現類似於光罩10之相位移現象之相位移現象之光罩之各種實例。在以下描述中,為簡潔起見,將不重複類似於圖1中所展示之光罩10之結構特徵之光罩之結構特徵。
圖3A展示根據本發明之一些實施例之作為一負性光阻劑自用於界定一光阻劑層6上之垂直互連通導孔之一光罩10a曝露於一輻射光之一微影程序之一個階段之一示意圖。應暸解,圖式中光罩10a上之圖案溝槽及光阻劑層6上之開口係為了解釋本發明而繪示且未必按比例繪製以滿足實際條件。在一個實施例中,光罩10a上圖案溝槽之尺寸比光阻劑層6上開口之尺寸大四倍。在一些實施例中,光罩10a具有用半暗材料形成之一圖案界定層14a,且數個圖案溝槽17a形成於圖案界定層14a中。如自圖3A之一仰視圖所見,圖案溝槽17a可具有一正方形形狀,其具有在自約60nm至約100nm之範圍內之一寬度。彼此緊鄰配置之兩個圖案溝槽17a之間的一間距W1在自20nm至數微米之範圍內。為在具有一負性之光阻劑層6上形成與圖案溝槽17a相關聯之數個開口61,將攜帶光罩10a之圖案之一曝光光投影於光阻劑層6上。其後,光阻劑層6經受一顯影液以移除未由曝光光曝露或由具有低於觸發光阻劑層6中之一化學結構變化所需之一強度之一強度之光曝露之光阻劑層6之一部分。
與使用一習知暗場二元光罩(即,圖案溝槽形成於在一光罩之一圖案區域中形成之一不透明吸收材料中)界定一正性光阻劑上之通孔之一微影程序相比,圖3A中所展示之微影程序具有一更小阻劑模糊。根 據一個模擬結果,前一程序具有3.5nm之一阻劑模糊且後一程序具有小於2nm之一阻劑模糊。因此,具有一小間距(例如,小於40nm)之通孔甚至可使用一單一光罩同時形成,且因此顯著減少製造時間及成本。另外,歸因於圖案界定層14a之半暗性,產生曝光光之一輸出功率可比習知二元光罩減小,且因此降低此微影程序之功率需求。此外,圖3A中所展示之微影程序展現一較佳臨界尺寸均勻性及一較佳孔圓度。
與使用一習知明場二元光罩(即,點狀吸收結構形成於一光罩之一圖案區域中之一反射結構上)界定一負性光阻劑上之通孔之一微影程序相比,圖3A中所展示之微影程序呈現一更佳影像對比。因此,由光罩10a形成之開口61具有一更佳臨界尺寸均勻性及一更佳孔圓度。另外,與使用明場二元光罩之一微影程序相比,圖3A中所展示之微影程序具有更好抑制歸因於粒子或殘留物(諸如累積於光罩10a上之圖3A中所展示之粒子4)之影像缺陷之一能力。
圖3B展示根據本發明之一些實施例之作為一負性光阻劑自用於界定一光阻劑層6上之溝槽線之一光罩10b曝露於輻射光之一微影程序之一個階段之一示意圖。應暸解,光罩10b上之圖案溝槽及光阻劑層6上之溝槽係為了解釋本發明而繪示且未必按比例繪製以滿足實際條件。在一個實施例中,光罩10b上圖案溝槽之尺寸比光阻劑層6上溝槽之尺寸大四倍。在一些實施例中,光罩10b具有用半暗材料形成之一圖案界定層14b,且數個圖案溝槽17b形成於圖案界定層14b中。如自圖3B之一仰視圖所見,圖案溝槽17b可具有一矩形形狀。彼此緊鄰配置之兩個圖案溝槽17b之間的一間距W2在自12nm至數微米之範圍內。為在具有負性之光阻劑層6上形成與圖案溝槽17b相關聯之數個溝槽62,將攜帶光罩10b之圖案 之一曝光光投影於光阻劑層6上。其後,光阻劑層6經受一顯影液以移除未由曝光光曝露或由具有低於觸發光阻劑層6中之一化學結構變化所需之一強度之一強度之光曝露之光阻劑層6之一部分。
在一習知微影程序中,溝槽線藉由以下階段形成:藉由使用一暗場二元光罩界定一負性光阻劑上之圖案來在一基板上形成光阻劑線;在基板上沈積展現不同於光阻劑線之蝕刻特性之一反向材料;及回蝕光阻劑線。然而,在上述微影程序中,圖案線可在沈積反向材料期間或沈積反向材料之前塌陷。相反地,圖3B中所展示之微影程序有效緩解圖案碰撞之擔憂,因為溝槽線可直接形成而不執行反向程序。
與使用一習知明場二元光罩在一負性光阻劑上界定溝槽線之一微影程序相比,圖3B中所展示之微影程序呈現一更佳影像對比。因此,由光罩10b形成之開口62具有一更佳線寬粗糙度(LWR)及臨界尺寸均勻性。另外,與使用明場二元光罩之一微影程序相比,圖3B中所展示之微影程序具有更好抑制歸因於累積於光罩10b上之粒子或殘留物(諸如圖3B中所展示之粒子4)之影像缺陷之一能力。
本發明之發明者發現,當反射光Ta(圖2)之強度較接近反射光Tb(圖2)之強度時,反射光Ta及反射光Tb之波干涉變得放大。換言之,圖案界定層14之透射率與沈積於圖案溝槽17中之一介質之透射率之比率越大,投影於半導體晶圓上之圖案之影像對比越好。一般而言,EUV微影程序在一超真空環境中執行,且因此圖案溝槽17沒有氣體(即,沈積於圖案溝槽17中之一介質之透射率約等於1)。為提高圖案界定層14之透射率與沈積於圖案溝槽17中之一介質之透射率之比率,本發明之另一目的係提供具有定位於圖案界定層14中之圖案溝槽中之一或多個移相器之一光 罩,藉此增強反射光Ta及反射光Tb之干涉。
圖4展示類似於圖1中之光罩10之一光罩10c之一橫截面圖,且光罩10c與光罩10之間的差異包含圖案溝槽17填充有數個移相器18。在一些實施例中,光罩10c之圖案區域103中之反射結構12之一頂面之整個區由反射結構12之第一區域R1及第二區域R2組成。如圖4中所展示,圖案界定層14覆蓋反射結構12之第一區域R1。圖案界定層14可安置於反射結構12之第一區域R1上,且覆蓋層13定位於反射結構12與圖案界定層14之間。移相器18位於圖案溝槽17中且覆蓋反射結構12之第二區域R2。移相器18可安置於反射結構12之第二區域R2上,且覆蓋層13定位於反射結構12與移相器18之各者之間。
在一些實施例中,圖案界定層14由一第一材料形成,且移相器18由不同於第一材料之一第二材料形成。表1及表2中展示根據本發明之一些實施例之第一材料及第二材料之一例示性組合。
Figure 110143187-A0305-02-0016-1
Figure 110143187-A0305-02-0017-2
Figure 110143187-A0305-02-0017-3
表1表明,當圖案溝槽17填充有包含選自Zr、La、Si、C或B之材料之移相器18時,圖案界定層14與移相器18之透射率比大於圖案界定層14與真空(即,無移相器18形成於圖案溝槽17中)之透射率比。表2表明,當圖案溝槽17填充有包含選自La、Si、SiC或pSi之材料之移相器18時,圖案界定層14與移相器18之透射率比大於圖案界定層14與真空之透射率比。圖案界定層14與移相器18之透射率比之提高表示由光罩10c反射之光之波干涉增強且影像對比顯著提高。例如,如圖5中所展示,當光罩10c用於一微影程序中時,曝光光9之一部分入射至圖案界定層14且由圖案界定層14下方之反射結構12反射以產生具有與圖案界定層14相關聯之圖案之一反射光Ta。此外,曝光光9之另一部分入射至移相器18且由移相器18下方之反射結構12反射以產生具有與移相器18相關聯之圖案之一反 射光Tc。
曝光光9在穿過圖案界定層14及移相器18時經歷一相位變化,此引起反射光Ta與反射光Tc之間的一相位差。具體而言,圖5中之圖式(i)展示反射光Ta及反射光Tc在其等離開光罩10c時之一波相位,且圖5中之圖式(ii)展示投影於半導體晶圓5上之曝光光9之一強度。如圖5中之圖式(i)中所展示,反射光Ta之相位不同於反射光Tc之相位,且反射光Tc之相位之振幅大於反射光Ta之相位之振幅。因此,如圖5中之圖式(ii)中所展示,與移相器18之一者相關聯之光阻劑層6之一區域602由具有最低強度之光曝露。相比而言,與圖案界定層14相關聯之光阻劑層6之一區域601由具有一最大強度之光曝露。此外,受反射光Tc之強振幅影響,自移相器18透射之曝光光Tc展現比自圖案界定層14透射之曝光光Ta之強度更低之一強度,此意謂由光罩10c反射之光之干涉增強且因此顯著提高一影像對比。
在一些實施例中,圖案界定層14(第一材料)及移相器18(第二材料)兩者係由一個以上元素原子形成之合金。例如,圖案界定層14之實例可為Ni-Al。選擇合金作為第二材料(移相器)可為如此,只要:(1)第一材料(圖案界定層)與第二材料之透射率比大於第一材料與真空之透射率比;及(2)其他光學參數及其他相關參數(第一材料及第二材料之厚度)之組合將使穿過第一材料及第二材料之光之相位差在自約π至約1.3π之範圍內且較佳為1.2π。
圖案界定層可為單一元素或合金,且移相器亦可為單一元素或合金。根據圖案界定層及移相器,整個系統可為元素與合金之一組合。
表1及表2亦表明,在諸如Mo/La、Mo/Si及Nb/La之一些特定組合中,圖案界定層14及移相器18之厚度約等於50nm。具有此薄厚度之圖案界定層14及移相器18亦可促進防止一陰影效應及提高光罩10c之光反射率。即,半導體晶圓之產品良率可提高。在一些實施例中,圖案界定層14及移相器18具有約44nm至約53nm之一厚度。
除上述優點之外,在圖案溝槽17中沈積移相器18亦藉由減少曝光設備之功耗且降低相關聯成本來提供益處。根據一個模擬結果,當圖案界定層14由Mo製成且移相器18由矽製成時,有效曝露光阻劑之一單一曝光攝影之功率比沒有移相器18沈積於圖案溝槽17中之一光罩降低3%自約36.2mj/cm2至約35.0mj/cm2
應注意,為利用相位移光罩之上述益處,由光罩產生之相位差可由曝光光之波長判定。在本發明中,來自圖案界定層14及移相器18之光發射可具有自1π至1.3π之範圍內之一相位差,且相位移光罩之相關參數展示於下表3中。
Figure 110143187-A0305-02-0019-4
Figure 110143187-A0305-02-0020-6
圖6A至圖6L展示繪示根據本發明之一個實施例之用於形成一光罩10d(圖6K)之一方法的一系列示意性橫截面圖。可在方法之前、方法期間及方法之後提供額外階段,且所描述之一些階段可由額外實施例替換、消除或移動。方法係一實例且不意欲限制本發明超出申請專利範圍中明確敘述之範圍。
參考圖6A,用於形成一光罩10d之方法包含在一基板11上依序形成一反射結構12、一覆蓋層13及一圖案界定層14d。圖案界定層14d可選自鉬(Mo)、鈮(Nb)、鈀(Pd)、釕(Ru)或諸如Ni-Al之合金。反射結構12、覆蓋層13及圖案界定層14d可藉由化學氣相沈積(CVD)、物理氣相沈積(PVD)、原子層沈積(ALD)及/或另一或另一些適合程序形成。在一些實施例中,反射結構12係包括交替Mo及Si層之一多層,且各對Mo及Si層具有厚度約為3nm之一Mo層及厚度約為4nm之一Si層。覆蓋層13包含釕(Ru)、RuSi或其等之一組合且具有在約2nm至約20nm之間的範圍內之一厚度。圖案界定層14d包含鉬(Mo)或鈮(Nb)且具有在約40nm至約130nm之間的範圍內之一厚度。
仍參考圖6A,用於形成光罩10d之方法進一步包含相對於周邊區域101及黑色邊界區域102圖案化圖案界定層14d之一區域。圖案化程序可包含藉由一適合程序(諸如旋塗)在圖案界定層14d上形成一層光阻劑21及接著曝露及顯影光阻劑21層以形成一光阻劑特徵210。光阻劑特徵210可為一矩形形狀以相對於周邊區域101及黑色邊界區域102曝露圖案界 定層14d之一頂面。
用於形成光罩10d之方法進一步包含執行一蝕刻程序以將光阻劑特徵210之圖案轉印至下伏圖案界定層14d。在蝕刻程序中,移除未由光阻劑21覆蓋之圖案界定層14d之部分以在其中形成一開口140d,如圖6B中所展示。可在圖案界定層14d之蝕刻程序之後移除光阻劑21層。
參考圖6C,用於形成光罩10d之方法進一步包含藉由化學氣相沈積(CVD)、物理氣相沈積(PVD)、原子層沈積(ALD)及/或另一或另一些適合程序在圖案界定層14d上形成一吸收材料150d。吸收材料150d可包含矽化鉭基材料、氮化硼化鉭基材料及氮化鉭基材料。
在形成吸收材料150d之後,如圖6D中所展示,執行一移除程序以移除在圖案界定層14d及開口140d上方之吸收材料150d之一部分以形成吸收層15。移除程序可包含一化學機械拋光(CMP)程序或一乾式蝕刻程序。在移除程序之後,開口140d中之吸收層15及圖案界定層14d具有相對於反射結構12之相同高度。圖案界定層14d之一頂面141d實質上與吸收層15之頂面151共面,使得圖案界定層14d及吸收層15構成光罩10d之一平面(均勻)上表面。
參考圖6E,用於形成光罩10d之方法進一步包含圖案化位於圖案區域103中之圖案界定層14d之一部分。圖案化程序可包含藉由一適合程序(諸如旋塗)在圖案界定層14d及吸收層15上形成一層光阻劑22及接著曝露及顯影光阻劑22層以形成光阻劑特徵220。光阻劑特徵220可根據一積體電路之一層之一電路圖案圖案化。在一些實施例中,光阻劑特徵220根據一積體電路之通孔或溝槽線圖案化。
用於形成光罩10d之方法進一步包含執行一蝕刻程序以將 光阻劑特徵220之圖案轉印至下伏圖案界定層14d。在蝕刻程序中,移除未由光阻劑22覆蓋之圖案界定層14d之部分以在其中形成數個圖案溝槽17d,如圖6F中所展示。可在圖案界定層14d之蝕刻程序之後移除光阻劑22層。
在形成圖案溝槽17d之後,用於形成光罩10d之方法進一步包含在圖案溝槽17d中形成數個移相器。在一些實施例中,移相器藉由一自組裝單層(SAM)程序及一區域選擇性沈積(ASD)程序形成於圖案溝槽17d中。
具體而言,在SAM程序中,如圖6G中所展示,抑制劑23選擇性形成於圖案界定層14d及吸收層15上。即,抑制劑23覆蓋圖案界定層14d之頂面141d及吸收層15之頂面151且留下圖案溝槽17d未覆蓋。抑制劑23包含可抑制圖案界定層14d及吸收層15上之後續沈積之一材料。在一些實施例中,抑制劑23可藉由液體及/或氣相沈積程序形成。在ASD程序中,兩個化學品在圖案界定層14d及吸收層15上交替供應多次。由於抑制劑23對ASD程序之化學品具有惰性,因此防止化學品接合至抑制劑23。因此,如圖6H中所展示,移相器18d可選擇性形成於圖案溝槽17d中,移相器材料之一薄膜180d可在ASD程序之後形成於圖案界定層14d及吸收層15上。其後,移除抑制劑形成及抑制劑上之薄膜180d,如圖6I中所展示。在一些實施例中,移相器18d選自包含Zr、La、Si、C或B之材料。
在形成移相器18d之後,用於形成光罩10d之方法進一步包含在光罩10d之一黑色邊界區域102中形成一框架溝槽19。在一些實施例中,如圖6J中所展示,框架溝槽19之形成可包含藉由一適合程序(諸如旋塗)在圖案界定層14d及吸收層15上形成一層光阻劑24及接著曝露及顯影光 阻劑24層以形成光阻劑特徵240。光阻劑特徵240可具有一矩形形狀以曝露位於黑色邊界區域102中之吸收層15之一頂面。其後,執行一蝕刻程序以將光阻劑特徵240之圖案轉印至下伏吸收層15。在蝕刻程序中,移除未由光阻劑24覆蓋之吸收層15、覆蓋層13及反射結構12以在其中形成框架溝槽19,如圖6K中所展示。光阻劑24層可在用於形成框架溝槽19之蝕刻程序之後移除。在一些實施例中,反射結構12完全移除且停止於基板11之表面上。
在一些實施例中,圖案界定層14d中之所有開口或圖案溝槽17填充有移相器18d,且圖案區域103中之光罩10d之一上表面105d係一平坦表面。在本發明中,「平坦表面」不限於一理想平坦表面,且亦包含具有不大於移相器18d之一高度之5%之一高度變動之一表面。例如,如圖6L中所展示,移相器18d之一者之一頂面181d位於不同於圖案界定層14d之一頂面141d之一位凖處。移相器18d之頂面181d可低於圖案界定層14d之頂面141d。移相器18d之頂面181d與圖案界定層14d之頂面141d之一高度差H1可在移相器18d之高度之約3%至約4%之一範圍內。在所描繪之實施例中,移相器18d之頂面181d與圖案界定層14d之頂面141d之高度差H1係約2nm。在一些實施例中,兩個移相器18d具有相對於圖案界定層14d之頂面141d之不同高度,且因此光罩10d之上表面105d之不同區域具有不同高度變動。
圖7A至圖7G展示繪示根據本發明之一個實施例之用於形成一光罩10e(圖7F)之一方法的一系列示意性橫截面圖。可在方法之前、方法期間及方法之後提供額外階段,且所描述之一些階段可由額外實施例替換、消除或移動。方法係一實例且不意欲限制本發明超出申請專利範圍 中明確敘述之範圍。
參考圖7A,用於形成光罩10e之方法包含提供一基板11及形成於其上之一反射結構12、一覆蓋層13、一圖案界定層14e、一吸收層15及一緩衝層25。圖案界定層14e可選自鉬(Mo)、鈮(Nb)、鈀(Pd)、釕(Ru)或諸如Ni-Al之合金。吸收層15圍繞圖案界定層14e形成,且圖案化圖案界定層14e及緩衝層25。用於形成吸收層15及圖案化圖案界定層14e及緩衝層25之方法類似於圖6A至圖6F中所繪示之階段,因此,為簡潔起見,將不再重複。
參考圖7B,在圖案化圖案界定層14e及緩衝層25之後,用於形成光罩10e之方法包含藉由化學氣相沈積(CVD)、物理氣相沈積(PVD)、原子層沈積(ALD)及/或另一或另一些適合程序在緩衝層25上形成一移相器材料180e。移相器材料180e可包含例如選自Zr、La、Si、C或B之材料。在形成移相器材料180e之後,圖案界定層14e中之圖案溝槽17e填充有移相器材料180e。
其後,執行一移除程序以移除在緩衝層25及圖案溝槽17e上方之移相器材料180e之一部分以在圖案溝槽17e中形成數個移相器18e,諸如Zr、La、Si、C、B或其合金。在一些實施例中,如圖7C中所展示,進行移除程序。移除程序可包含一蝕刻程序、一CMP程序或一蝕刻程序及一CMP程序之一組合。在一些實施例中,首先執行一蝕刻程序以移除移相器材料180e之一部分以曝露緩衝層25。在蝕刻程序期間,緩衝層25可用作一停止層以停止其上之蝕刻程序。接著,提供一CMP程序以移除緩衝層25及圖案溝槽17e上之剩餘移相器材料180e以在圖案溝槽17e中形成移相器18e,如圖7D中所展示。在一些替代實施例中,首先執 行一CMP程序直至曝露緩衝層25,且接著施加一蝕刻程序以移除圖案界定層14e上之緩衝層25及移相器材料180e。
在形成移相器18e之後,用於形成光罩10e之方法進一步包含在光罩10e之一黑色邊界區域102中形成一框架溝槽19。框架溝槽19之形成可包含藉由一適合程序(諸如旋塗)在圖案界定層14e及吸收層15上形成一層光阻劑26及接著曝露及顯影光阻劑26層以形成光阻劑特徵260,如圖7E中所展示。光阻劑特徵260可具有一環形形狀以曝露位於黑色邊界區域102中之吸收層15之一頂面。其後,執行一蝕刻程序以將光阻劑特徵260之圖案轉印至下伏吸收層15。在蝕刻程序中,如圖7F中所展示,移除未由光阻劑26覆蓋之吸收層15、覆蓋層13及反射結構12以在其中形成框架溝槽19。光阻劑26層可在用於形成框架溝槽19之蝕刻程序之後移除。在另一實施例中,反射結構12完全移除且停止於基板11之表面上。
在一些實施例中,圖案界定層14e中之所有開口或圖案溝槽17e填充有移相器18e,且因此圖案區域103中之光罩10e之整個上表面105e係一平坦表面。在一些實施例中,如圖7G中所展示,移相器18e之一者之一頂面181e及圖案界定層14e之一頂面141e位於相同位凖處。即,移相器18e之頂面181e與圖案界定層14e之頂面141e之間無高度差。在一些替代實施例中,可在移相器材料180e之移除程序期間形成一凹槽,且因此移相器18e之一者之頂面181e可低於圖案界定層14e之頂面141e。移相器18e之頂面181e與圖案界定層14e之頂面141e之一高度差可在移相器18e之高度之約3%至約4%之一範圍內。
在一些實施例中,如圖8F中所展示,一光罩10f之一周邊區域101中之一吸收層15f由與光罩10f之一圖案區域103中之一圖案界定層 14f相同之材料製成。圖8A至圖8F展示繪示根據本發明之一個實施例之用於形成光罩10f(圖8F)之一方法的一系列示意性橫截面圖。可在方法之前、方法期間及方法之後提供額外步驟,且所描述之一些步驟可由額外實施例替換、消除或移動。方法係一實例且不意欲限制本發明超出申請專利範圍中明確敘述之範圍。
參考圖8A,用於形成一光罩10f之方法包含在一基板11上依序形成一反射結構12、一覆蓋層13及一半透光材料140f。反射結構12、覆蓋層13及半透光材料140f可藉由化學氣相沈積(CVD)、物理氣相沈積(PVD)、原子層沈積(ALD)及/或另一或另一些適合程序形成。在一些實施例中,反射結構12係包括交替Mo及Si層之一多層。覆蓋層13包含釕(Ru)、RuSi或其等之一組合且具有在約2nm至約20nm之間的範圍內之一厚度。半透光材料140f包含鉬(Mo)或鈮(Nb)且具有在約40nm至約130nm之間的範圍內之一厚度。
參考圖8B,用於形成光罩10f之方法進一步包含圖案化位於圖案區域103中之半透光材料140f之一部分。圖案化程序可包含藉由一適合程序(諸如旋塗)在半透光材料140f上形成一層光阻劑22及接著曝露及顯影光阻劑22層以形成光阻劑特徵220。光阻劑特徵220可根據一積體電路之一層之一電路圖案圖案化。在一些實施例中,光阻劑特徵220根據一積體電路之通孔或溝槽線圖案化。
用於形成光罩10f之方法進一步包含執行一蝕刻程序以將光阻劑特徵220之圖案轉印至下伏半透光材料140f。在蝕刻程序中,移除未由光阻劑22覆蓋之半透光材料140f之部分以在其中形成數個圖案溝槽17f,如圖8C中所展示。光阻劑22層可在半透光材料140f之蝕刻程序之後 移除。
在形成圖案溝槽17f之後,用於形成光罩10f之方法進一步包含在圖案溝槽17f中形成數個移相器18f,如圖8D中所展示。移相器18f可藉由一自組裝單層(SAM)程序及一區域選擇性沈積(ASD)程序形成於圖案溝槽17f中,如同圖6G至圖6I中所展示之程序。替代地,移相器18f可藉由在圖案界定層14f上沈積一移相器材料且執行一移除程序來形成於圖案溝槽17f中,如同圖7A至圖7D中所展示之程序。
在形成移相器18f之後,用於形成光罩10f之方法進一步包含在光罩10f之一黑色邊界區域102中形成一框架溝槽19。在一些實施例中,如圖8E中所展示,框架溝槽19之形成可包含藉由一適合程序(例如旋塗)在半透光材料140f上形成一層光阻劑24及接著曝露及顯影光阻劑24層以形成光阻劑特徵240。光阻劑特徵240可具有一矩形形狀以曝露位於黑色邊界區域102中之半透光材料140f之一頂面。其後,執行一蝕刻程序以將光阻劑特徵240之圖案轉印至下伏半透光材料140f。在蝕刻程序中,移除未由光阻劑24覆蓋之半透光材料140f、覆蓋層13及反射結構12以在其中形成框架溝槽19,如圖8F中所展示。在形成框架溝槽19之後,黑色邊界區域102中之半透光材料140f指稱吸收層15,且周邊區域101中之半透光材料140f指稱圖案界定層14f。光阻劑24層可在用於形成框架溝槽19之蝕刻程序之後移除,且用於形成光罩10f之程序完成。
因此,在描述本發明之若干說明性實施例之後,熟習技術者將易於瞭解,可在所附申請專利範圍之範疇內製造及使用其他實施例。由本發明涵蓋之揭示內容之諸多優點已在以上描述中闡述。然而,應暸解,本發明在諸多方面僅供說明。在不超出本發明之範疇之情況下,可對 細節進行改變,尤其在部件之形狀、大小及配置方面。當然,本發明之範疇以表達隨附申請專利範圍之語言界定。
10:光罩
11:基板
12:反射結構
13:覆蓋層
14:圖案界定層
15:吸收層
17:圖案溝槽
19:框架溝槽
101:周邊區域
102:黑色邊界區域
103:圖案區域
111:頂面
112:底面
113:側面
121:第一層
122:第二層
141:頂面
151:頂面
R1:第一區域
R2:第二區域

Claims (20)

  1. 一種光罩,其包括: 一基板; 一反射結構,其安置於該基板上; 一圖案界定層,其包括一第一材料,沈積於該反射結構上,其中該圖案界定層包括一圖案溝槽;及 一移相器,其包括一第二材料,安置於該圖案溝槽中,其中該第二材料之一透射率不同於該第一材料之一透射率。
  2. 如請求項1之光罩,其中該第一材料之該透射率及該第二材料之該透射率兩者大於50%。
  3. 如請求項1之光罩,其中該第一材料之該透射率與該第二材料之一透射率之一比率在約0.62至約0.98之一範圍內。
  4. 如請求項1之光罩,其中該第一材料及該第二材料經選擇使得自該移相器透射之一曝光光展現比自該圖案界定層透射之曝光光之強度更低之一強度。
  5. 如請求項1之光罩,其中該第一材料包括鉬(Mo)或鈮(Nb)。
  6. 如請求項1之光罩,其中該第二材料包括鑭(La)或矽(Si)。
  7. 如請求項1之光罩,其中自該圖案界定層透射之一曝光光及自該移相器透射之一曝光光具有在自π至1.3π之範圍內之一相位差。
  8. 如請求項1之光罩,其中該圖案界定層及該移相器共同形成用於接收一曝光光之該光罩之一上表面,且該上表面實質上為一平面表面。
  9. 如請求項1之光罩,其中該圖案界定層之一厚度與該移相器之一厚度之間的一差小於2 nm。
  10. 一種光罩,其具有一黑色邊界區域及由該黑色邊界區域包圍之一圖案區域,該光罩包括: 一基板; 一反射結構,其沈積於該基板上,其中一框架溝槽相對於該光罩之該黑色邊界區域形成於該反射結構中; 一圖案界定層,其相對於該光罩之該圖案區域沈積於該反射結構上且具有形成於該圖案界定層中之一圖案溝槽;及 一移相器,其沈積於該圖案溝槽中,其中該光罩相對於該圖案區域之一上表面,由該圖案界定層及該移相器共同界定,係一平坦表面。
  11. 如請求項10之光罩,其中: 該圖案界定層包括一第一材料;且 該移相器包括一第二材料,其中該第二材料之一透射率不同於該第一材料之一透射率。
  12. 如請求項10之光罩,其中該圖案界定層之一透射率與該移相器之一透射率之一比率在約0.62至約0.98之一範圍內。
  13. 如請求項10之光罩,其中該圖案界定層之一透射率及該移相器之一透射率兩者大於50%。
  14. 如請求項10之光罩,其中自該圖案界定層透射之一曝光光及自該移相器透射之一曝光光具有在自π至1.3π之範圍內之一相位差。
  15. 如請求項10之光罩,其中該圖案界定層具有約44 nm至約53 nm之一厚度。
  16. 一種用於製造一光罩之方法,其包括: 提供一基板; 在該基板上形成一反射結構; 在該反射結構上沈積包括一第一材料之一圖案界定層; 在該圖案界定層中形成一圖案溝槽; 在該圖案溝槽中沈積包括一第二材料之一移相器,其中該第二材料之一透射率不同於該第一材料之一透射率。
  17. 如請求項16之方法,其中該第一材料之該透射率及該第二材料之該透射率兩者大於50%。
  18. 如請求項16之方法,其中該第一材料包括鉬(Mo)或鈮(Nb)。
  19. 如請求項16之方法,其中該第二材料包括鑭(La)或矽(Si)。
  20. 如請求項16之方法,其中該圖案界定層之一厚度與該移相器之一厚度之間的一差小於2 nm。
TW110143187A 2020-11-20 2021-11-19 用於微影的相位移光罩及用於製造其的方法 TWI816234B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US202063116423P 2020-11-20 2020-11-20
US63/116,423 2020-11-20

Publications (2)

Publication Number Publication Date
TW202232226A TW202232226A (zh) 2022-08-16
TWI816234B true TWI816234B (zh) 2023-09-21

Family

ID=81656981

Family Applications (1)

Application Number Title Priority Date Filing Date
TW110143187A TWI816234B (zh) 2020-11-20 2021-11-19 用於微影的相位移光罩及用於製造其的方法

Country Status (7)

Country Link
US (1) US20220163881A1 (zh)
EP (1) EP4248270A1 (zh)
JP (1) JP2023550464A (zh)
KR (1) KR20230098678A (zh)
CN (1) CN116635784A (zh)
TW (1) TWI816234B (zh)
WO (1) WO2022109169A1 (zh)

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6361904B1 (en) * 2000-06-14 2002-03-26 Taiwan Semiconductor Manufacturing Company Method for repairing the shifter layer of an alternating phase shift mask
TW497165B (en) * 1999-06-30 2002-08-01 Hitachi Ltd Method for manufacturing semiconductor integrated circuit device, optical mask used therefor, method for manufacturing the same, and mask blanks used therefor
TW201122720A (en) * 2009-12-21 2011-07-01 Hoya Corp Method of manufacturing optical element, and optical element
CN109581804A (zh) * 2017-09-29 2019-04-05 台湾积体电路制造股份有限公司 制造极紫外光掩模的方法

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3219502B2 (ja) * 1992-12-01 2001-10-15 キヤノン株式会社 反射型マスクとその製造方法、並びに露光装置と半導体デバイス製造方法
US20050100798A1 (en) * 2003-10-15 2005-05-12 Taiwan Semiconductor Manufacturing Company, Ltd. Device and method for providing wavelength reduction with a photomask
US20060083997A1 (en) * 2003-10-15 2006-04-20 Taiwan Semiconductor Manufacturing Company, Ltd. Photomask with wavelength reduction material and pellicle
KR20080001475A (ko) * 2006-06-29 2008-01-03 주식회사 하이닉스반도체 정렬 마크를 포함하는 무크롬 포토 마스크 및 제조 방법
KR101361130B1 (ko) * 2007-12-26 2014-02-12 삼성전자주식회사 반사형 포토마스크 및 상기 반사형 포토마스크의 층 두께최적화 방법
JP2018044979A (ja) * 2016-09-12 2018-03-22 大日本印刷株式会社 反射型マスクおよびその製造方法
US11086215B2 (en) * 2017-11-15 2021-08-10 Taiwan Semiconductor Manufacturing Co., Ltd. Extreme ultraviolet mask with reduced mask shadowing effect and method of manufacturing the same
EP3486721A1 (en) * 2017-11-17 2019-05-22 IMEC vzw Mask for extreme-uv lithography and method for manufacturing the same
JP6526938B1 (ja) * 2017-11-24 2019-06-05 Hoya株式会社 マスクブランク、位相シフトマスクおよび半導体デバイスの製造方法
US11048158B2 (en) * 2018-04-18 2021-06-29 Taiwan Semiconductor Manufacturing Co., Ltd. Method for extreme ultraviolet lithography mask treatment
JP6927177B2 (ja) * 2018-09-26 2021-08-25 信越化学工業株式会社 位相シフト型フォトマスクブランク及び位相シフト型フォトマスク

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW497165B (en) * 1999-06-30 2002-08-01 Hitachi Ltd Method for manufacturing semiconductor integrated circuit device, optical mask used therefor, method for manufacturing the same, and mask blanks used therefor
US6361904B1 (en) * 2000-06-14 2002-03-26 Taiwan Semiconductor Manufacturing Company Method for repairing the shifter layer of an alternating phase shift mask
TW201122720A (en) * 2009-12-21 2011-07-01 Hoya Corp Method of manufacturing optical element, and optical element
CN109581804A (zh) * 2017-09-29 2019-04-05 台湾积体电路制造股份有限公司 制造极紫外光掩模的方法

Also Published As

Publication number Publication date
US20220163881A1 (en) 2022-05-26
CN116635784A (zh) 2023-08-22
KR20230098678A (ko) 2023-07-04
WO2022109169A1 (en) 2022-05-27
TW202232226A (zh) 2022-08-16
JP2023550464A (ja) 2023-12-01
EP4248270A1 (en) 2023-09-27

Similar Documents

Publication Publication Date Title
KR101751576B1 (ko) 극자외선 리소그래피 공정, 및 쉐도우 현상이 감소되고 강도가 향상된 마스크
US11086227B2 (en) Method to mitigate defect printability for ID pattern
US9285671B2 (en) Mask for use in lithography
TWI752019B (zh) 具有多層遮光層的光罩
US20230384663A1 (en) EUV Lithography Mask With A Porous Reflective Multilayer Structure
KR101690373B1 (ko) 향상된 결함 복원성을 갖는 집적 회로를 제조하는 방법
CN105278256B (zh) 一种印制低图案密度部件的极紫外线光刻工艺
TWI816234B (zh) 用於微影的相位移光罩及用於製造其的方法
US11366380B2 (en) Reflective mask
KR100945933B1 (ko) 극자외선 리소그래피에 사용되는 마스크 및 제조 방법
TW201831981A (zh) 一種極紫外線光罩
US8673521B2 (en) Blank substrates for extreme ultra violet photo masks and methods of fabricating an extreme ultra violet photo mask using the same
TWI830983B (zh) 極紫外光微影相移光罩