TWI799296B - Method for manufacutring semiconductor structure - Google Patents

Method for manufacutring semiconductor structure Download PDF

Info

Publication number
TWI799296B
TWI799296B TW111123043A TW111123043A TWI799296B TW I799296 B TWI799296 B TW I799296B TW 111123043 A TW111123043 A TW 111123043A TW 111123043 A TW111123043 A TW 111123043A TW I799296 B TWI799296 B TW I799296B
Authority
TW
Taiwan
Prior art keywords
layer
pattern
photoluminescent
patterned mask
mask layer
Prior art date
Application number
TW111123043A
Other languages
Chinese (zh)
Other versions
TW202335151A (en
Inventor
王成維
Original Assignee
南亞科技股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US17/679,311 external-priority patent/US20230266676A1/en
Priority claimed from US17/679,515 external-priority patent/US20230266660A1/en
Application filed by 南亞科技股份有限公司 filed Critical 南亞科技股份有限公司
Application granted granted Critical
Publication of TWI799296B publication Critical patent/TWI799296B/en
Publication of TW202335151A publication Critical patent/TW202335151A/en

Links

Images

Landscapes

  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Element Separation (AREA)
  • Bipolar Transistors (AREA)
  • Crystals, And After-Treatments Of Crystals (AREA)

Abstract

The present disclosure provides a method of manufacturing a semiconductor structure. The method includes several operations. A substrate including a device region and a scribe line region is provided. A first layer is formed over the substrate. A first photoluminescent layer is formed over the first layer in the scribe line region. The first layer and the first photoluminescent layer are patterned to form a first pattern in the scribe line region. A first patterned mask layer is formed over a second layer. An alignment of the first patterned mask layer and the first pattern is detected. A pattern of the first patterned mask layer is transferred to the second layer to form a second pattern in the scribe line region.

Description

半導體結構的製備方法Fabrication method of semiconductor structure

本申請案主張美國第17/679,311及17/679,515號專利申請案之優先權(即優先權日為「2022年2月24日」),其內容以全文引用之方式併入本文中。 This application claims priority to US Patent Application Nos. 17/679,311 and 17/679,515 (ie, the priority date is "February 24, 2022"), the contents of which are incorporated herein by reference in their entirety.

本揭露關於一種半導體結構的製備方法。特別是有關於一種具有疊對標記的半導體結構的製備方法。 The disclosure relates to a method for preparing a semiconductor structure. In particular, it relates to a method of manufacturing a semiconductor structure with overlay marks.

隨著半導體產業的發展,在微影步驟中減少在多個光阻圖案以及多個下層圖案中的疊對誤差變得越來越重要。由於例如測量結構的不對稱形狀之各式不同因素,正確測量多個疊對誤差變得更加困難,因此需要一種新的疊對標記以及一種可以更精確地確定疊對誤差的方法。 With the development of the semiconductor industry, it is becoming more and more important to reduce the overlay error in multiple photoresist patterns and multiple underlying patterns during the lithography step. Correctly measuring multiple overlay errors becomes more difficult due to various factors such as the asymmetric shape of the measurement structure, so a new overlay marker and a method that can more accurately determine overlay errors are needed.

上文之「先前技術」說明僅係提供背景技術,並未承認上文之「先前技術」說明揭示本揭露之標的,不構成本揭露之先前技術,且上文之「先前技術」之任何說明均不應作為本案之任一部分。 The above "prior art" description is only to provide background technology, and does not acknowledge that the above "prior art" description discloses the subject of this disclosure, and does not constitute the prior art of this disclosure, and any description of the above "prior art" shall not form part of this case.

本揭露之一實施例提供一種半導體結構的製備方法。該製備方法包括形成一第一圖案在一基底上;形成一光致發光層在該第一圖案 上;形成一中間層在該光致發光層與該基底上;形成一圖案化遮罩層在該中間層上;以及檢測該圖案化遮罩層與該第一圖案的一對準。 An embodiment of the present disclosure provides a method for fabricating a semiconductor structure. The preparation method comprises forming a first pattern on a substrate; forming a photoluminescent layer on the first pattern forming an intermediate layer on the photoluminescent layer and the substrate; forming a patterned mask layer on the intermediate layer; and detecting an alignment of the patterned mask layer and the first pattern.

在一些實施例中,該製備方法還包括將該圖案化遮罩層轉換成該中間層。 In some embodiments, the manufacturing method further includes converting the patterned mask layer into the intermediate layer.

在一些實施例中,該光致發光層包括螢光粉、量子點、多個奈米材料或其組合。 In some embodiments, the photoluminescent layer includes fluorescent powder, quantum dots, a plurality of nanomaterials or a combination thereof.

在一些實施例中,該等奈米材料包括Gd2O2S:R,而R代表Eu3+、Pr3+或Tb3+In some embodiments, the nanomaterials include Gd 2 O 2 S:R, and R represents Eu 3+ , Pr 3+ or Tb 3+ .

在一些實施例中,該光致發光層的製作技術包含沉積、噴濺以及塗佈的其中一個或多個。 In some embodiments, the fabrication technique of the photoluminescent layer includes one or more of deposition, sputtering and coating.

在一些實施例中,從一頂視圖所視,該光致發光層經由該圖案化遮罩層而暴露。 In some embodiments, from a top view, the photoluminescent layer is exposed through the patterned mask layer.

在一些實施例中,從該頂視圖所視,該第一圖案被該圖案化遮罩層的至少一部分所圍繞。 In some embodiments, viewed from the top view, the first pattern is surrounded by at least a portion of the patterned mask layer.

在一些實施例中,從該頂視圖所視,該第一圖案被該圖案化遮罩層的至少部分所包圍。 In some embodiments, viewed from the top view, the first pattern is at least partially surrounded by the patterned mask layer.

在一些實施例中,檢測該對準包括:提供一第一光學訊號在該光致發光層上;接收來自該光致發光層的一第二光學訊號;過濾該第二光學訊號;以及將該第二光學訊號轉換成一第一電訊號。 In some embodiments, detecting the alignment includes: providing a first optical signal on the photoluminescent layer; receiving a second optical signal from the photoluminescent layer; filtering the second optical signal; The second optical signal is converted into a first electrical signal.

在一些實施例中,該對準的檢測包括:提供一第三光學訊號在該圖案化遮罩層上;接收來自該圖案化遮罩層的一第四光學訊號;以及將該第四光學訊號轉換成一第二電訊號。 In some embodiments, detecting the alignment includes: providing a third optical signal on the patterned mask layer; receiving a fourth optical signal from the patterned mask layer; and the fourth optical signal converted into a second electrical signal.

在一些實施例中,處理該第一電訊號與該第二電訊號以顯 示該圖案化遮罩層與該光致發光層的該對準。 In some embodiments, the first electrical signal and the second electrical signal are processed to display The alignment of the patterned mask layer and the photoluminescent layer is shown.

在一些實施例中,該中間層包括一或多個介電材料。 In some embodiments, the intermediate layer includes one or more dielectric materials.

在一些實施例中,該第一圖案形成在鄰近一電容器處。 In some embodiments, the first pattern is formed adjacent to a capacitor.

在一些實施例中,該電容器形成在與該第一圖案相同的一高度處。 In some embodiments, the capacitor is formed at the same height as the first pattern.

在一些實施例中,該電容器的一高度大於該第一圖案的一厚度。 In some embodiments, a height of the capacitor is greater than a thickness of the first pattern.

在一些實施例中,該中間層在該電容器上之一第一部分的一厚度小於該中間層在該第一圖案上之一第二部分的一厚度。 In some embodiments, a thickness of a first portion of the intermediate layer on the capacitor is smaller than a thickness of a second portion of the intermediate layer on the first pattern.

在一些實施例中,該圖案化遮罩層的形成包括:設置一光阻層在該中間層上;以及移除該光阻層的一些部分以形成該圖案化遮罩層。 In some embodiments, forming the patterned mask layer includes: disposing a photoresist layer on the intermediate layer; and removing some parts of the photoresist layer to form the patterned mask layer.

在一些實施例中,該光阻層在該電容器上之一第一部分的一厚度小於該光阻層在該第一圖案上之一第二部分的一厚度。 In some embodiments, a thickness of a first portion of the photoresist layer on the capacitor is smaller than a thickness of a second portion of the photoresist layer on the first pattern.

在一些實施例中,該圖案化遮罩層的一頂部與該第一圖案的一頂部之間的一距離大於5.7微米。 In some embodiments, a distance between a top of the patterned mask layer and a top of the first pattern is greater than 5.7 microns.

本揭露之一實施例提供一種半導體結構的製備系統。該系統包括一製造設備,經配置以執行多個步驟以形成一層在一晶圓上;一曝光設備,經配置以執行多個圖案化步驟以形成該層的一圖案;以及一對準設備,經配置以檢測在該晶圓上不同高度之二疊對標記的一對準。該對準設備包括:一平台,經配置以支撐該晶圓;一光學元件,經配置以放射一輻射以激發該二疊對標記其中一個的一光致發光材料;一濾光器,經配置以接收以及過濾從該光致發光材料所放射的一輻射;以及一光學檢測器, 經配置以將被該濾光器所過濾的一光學訊號轉換成一電訊號。 An embodiment of the present disclosure provides a system for fabricating a semiconductor structure. The system includes a fabrication apparatus configured to perform steps to form a layer on a wafer; an exposure apparatus configured to perform patterning steps to form a pattern of the layer; and an alignment apparatus, configured to detect an alignment of two overlay marks at different heights on the wafer. The alignment apparatus includes: a stage configured to support the wafer; an optical element configured to emit a radiation to excite a photoluminescent material of one of the two stacked markers; an optical filter configured to to receive and filter a radiation emitted from the photoluminescent material; and an optical detector, configured to convert an optical signal filtered by the filter into an electrical signal.

在一些實施例中,該對準設備經配置以產生該二疊對標記的一對準結果。 In some embodiments, the alignment apparatus is configured to generate an alignment result of the two stacked alignment marks.

在一些實施例中,該對準設備還包括一控制器,電性地或無線地連接到該光學元件與該光學檢測器,且經配置以處理來自該光學檢測器的該電訊號。 In some embodiments, the alignment apparatus further includes a controller electrically or wirelessly connected to the optical element and the optical detector and configured to process the electrical signal from the optical detector.

在一些實施例中,該對準設備還包括一介面,電性連接到該控制器,且經配置以在被該處理器所處理之後顯示該電訊號的一結果。 In some embodiments, the alignment device further includes an interface electrically connected to the controller and configured to display a result of the electrical signal after being processed by the processor.

在一些實施例中,該濾光器包括用以使該輻射進入經過的一光柵結構。 In some embodiments, the filter includes a grating structure for passing the radiation in.

在一些實施例中,該濾光器之波長的一過濾範圍不同於從該光學元件所放射之輻射的波長的一範圍。 In some embodiments, the filter has a filtering range of wavelengths that differs from a range of wavelengths of radiation emitted from the optical element.

在一些實施例中,該光學檢測器電性地或實體地連接到該濾光器。 In some embodiments, the optical detector is electrically or physically connected to the filter.

在一些實施例中,該光學元件放射具有一波長的該輻射,該波長在下列的一範圍中:近紅外線(NIR)、遠紅外線(FIR)、紫外線(UV)、近紫外線(NUV)、遠紫外線(FUV)、綠光、黃光、紅光或其組合。 In some embodiments, the optical element emits the radiation having a wavelength in a range of: near infrared (NIR), far infrared (FIR), ultraviolet (UV), near ultraviolet (NUV), far Ultraviolet (FUV), green, yellow, red, or combinations thereof.

在一些實施例中,該系統還包括一網路,無線地或電性地連接到該製造設備、該曝光設備以及該對準設備。 In some embodiments, the system further includes a network wirelessly or electrically connected to the fabrication equipment, the exposure equipment and the alignment equipment.

在一些實施例中,該系統還包括另一控制器,電性地或無線地連接到該製造設備、該曝光設備以及該對準設備。 In some embodiments, the system further includes another controller electrically or wirelessly connected to the fabrication equipment, the exposure equipment, and the alignment equipment.

在一些實施例中,該控制器經配置以依據來自該光學檢測器的該電訊號而產生一對準結果。 In some embodiments, the controller is configured to generate an alignment result based on the electrical signal from the optical detector.

本揭露之一實施例提供一種半導體結構。該半導體結構包括:一電容器,設置在一基底上;一疊對標記,設置鄰近該電容器處且在與該電容器的一相同高度處;一光致發光層,設置在該疊對標記上;以及一中間層,設置在該電容器與該光致發光層上。 An embodiment of the present disclosure provides a semiconductor structure. The semiconductor structure includes: a capacitor disposed on a substrate; an overlay mark disposed adjacent to the capacitor and at a same height as the capacitor; a photoluminescent layer disposed on the overlay mark; and An intermediate layer is arranged on the capacitor and the photoluminescent layer.

在一些實施例中,該疊對標記接觸該電容器。 In some embodiments, the overlay mark contacts the capacitor.

在一些實施例中,該電容器的一高度大於該疊對標記的一厚度。 In some embodiments, a height of the capacitor is greater than a thickness of the overlay mark.

在一些實施例中,該光致發光層包括螢光粉、量子點、Gd2O2S:R或其組合,而R代表Eu3+、Pr3+或Tb3+In some embodiments, the photoluminescent layer includes phosphor, quantum dots, Gd 2 O 2 S:R or a combination thereof, and R represents Eu 3+ , Pr 3+ or Tb 3+ .

在一些實施例中,該中間層在該電容器上之一第一部分的一厚度小於該中間層在該光致發光層上之一第二部分的一厚度。 In some embodiments, a thickness of a first portion of the intermediate layer on the capacitor is less than a thickness of a second portion of the intermediate layer on the photoluminescent layer.

上文已相當廣泛地概述本揭露之技術特徵及優點,俾使下文之本揭露詳細描述得以獲得較佳瞭解。構成本揭露之申請專利範圍標的之其它技術特徵及優點將描述於下文。本揭露所屬技術領域中具有通常知識者應瞭解,可相當容易地利用下文揭示之概念與特定實施例可作為修改或設計其它結構或製程而實現與本揭露相同之目的。本揭露所屬技術領域中具有通常知識者亦應瞭解,這類等效建構無法脫離後附之申請專利範圍所界定之本揭露的精神和範圍。 The technical features and advantages of the present disclosure have been broadly summarized above, so that the following detailed description of the present disclosure can be better understood. Other technical features and advantages constituting the subject matter of the claims of the present disclosure will be described below. Those skilled in the art of the present disclosure should understand that the concepts and specific embodiments disclosed below can be easily used to modify or design other structures or processes to achieve the same purpose as the present disclosure. Those with ordinary knowledge in the technical field to which the disclosure belongs should also understand that such equivalent constructions cannot depart from the spirit and scope of the disclosure defined by the appended claims.

10:晶圓 10:Wafer

20:疊對標記 20: Overlay Mark

21:疊對標記 21: Overlay Mark

21a:光致發光層 21a: Photoluminescent layer

22:疊對標記 22: Overlay Mark

23:第三圖案 23: The third pattern

30:切割線區 30: cutting line area

40:元件區 40: Component area

51:第一光學訊號 51: The first optical signal

52:第二光學訊號 52: Second optical signal

53:第三光學訊號 53: The third optical signal

54:第四光學訊號 54: The fourth optical signal

100:基底 100: base

101:電子元件 101: Electronic components

141:中間層 141: middle layer

142:中間層 142: middle layer

311:遮罩層 311: mask layer

312:圖案化遮罩層 312: Patterned mask layer

313:上表面 313: upper surface

700:半導體製造系統 700: Semiconductor Manufacturing Systems

710:製造設備 710: Manufacturing equipment

720-1~720-N:製造設備 720-1~720-N: manufacturing equipment

730:曝光設備 730: Exposure equipment

740:對準設備 740: Alignment equipment

741:平台 741: platform

742:光學元件 742:Optical components

743:檢測單元 743: detection unit

743a:濾光器 743a: Filter

743b:光學檢測器 743b: Optical detector

743c:光柵結構 743c: Grating structures

744:控制器 744:Controller

745:介面 745: interface

750:網路 750: network

760:控制器 760: controller

A:點 A: point

H1:高度 H1: height

H2:厚度 H2: Thickness

H3:厚度 H3: Thickness

H4:厚度 H4: Thickness

H5:厚度 H5: Thickness

H6:厚度 H6: Thickness

H7:距離 H7: Distance

H8:距離 H8: Distance

H9:距離 H9: Distance

H10:厚度 H10: Thickness

S1:製備方法 S1: Preparation method

S11:步驟 S11: step

S12:步驟 S12: step

S13:步驟 S13: step

S14:步驟 S14: step

S15:步驟 S15: step

S151:步驟 S151: step

S152:步驟 S152: step

S153:步驟 S153: step

S154:步驟 S154: step

S155:步驟 S155: step

S156:步驟 S156: step

S157:步驟 S157: step

S158:步驟 S158: step

藉由參考詳細描述以及申請專利範圍而可以獲得對本揭露更完整的理解。本揭露還應理解為與圖式的元件編號相關聯,而圖式的元件編號在整個描述中代表類似的元件。 A more complete understanding of the present disclosure can be obtained by reference to the detailed description and claims. The disclosure should also be understood in association with drawing element numbers that represent like elements throughout the description.

圖1是示意圖,例示本揭露一些實施例的晶圓。 FIG. 1 is a schematic diagram illustrating a wafer according to some embodiments of the present disclosure.

圖2是放大示意圖,例示本揭露一些實施例如圖1所示之虛線區域。 FIG. 2 is an enlarged schematic diagram illustrating some embodiments of the present disclosure, such as the dashed area shown in FIG. 1 .

圖3到圖4是頂視示意圖,例示本揭露一些實施例在不同高度處的多個疊對標記。 3-4 are schematic top views illustrating a plurality of overlay marks at different heights according to some embodiments of the present disclosure.

圖5是剖視示意圖,例示本揭露一些實施例沿著圖3之剖線A-A'或是圖4之剖線B-B'的剖面。 FIG. 5 is a schematic cross-sectional view illustrating some embodiments of the present disclosure along the section line AA' in FIG. 3 or the section line BB' in FIG. 4 .

圖6是剖視示意圖,例示本揭露一些實施例沿著圖3之剖線A-A'或是圖4之剖線B-B'的剖面。 FIG. 6 is a schematic cross-sectional view illustrating some embodiments of the present disclosure along the section line AA' in FIG. 3 or the section line BB' in FIG. 4 .

圖7是剖視示意圖,例示本揭露一些實施例沿著圖3之剖線A-A'或是圖4之剖線B-B'的剖面。 7 is a schematic cross-sectional view illustrating some embodiments of the present disclosure along the section line AA' of FIG. 3 or the section line BB' of FIG. 4 .

圖8是流程示意圖,例示本揭露一些實施例之半導體結構的製備方法。 FIG. 8 is a schematic flow diagram illustrating a method for fabricating a semiconductor structure according to some embodiments of the present disclosure.

圖9是流程示意圖,例示本揭露一些實施例在圖8中之製備方法的一步驟。 FIG. 9 is a schematic flow diagram illustrating a step of the preparation method in FIG. 8 of some embodiments of the present disclosure.

圖10到圖20是剖視示意圖,例示本揭露一些實施例在半導體結構之製備中的各中間階段。 10-20 are schematic cross-sectional views illustrating intermediate stages in the fabrication of semiconductor structures according to some embodiments of the present disclosure.

圖21是方塊的示意圖,例示本揭露一些實施例的半導體製造系統。 FIG. 21 is a block diagram illustrating a semiconductor manufacturing system according to some embodiments of the present disclosure.

圖22是示意圖,例示本揭露一些實施例如圖21所示之半導體製造系統的對準設備。 FIG. 22 is a schematic diagram illustrating an alignment device of the semiconductor manufacturing system shown in FIG. 21 according to some embodiments of the present disclosure.

圖23是示意圖,例示本揭露一些實施例如圖22所示之對準設備的一檢測單元。 FIG. 23 is a schematic diagram illustrating a detection unit of the alignment device shown in FIG. 22 according to some embodiments of the present disclosure.

現在使用特定語言描述附圖中所示之本揭露的實施例或例子。應當理解,本揭露的範圍無意由此受到限制。所描述之實施例的任何 修改或改良,以及本文件中描述之原理的任何進一步應用,所屬技術領域中具有通常知識者都認為是通常會發生的。元件編號可以在整個實施例中重複,但這並不一定意味著一個實施例的特徵適用於另一實施例,即使它們共享相同的元件編號。 Embodiments or examples of the present disclosure shown in the drawings will now be described using specific language. It should be understood that the scope of the present disclosure is not intended to be limited thereby. Any of the described embodiments Modifications or improvements, and any further applications of the principles described in this document, would occur as would normally occur to one of ordinary skill in the art. Element numbers may be repeated throughout the embodiments, but this does not necessarily mean that features of one embodiment apply to another, even if they share the same element number.

應當理解,雖然用語「第一(first)」、「第二(second)」、「第三(third)」等可用於本文中以描述不同的元件、部件、區域、層及/或部分,但是這些元件、部件、區域、層及/或部分不應受這些用語所限制。這些用語僅用於從另一元件、部件、區域、層或部分中區分一個元件、部件、區域、層或部分。因此,以下所討論的「第一裝置(first element)」、「部件(component)」、「區域(region)」、「層(layer)」或「部分(section)」可以被稱為第二裝置、部件、區域、層或部分,而不背離本文所教示。 It should be understood that although the terms "first", "second", "third" etc. may be used herein to describe various elements, components, regions, layers and/or sections, These elements, components, regions, layers and/or sections should not be limited by these terms. These terms are only used to distinguish one element, component, region, layer or section from another element, component, region, layer or section. Therefore, a "first element", "component", "region", "layer" or "section" discussed below may be referred to as a second device , component, region, layer or section without departing from the teachings herein.

本文中使用之術語僅是為了實現描述特定實施例之目的,而非意欲限制本發明。如本文中所使用,單數形式「一(a)」、「一(an)」,及「該(the)」意欲亦包括複數形式,除非上下文中另作明確指示。將進一步理解,當術語「包括(comprises)」及/或「包括(comprising)」用於本說明書中時,該等術語規定所陳述之特徵、整數、步驟、操作、元件,及/或組件之存在,但不排除存在或增添一或更多個其他特徵、整數、步驟、操作、元件、組件,及/或上述各者之群組。 The terminology used herein is for the purpose of describing particular embodiments only and is not intended to be limiting of the invention. As used herein, the singular forms "a", "an", and "the" are intended to include the plural forms as well, unless the context clearly dictates otherwise. It will be further understood that when the terms "comprises" and/or "comprising" are used in this specification, these terms specify the stated features, integers, steps, operations, elements, and/or components. Presence, but not excluding the presence or addition of one or more other features, integers, steps, operations, elements, components, and/or groups of the above.

請參考圖1及圖2,圖1是頂視示意圖,例示本揭露一些實施例的晶圓10,而圖2是如圖1所示之虛線區域的放大示意圖。 Please refer to FIG. 1 and FIG. 2 , FIG. 1 is a schematic top view illustrating a wafer 10 according to some embodiments of the present disclosure, and FIG. 2 is an enlarged schematic diagram of the dotted area shown in FIG. 1 .

如圖1及圖2所示,晶圓10包括複數個元件區40以及一切割線區30,而切割線區30圍繞每一個元件區40。該等元件區40可藉由切割線區30而分隔開。在一些實施例中,複數個元件區40界定複數個晶粒。 在一些實施例中,切割線區30界定在該複數個晶粒之間的複數個切割線。為了易於描述,該等元件區40亦可視為多個晶粒40,而切割線區30亦可視為多個切割線30。晶圓10可沿著該等切割線30而切割成複數個晶粒40。每一個晶粒40可包括多個半導體元件,該等半導體元件可包括多個主動元件及/或多個被動元件。該等主動元件可包括一記憶體晶粒(例如一動態隨機存取記憶體(DRAM)晶粒、一靜態隨機存取記憶體(SRAM)晶粒等等)、一功率管理晶粒(例如功率管理積體電路(PMIC)晶粒)、一邏輯晶粒(例如系統單晶片(SoC)、一中央處理單元(CPU)、一圖形處理單元(GPU)、一應用程式處理器(AP)、一微控制器等等)、一射頻(RF)晶粒、一感測器晶粒、一微機電系統(MEMS)晶粒、一訊號處理晶粒(例如一數位訊號處理(DSP)晶粒)、一前端晶粒(例如一類比前端(AFE)晶粒)或是其他主動元件。被動元件可包括一電容器、一電阻器、一電感器、一熔絲或是其他被動元件。 As shown in FIGS. 1 and 2 , the wafer 10 includes a plurality of device regions 40 and a dicing line region 30 , and the dicing line region 30 surrounds each device region 40 . The device regions 40 can be separated by the dicing line region 30 . In some embodiments, a plurality of device regions 40 define a plurality of dies. In some embodiments, the dicing line region 30 defines a plurality of dicing lines between the plurality of dies. For ease of description, the device regions 40 can also be regarded as a plurality of crystal grains 40 , and the dicing line region 30 can also be regarded as a plurality of dicing lines 30 . The wafer 10 can be diced into a plurality of dies 40 along the dicing lines 30 . Each die 40 may include a plurality of semiconductor devices, and the semiconductor devices may include a plurality of active devices and/or a plurality of passive devices. The active components may include a memory die (such as a dynamic random access memory (DRAM) die, a static random access memory (SRAM) die, etc.), a power management die (such as a power management integrated circuit (PMIC) die), a logic die (such as a system-on-chip (SoC), a central processing unit (CPU), a graphics processing unit (GPU), an application processor (AP), a microcontroller, etc.), a radio frequency (RF) die, a sensor die, a microelectromechanical system (MEMS) die, a signal processing die (such as a digital signal processing (DSP) die), A front-end die (such as an analog front-end (AFE) die) or other active devices. The passive element may include a capacitor, a resistor, an inductor, a fuse or other passive elements.

在一些實施例中,一疊對標記20可設置在該等切割線30上。在一些實施例中,疊對標記20可設置在每一個晶粒40位在該等切割線30上之一邊緣的一角落處。在一些實施例中,疊對標記20可設置在該等晶粒40內側。該等疊對標記20可用於確定在一半導體製造程序期間,一電流層(或是一上層)是否精確地與一前層(或一下層)對準,舉例來說,藉由確定一光阻層的一開口或是該光阻層的一圖案是否與該前層對準。該前層可設置在一垂直位面處,該垂直位面不同於該電流層的一垂直位面。在一些實施例中,該電流層設置在高於該前層的一高度處。 In some embodiments, a stack of markers 20 may be provided on the cut lines 30 . In some embodiments, the overlay mark 20 may be disposed at a corner of an edge of each die 40 on one of the dicing lines 30 . In some embodiments, overlay marks 20 may be disposed inside the dies 40 . The overlay marks 20 can be used to determine whether a current layer (or an upper layer) is accurately aligned with a previous layer (or lower layer) during a semiconductor manufacturing process, for example, by identifying a photoresist whether an opening of the layer or a pattern of the photoresist layer is aligned with the front layer. The front layer may be disposed at a vertical plane different from a vertical plane of the current layer. In some embodiments, the current layer is disposed at a height higher than the front layer.

圖3及圖4是依據本揭露之不同實施例,顯示該前層的一疊對標記21與電流層在一基底100上的一疊對標記22的對準。從如圖3或圖4 所示的頂視圖來看,疊對標記22可圍繞或是包圍疊對標記21。在一些實施例中,從如圖3所示的頂視圖來看,疊對標記21是呈一正方形圍繞。在一些實施例中,如圖4所示,疊對標記21包括複數個部分。在一些實施例中,疊對標記21的該等部分是相互分隔開的。從頂視圖來看,疊對標記21可包括至少一x軸對準部分以及一y軸對準部分,以代表沿著x軸與疊對標記22的對準以及沿著y軸與疊對標記22的對準。在一些實施例中,如圖4所示,疊對標記包括沿著該x軸方向的一些部分以及沿著該y軸部分的一些部分。 3 and 4 illustrate the alignment of an alignment mark 21 of the front layer and an alignment mark 22 of the current layer on a substrate 100 according to different embodiments of the present disclosure. From Figure 3 or Figure 4 From the top view shown, the overlay mark 22 can surround or enclose the overlay mark 21 . In some embodiments, from the top view as shown in FIG. 3 , the overlay mark 21 is surrounded by a square. In some embodiments, as shown in FIG. 4 , the overlay mark 21 includes a plurality of parts. In some embodiments, the portions of overlay marks 21 are spaced apart from each other. Viewed from a top view, the overlay mark 21 may include at least an x-axis alignment portion and a y-axis alignment portion to represent alignment with the overlay mark 22 along the x-axis and alignment with the overlay mark 22 along the y-axis. 22 alignment. In some embodiments, as shown in FIG. 4 , the overlay mark includes some portions along the x-axis direction and some portions along the y-axis direction.

在一些實施例中,晶圓10包括基底100。基底100可為一半導體基底,例如一塊狀(bulk)半導體、一絕緣體上覆半導體(SOI)基底或類似物。基底100可包括一元素半導體,包括呈一單晶形式、一多晶矽形式或是一非晶矽形式的矽或鍺;一化合物半導體材料,包括以下至少其一:碳化矽、砷化鎵、磷化鎵、磷化銦、砷化銦以及銻化銦;一合金半導體材料,包括以下至少其一:SiGe、GaAsP、AlInAs、AlGaAs、GaInAs、GaInP以及GaInAsP;或是其組合。在一些實施例中,該合金半導體基底可為具有一漸變Si:Ge特徵的一SiGe合金,而Si與Ge之組成成分從在一個位置處的一個比率變為在該漸變Si:Ge特徵之另一個位置處的另一個比率。在其他實施例中,SiGe合金形成在一矽基底上。在一些實施例中,SiGe合金可藉由與SiGe合金接觸的另一種材料進行機械應變。在一些實施例中,基底100可具有一多層結構,或是基底100可包括一多層化合物半導體結構。在一些實施例中,基底100包括多個半導體元件、多個電子部件、多個電子元件或其組合。在一些實施例中,基底100包括多個電晶體或是多個電晶體的多個功能單元。 In some embodiments, wafer 10 includes substrate 100 . The substrate 100 may be a semiconductor substrate, such as a bulk semiconductor, a semiconductor-on-insulator (SOI) substrate, or the like. Substrate 100 may include an elemental semiconductor including silicon or germanium in a single crystal form, a polycrystalline silicon form, or an amorphous silicon form; a compound semiconductor material including at least one of the following: silicon carbide, gallium arsenide, phosphide gallium, indium phosphide, indium arsenide, and indium antimonide; an alloy semiconductor material including at least one of the following: SiGe, GaAsP, AlInAs, AlGaAs, GaInAs, GaInP, and GaInAsP; or a combination thereof. In some embodiments, the alloy semiconductor substrate may be a SiGe alloy having a graded Si:Ge character, with the composition of Si and Ge changing from a ratio at one location to another in the graded Si:Ge character. Another ratio at one location. In other embodiments, the SiGe alloy is formed on a silicon substrate. In some embodiments, the SiGe alloy can be mechanically strained by another material in contact with the SiGe alloy. In some embodiments, the substrate 100 may have a multilayer structure, or the substrate 100 may include a multilayer compound semiconductor structure. In some embodiments, the substrate 100 includes a plurality of semiconductor elements, a plurality of electronic components, a plurality of electronic components, or a combination thereof. In some embodiments, the substrate 100 includes a plurality of transistors or a plurality of functional units of the plurality of transistors.

圖5是剖視示意圖,例示本揭露一些實施例沿著圖3之剖線A-A'或是圖4之剖線B-B'的剖面。如圖5所示,疊對標記21可設置在基底100上。疊對標記21可表示設置在中間層141中之該前層或是該下層之一圖案的一位置。一光致發光層21a可設置在疊對標記21的一頂部上。在一些實施例中,光致發光層21a是形成在疊對標記21上的一層。在一些實施例中,光致發光層21a是形成在疊對標記21之該頂部處的一子層。光致發光層21a包括光致發光材料或是螢光材料,並可提供提供更好的疊對標記21的視野,允許容易地檢查疊對標記21與疊對標記22之間的對準。在一些實施例中,光致發光層21a包括一或多個無機材料。在一些實施例中,光致發光層21a包括以下一或多個:螢光粉(phosphor)、量子點以及多個奈米材料。在一些實施例中,該等奈米材料包括Gd2O2S:R,其中R代表Eu3+、Pr3+或Tb3+FIG. 5 is a schematic cross-sectional view illustrating some embodiments of the present disclosure along the section line AA' in FIG. 3 or the section line BB' in FIG. 4 . As shown in FIG. 5 , an overlay mark 21 may be disposed on the substrate 100 . The overlay mark 21 may indicate a position of a pattern disposed in the front layer or the lower layer in the middle layer 141 . A photoluminescent layer 21 a may be disposed on top of the overlay mark 21 . In some embodiments, the photoluminescent layer 21 a is a layer formed on the overlay mark 21 . In some embodiments, the photoluminescent layer 21a is a sub-layer formed at the top of the overlay mark 21 . The photoluminescent layer 21 a includes a photoluminescent material or a fluorescent material, and provides a better view of the overlay mark 21 , allowing easy inspection of the alignment between the overlay mark 21 and the overlay mark 22 . In some embodiments, the photoluminescent layer 21a includes one or more inorganic materials. In some embodiments, the photoluminescent layer 21a includes one or more of the following: phosphor, quantum dots, and a plurality of nanomaterials. In some embodiments, the nanomaterials include Gd 2 O 2 S:R, wherein R represents Eu 3+ , Pr 3+ or Tb 3+ .

在一些實施例中,疊對標記21可包括與一絕緣結構相同的一材料。在一些實施例中,疊對標記21可設置在與該絕緣結構相同的一高度處。舉例來說,該絕緣結構可包括一淺溝隔離(STI)、一場氧化物(FOX)特徵、一矽局部氧化物(LOCOS)特徵及/或其他適合的絕緣元件。該絕緣結構可包括一介電材料,例如氧化矽、氮化矽、氮氧化矽、摻氟矽酸鹽(FSG)、一低介電常數的介電材料,其組合及/或其他適合的材料。 In some embodiments, the overlay mark 21 may include the same material as an insulating structure. In some embodiments, the overlay mark 21 may be disposed at the same height as the insulating structure. For example, the isolation structure may include a shallow trench isolation (STI), a field oxide (FOX) feature, a local oxide of silicon (LOCOS) feature, and/or other suitable isolation elements. The insulating structure may include a dielectric material such as silicon oxide, silicon nitride, silicon oxynitride, fluorine-doped silicate (FSG), a low-k dielectric material, combinations thereof, and/or other suitable materials .

在一些實施例中,疊對標記21可包括與一閘極結構相同的一材料。舉例來說,閘極結構是可犧牲的,例如一虛擬(dummy)閘極結構。在一些實施例中,疊對標記21可設置在與該閘極結構相同的一高度處。在一些實施例中,疊對標記21可包括一介電層以及一導電層,該介電層包括與一閘極介電層相同的一材料,該導電層包括與一閘極電極層相同 的一材料。 In some embodiments, the overlay mark 21 may comprise the same material as a gate structure. For example, the gate structure is sacrificial, such as a dummy gate structure. In some embodiments, the overlay mark 21 may be disposed at the same height as the gate structure. In some embodiments, the overlay mark 21 may include a dielectric layer including the same material as a gate dielectric layer, and a conductive layer including the same material as a gate electrode layer. of a material.

在一些實施例中,該閘極介電層可包括氧化矽(SiOx)、氮化矽(SixNy)、氮氧化矽(SiON)或其組合。在一些實施例中,該閘極介電層可包括介電材料,例如一高介電常數的介電材料。高介電常數的介電材料可具有大於4的一介電常數(k值)。高介電常數的介電材料可包括氧化鉿(HfO2)、氧化鋯(ZrO2)、氧化鑭(La2O3)、氧化釔(Y2O3)、氧化鋁(Al2O3)、氧化鈦(TiO2)或其他可應用的材料。其他適合的材料在本揭露的預期範圍內。 In some embodiments, the gate dielectric layer may include silicon oxide (SiO x ), silicon nitride ( Six N y ), silicon oxynitride (SiON), or a combination thereof. In some embodiments, the gate dielectric layer may include a dielectric material, such as a high-k dielectric material. High-k dielectric materials may have a dielectric constant (k value) greater than 4. High dielectric constant dielectric materials can include hafnium oxide (HfO 2 ), zirconium oxide (ZrO 2 ), lanthanum oxide (La 2 O 3 ), yttrium oxide (Y 2 O 3 ), aluminum oxide (Al 2 O 3 ) , titanium oxide (TiO 2 ) or other applicable materials. Other suitable materials are within the contemplation of the present disclosure.

在一些實施例中,該閘極電極層可包括一多晶矽層。在一些實施例中,該閘極電極層可包含一導電材料,例如鋁(Al)、銅(Cu)、鎢(W)、鈦(Ti)、鉭(Ta)或其他可應用的材料。在一些實施例中,該閘極介電層可包括一功函數層。該功函數層包含一金屬材料,而該金屬材料包括N功函數金屬或是P功函數金屬。該N功函數金屬包括鎢(W)、銅(Cu)、鈦(Ti)、銀(Ag)、鋁(Al)、鈦鋁合金(TiAl)、鈦鋁氮化物(WiAlN)、碳化鉭(TaC)、鉭碳氮化物(TaCN)、鉭矽氮化物(TaSiN)、錳(Mn)、鋯(Zr)或其組合。P功函數金屬包括氮化鈦(TiN)、氮化鎢(WN)、氮化鉭(TaN)、釕(Ru)或其組合。其他適合的材料在本揭露的預期範圍內。閘極電極層的製作技術可包含低壓化學氣相沉積(LPCVD)與電漿加強CVD(PECVD)。 In some embodiments, the gate electrode layer may include a polysilicon layer. In some embodiments, the gate electrode layer may include a conductive material such as aluminum (Al), copper (Cu), tungsten (W), titanium (Ti), tantalum (Ta) or other applicable materials. In some embodiments, the gate dielectric layer may include a work function layer. The work function layer includes a metal material, and the metal material includes N work function metal or P work function metal. The N work function metals include tungsten (W), copper (Cu), titanium (Ti), silver (Ag), aluminum (Al), titanium aluminum alloy (TiAl), titanium aluminum nitride (WiAlN), tantalum carbide (TaC ), tantalum carbonitride (TaCN), tantalum silicon nitride (TaSiN), manganese (Mn), zirconium (Zr) or combinations thereof. P work function metals include titanium nitride (TiN), tungsten nitride (WN), tantalum nitride (TaN), ruthenium (Ru), or combinations thereof. Other suitable materials are within the contemplation of the present disclosure. Fabrication techniques of the gate electrode layer may include low pressure chemical vapor deposition (LPCVD) and plasma enhanced CVD (PECVD).

在一些實施例中,疊對標記21可包括與一導電通孔相同的一材料,該導電通孔可設置在一導電跡線上,該導電跡線例如一互連結構的第一金屬層(M1層)。在一些實施例中,疊對標記21可包括與該導電跡線相同的一材料,而該導電跡線可設置在一介電層中且電性連接到該導電通孔。在一些實施例中,該導電跡線與該導電通孔設置在一互連結構中, 該互連結構設置在基底100的該等電晶體上。在一些實施例中,該導電跡線與該導電通孔設置在一重分布層(RDL)中,該重分布層設置在該基底100上的該互連結構上。在此等實施例中,疊對標記21可包括一阻障層以及一導電層,而該導電層被該阻障層所圍繞。該阻障層可包括金屬氮化物或其他適合的材料。該導電層可包括金屬,例如W、Ta、Ti、Ni、Co、Hf、Ru、Zr、Zn、Fe、Sn、Al、Cu、Ag、Mo、Cr、合金或其他適合的材料。在此等實施例中,疊對標記21的製作技術可包含適合的沉積製程,舉例來說,例如噴濺以及物理氣相沉積(PVD)。 In some embodiments, overlay mark 21 may comprise the same material as a conductive via that may be disposed on a conductive trace, such as the first metal layer (M1 layer). In some embodiments, the overlay mark 21 may comprise the same material as the conductive trace, and the conductive trace may be disposed in a dielectric layer and electrically connected to the conductive via. In some embodiments, the conductive trace and the conductive via are disposed in an interconnect structure, The interconnect structure is disposed on the transistors of the substrate 100 . In some embodiments, the conductive trace and the conductive via are disposed in a redistribution layer (RDL) disposed on the interconnect structure on the substrate 100 . In these embodiments, the overlay mark 21 may include a barrier layer and a conductive layer, and the conductive layer is surrounded by the barrier layer. The barrier layer may comprise metal nitride or other suitable materials. The conductive layer may include metals such as W, Ta, Ti, Ni, Co, Hf, Ru, Zr, Zn, Fe, Sn, Al, Cu, Ag, Mo, Cr, alloys or other suitable materials. In these embodiments, the fabrication technique of the overlay mark 21 may include suitable deposition processes, such as sputtering and physical vapor deposition (PVD), for example.

中間層14可包括隔離材料,例如氧化矽或氮化矽。在一些實施例中,中間層141可包括導電材料,例如金屬或合金。在一些實施例中,中間層141的製作技術可包含一適合的成膜方法,例如化學氣相沉積(CVD)、原子層沉積(ALD)或物理氣相沉積(PVD)。在中間層141形成之後,可執行例如快速熱退火的一熱製程。在一些實施例中,執行例如一化學機械研磨(CMP)製程的一平坦化製程。在一些實施例中,可執行例如一蝕刻製程的一移除製程。舉例來說,該蝕刻製程包括一乾蝕刻製程或是一濕蝕刻製程。應當理解,對於該方法之額外的實施例,可以在上述製程之前、期間以及之後提供額外的步驟,並且可以替換或消除上述一些步驟。該等步驟/製程的順序是可互換的。 The intermediate layer 14 may include isolation materials such as silicon oxide or silicon nitride. In some embodiments, the intermediate layer 141 may include a conductive material, such as a metal or an alloy. In some embodiments, the fabrication technique of the intermediate layer 141 may include a suitable film-forming method, such as chemical vapor deposition (CVD), atomic layer deposition (ALD) or physical vapor deposition (PVD). After the intermediate layer 141 is formed, a thermal process such as rapid thermal annealing may be performed. In some embodiments, a planarization process such as a chemical mechanical polishing (CMP) process is performed. In some embodiments, a removal process such as an etch process may be performed. For example, the etching process includes a dry etching process or a wet etching process. It should be understood that for additional embodiments of the method, additional steps may be provided before, during, and after the processes described above, and that some of the steps described above may be replaced or eliminated. The order of the steps/processes is interchangeable.

在一些實施例中,疊對標記22形成在中間層141上。在一些實施例中,疊對標記22實體接觸中間層141。疊對標記22可顯示該電流層(或一上層)與中間層141的一對準。在一些實施例中,疊對標記22是該電流層在切割線30中的一部分。疊對標記22的一材料可類似於或相同於疊對標記21的材料,且在文中省略其重覆描述。在一些實施例中,該電流 層直接在中間層141上,而疊對標記22是在中間層141上。在一些實施例中,疊對標記22指定為顯示該上層與中間層141的一對準。在一些實施例中,該上層設置在中間層141上且與中間層141分隔開。 In some embodiments, overlay marks 22 are formed on the intermediate layer 141 . In some embodiments, overlay marks 22 physically contact intermediate layer 141 . Overlay marks 22 may indicate an alignment of the current layer (or an upper layer) with the middle layer 141 . In some embodiments, overlay mark 22 is a portion of the current plane in cut line 30 . A material of the overlay mark 22 may be similar or identical to that of the overlay mark 21 , and repeated descriptions thereof are omitted herein. In some embodiments, the current layer is directly on the intermediate layer 141 , while the overlay mark 22 is on the intermediate layer 141 . In some embodiments, overlay marks 22 are designated to show an alignment of the upper layer with the middle layer 141 . In some embodiments, the upper layer is disposed on and spaced apart from the middle layer 141 .

圖6是剖視示意圖,例示本揭露一些實施例沿著圖3之剖線A-A'或是圖4之剖線B-B'的剖面。如圖6所示的疊對標記21類似於如圖5所示的疊對標記21,除了光致發光層21a在疊對標記21的一底部處之外。在一些實施例中,光致發光層21是在疊對標記21的形成之前所形成的一層。在一些實施例中,光致發光層21a被認為是疊對標記21的一子層。 FIG. 6 is a schematic cross-sectional view illustrating some embodiments of the present disclosure along the section line AA' in FIG. 3 or the section line BB' in FIG. 4 . The overlay mark 21 shown in FIG. 6 is similar to the overlay mark 21 shown in FIG. 5 except that the photoluminescent layer 21 a is at a bottom of the overlay mark 21 . In some embodiments, the photoluminescent layer 21 is a layer formed prior to the formation of the overlay marks 21 . In some embodiments, the photoluminescent layer 21a is considered a sublayer of the overlay mark 21 .

圖7是剖視示意圖,例示本揭露另一實施例沿著圖3之剖線A-A'或是圖4之剖線B-B'的剖面。在一些實施例中,一中間層142設置在疊對標記21與中間層141上。在一些實施例中,中間層142實體接觸疊對標記21與中間層141。中間層142的一材料及/或一製備方法可類似於或相同於中間層141,且在文中省略其重覆描述。在一些實施例中,疊對標記22設置在中間層142上。疊對標記22可藉由中間層142而與中間層141分隔開。疊對標記22可設置在疊對標記21與中間層141上的一或多層,其以任何數量的中間層142設置在其間;本揭露並不僅以一個中間層142為限。 7 is a schematic cross-sectional view illustrating another embodiment of the present disclosure along the section line AA' of FIG. 3 or the section line BB' of FIG. 4 . In some embodiments, an intermediate layer 142 is disposed on the overlay mark 21 and the intermediate layer 141 . In some embodiments, the intermediate layer 142 physically contacts the overlay mark 21 and the intermediate layer 141 . A material and/or a manufacturing method of the middle layer 142 may be similar or identical to that of the middle layer 141 , and repeated descriptions thereof are omitted herein. In some embodiments, overlay marks 22 are disposed on intermediate layer 142 . The overlay mark 22 can be separated from the middle layer 141 by the middle layer 142 . The overlay mark 22 can be disposed on one or more layers on the overlay mark 21 and the intermediate layer 141 , with any number of intermediate layers 142 disposed therebetween; the present disclosure is not limited to only one intermediate layer 142 .

圖8是流程示意圖,例示本揭露一些實施例之半導體結構的製備方法S1。製備方法S1包括:(S11)形成一第一圖案在一基底上;(S12)形成一光致發光層在該第一圖案上;(S13)形成一中間層在該光致發光層與該基底上;(S14)形成一圖案化遮罩層在該中間層上;以及(S15)檢測該圖案化遮罩層與該第一圖案的一對準。 FIG. 8 is a schematic flowchart illustrating a method S1 for fabricating a semiconductor structure according to some embodiments of the present disclosure. The preparation method S1 includes: (S11) forming a first pattern on a substrate; (S12) forming a photoluminescent layer on the first pattern; (S13) forming an intermediate layer between the photoluminescent layer and the substrate (S14) forming a patterned mask layer on the intermediate layer; and (S15) detecting an alignment of the patterned mask layer and the first pattern.

圖9是流程示意圖,例示本揭露一些實施例的步驟S15。在一些實施例中,步驟S15包括數個步驟:(S151)提供一第一光學訊號在該 光致發光層上;(S152)接收來自該光致發光層的一第二光學訊號;(S153)過濾該第二光學訊號;(S154)將該第二光學訊號轉換成一第一電訊號;(S155)提供一第三光學訊號在該圖案化遮罩層上;(S156)接收來自該圖案化遮罩層的一第四光學訊號;(S157)將該第四光學訊號轉換成一第二電訊號;(158)處理該第一電訊號以及該第二電訊號,以確定該圖案化遮罩層與該第一圖案的該對準。 FIG. 9 is a schematic flowchart illustrating step S15 of some embodiments of the present disclosure. In some embodiments, step S15 includes several steps: (S151) providing a first optical signal at the (S152) receiving a second optical signal from the photoluminescent layer; (S153) filtering the second optical signal; (S154) converting the second optical signal into a first electrical signal; ( S155) providing a third optical signal on the patterned mask layer; (S156) receiving a fourth optical signal from the patterned mask layer; (S157) converting the fourth optical signal into a second electrical signal ; (158) processing the first electrical signal and the second electrical signal to determine the alignment of the patterned mask layer and the first pattern.

製備方法S1包括多個操作與多個步驟,且描述與說明不被認為是對該等操作與該等步驟順序的限制。應當理解,製備方法S1的該等步驟可在各式不同方面的範圍內重新配置或以其他方式改良。在製備方法S1之前、期間以及之後,可提供多個額外的製程,且一些其他的製程

Figure 111123043-A0305-02-0016-4
僅簡短地在文中進行描述。因此,在文中所描述的各式不同方面的範圍內,其他之實現是可能的。 The preparation method S1 includes multiple operations and multiple steps, and the description and illustration are not considered to limit the sequence of these operations and these steps. It should be understood that the steps of preparation method S1 may be reconfigured or otherwise modified within the scope of a variety of different aspects. Several additional processes may be provided before, during and after preparation method S1, and some other processes
Figure 111123043-A0305-02-0016-4
Only briefly described in the text. Thus, other implementations are possible within the scope of the various aspects described herein.

圖10是依據本揭露的一些實施例之製備方法S1的一階段的剖視示意圖。在步驟S11中,一基底100設置在一晶圓10上,且一第一圖案21形成在基底100上。在一些實施例中,第一圖案21形成在一切割線區30中。在一些實施例中,第一圖案21形成在一元件區40中。一或多個電子元件101可形成在基底100上。該電子元件可為一主動元件或一被動元件。該等主動元件或該等被動元件的例子可包含如上所述的那些元件,且在文中省略其重覆描述。在一些實施例中,電子元件101為一電容器。在一些實施例中,電子元件101的一高度H1不同於第一圖案21的一厚度H2。在一些實施例中,電子元件101的高度H1大於第一圖案21的厚度H2。在一些實施例中,高度H1在0.5到3微米的範圍之間。在一些實施例中,高度H1在1到2微米的範圍之間。在一些實施例中,高度H2在0.1到1 微米的範圍之間。在一些實施例中,第一圖案21與電子元件101設置在基底100上的一相同高度處。在一些實施例中,第一圖案21的一下表面與電子元件101的一下表面是呈共面。在一些實施例中,第一圖案21鄰近電子元件101設置。在一些實施例中,第一圖案21直接鄰近電子元件101設置。在一些實施例中,電子元件101形成在元件區40中。在一些實施例中,電子元件101形成在元件區40的周圍處。在一些實施例中,電子元件101形成在用於電性檢查(electrical examination)的切割線區30中。在一些實施例中,第一圖案21視為一疊對標記。 FIG. 10 is a schematic cross-sectional view of a stage of the preparation method S1 according to some embodiments of the present disclosure. In step S11 , a substrate 100 is disposed on a wafer 10 , and a first pattern 21 is formed on the substrate 100 . In some embodiments, the first pattern 21 is formed in a scribe line region 30 . In some embodiments, the first pattern 21 is formed in a device region 40 . One or more electronic components 101 may be formed on the substrate 100 . The electronic component can be an active component or a passive component. Examples of the active elements or the passive elements may include those elements described above, and repeated descriptions thereof are omitted herein. In some embodiments, the electronic component 101 is a capacitor. In some embodiments, a height H1 of the electronic component 101 is different from a thickness H2 of the first pattern 21 . In some embodiments, the height H1 of the electronic component 101 is greater than the thickness H2 of the first pattern 21 . In some embodiments, height H1 is in the range of 0.5 to 3 microns. In some embodiments, height H1 is in the range of 1 to 2 microns. In some embodiments, the height H2 is between 0.1 and 1 range of microns. In some embodiments, the first pattern 21 and the electronic component 101 are disposed at the same height on the substrate 100 . In some embodiments, the lower surface of the first pattern 21 and the lower surface of the electronic component 101 are coplanar. In some embodiments, the first pattern 21 is disposed adjacent to the electronic component 101 . In some embodiments, the first pattern 21 is disposed directly adjacent to the electronic component 101 . In some embodiments, electronic components 101 are formed in component region 40 . In some embodiments, the electronic components 101 are formed around the component region 40 . In some embodiments, the electronic components 101 are formed in the scribe line area 30 for electrical examination. In some embodiments, the first pattern 21 is considered as a stack of pairs of marks.

圖11是依據本揭露的一些實施例之製備方法S1的一不同階段的剖視示意圖。在步驟S12中,一光致發光層21a形成在第一圖案21上。在一些實施例中,光致發光層21a僅形成在切割線區30中。在一些實施例中,光致發光層21a僅形成在第一圖案21上。在一些實施例中,光致發光層21a與第一圖案21重疊。在一些實施例中,光致發光層21a完全與第一圖案21重疊。 FIG. 11 is a schematic cross-sectional view of different stages of the preparation method S1 according to some embodiments of the present disclosure. In step S12 , a photoluminescent layer 21 a is formed on the first pattern 21 . In some embodiments, the photoluminescent layer 21 a is formed only in the scribe line region 30 . In some embodiments, the photoluminescent layer 21 a is formed only on the first pattern 21 . In some embodiments, the photoluminescent layer 21 a overlaps the first pattern 21 . In some embodiments, the photoluminescent layer 21 a completely overlaps the first pattern 21 .

在一些實施例中,光致發光層21a包括一或多個無機材料。在一些實施例中,光致發光層21a包括以下一或多個:螢光粉(phosphor)、量子點以及多個奈米材料。在一些實施例中,該等奈米材料包括Gd2O2S:R,其中R代表Eu3+、Pr3+或Tb3+。在一些實施例中,光致發光層21a的製作技術包含噴濺、塗佈及/或沉積,並形成在第一圖案21上。在此等實施例中,第一圖案21與光致發光層21a的一總厚度大於厚度H2。在一些實施例中,光致發光層21a的製作技術包含摻雜,且形成在如圖11所示的第一圖案21的一頂部處。在此等實施例中,第一圖案21與光致發光層21a的總厚度大致等於厚度H2。 In some embodiments, the photoluminescent layer 21a includes one or more inorganic materials. In some embodiments, the photoluminescent layer 21a includes one or more of the following: phosphor, quantum dots, and a plurality of nanomaterials. In some embodiments, the nanomaterials include Gd 2 O 2 S:R, wherein R represents Eu 3+ , Pr 3+ or Tb 3+ . In some embodiments, the fabrication techniques of the photoluminescent layer 21 a include sputtering, coating and/or deposition, and are formed on the first pattern 21 . In these embodiments, a total thickness of the first pattern 21 and the photoluminescent layer 21a is greater than the thickness H2. In some embodiments, the fabrication technique of the photoluminescent layer 21 a includes doping, and is formed at a top of the first pattern 21 as shown in FIG. 11 . In these embodiments, the total thickness of the first pattern 21 and the photoluminescent layer 21a is approximately equal to the thickness H2.

在一些實施例中,在第一圖案21形成之前,光致發光層21a形成在基底100上,以形成類似於如圖6所示的一配置。在此等實施例中,光致發光層21a的製作技術包含噴濺、塗佈及/或沉積。在一些實施例中,一起圖案化光致發光層21a與第一圖案21,而光致發光層21a可完全被第一圖案21所覆蓋。 In some embodiments, before the first pattern 21 is formed, the photoluminescent layer 21a is formed on the substrate 100 to form a configuration similar to that shown in FIG. 6 . In these embodiments, the fabrication techniques of the photoluminescent layer 21a include sputtering, coating and/or deposition. In some embodiments, the photoluminescent layer 21a and the first pattern 21 are patterned together, and the photoluminescent layer 21a may be completely covered by the first pattern 21 .

圖12是依據本揭露的一些實施例之製備方法S1的一不同階段的剖視示意圖。在步驟S13中,一中間層141形成在光致發光層21a、第一圖案21以及基底100上。在一些實施例中,中間層141是互連結構的一介電層,用以提供互連結構的不同電路徑之間的電性絕緣。在一些實施例中,該介電層包括介電材料,而介電材料類似於或相同於如上所述之絕緣結構的介電材料。在一些實施例中,中間層141的製作技術包含沉積。在一些實施例中,中間層141包括氧化物,且製作技術包含一沉積,該沉積具有350℃以上的一溫度。在一些實施例中,沉積的該溫度在350℃到375℃的範圍之間。在一些實施例中,在一溫度大致等於或大於375℃之下,光致發光層21a的光致發光或螢光材料是穩定的。 FIG. 12 is a schematic cross-sectional view of different stages of the preparation method S1 according to some embodiments of the present disclosure. In step S13 , an intermediate layer 141 is formed on the photoluminescent layer 21 a , the first pattern 21 and the substrate 100 . In some embodiments, the intermediate layer 141 is a dielectric layer of the interconnect structure for providing electrical isolation between different electrical paths of the interconnect structure. In some embodiments, the dielectric layer includes a dielectric material similar to or identical to the dielectric material of the insulating structure described above. In some embodiments, the fabrication technique of the intermediate layer 141 includes deposition. In some embodiments, the intermediate layer 141 includes oxide, and the fabrication technique includes a deposition having a temperature above 350°C. In some embodiments, the temperature of deposition ranges from 350°C to 375°C. In some embodiments, the photoluminescent or fluorescent material of photoluminescent layer 21a is stable at a temperature approximately equal to or greater than 375°C.

在一些實施例中,執行一共形沉積以形成中間層141。中間層141的一輪廓可共形於電子元件101與第一圖案21的一輪廓。在一些實施例中,由於沉積的特性,因此中間層141在不同高度之不同部分可具有不同厚度。在一些實施例中,中間層141設置在電子元件101上之一第一部分的一厚度H3大致大於中間層141設置在第一圖案21上之一第二部分的一厚度H4。在一些實施例中,中間層141的一整體厚度在1.5到3微米的範圍之間。在一些實施例中,中間層141的一整體厚度在2到3微米的範圍之間。 In some embodiments, a conformal deposition is performed to form the intermediate layer 141 . A contour of the middle layer 141 can conform to a contour of the electronic device 101 and the first pattern 21 . In some embodiments, the intermediate layer 141 may have different thicknesses at different portions at different heights due to the nature of the deposition. In some embodiments, a thickness H3 of a first portion of the intermediate layer 141 disposed on the electronic component 101 is substantially greater than a thickness H4 of a second portion of the intermediate layer 141 disposed on the first pattern 21 . In some embodiments, an overall thickness of the intermediate layer 141 ranges from 1.5 to 3 microns. In some embodiments, an overall thickness of the intermediate layer 141 ranges from 2 to 3 microns.

圖13是依據本揭露的一些實施例之製備方法S1的一不同階段的剖視示意圖。在步驟S14之前,一遮罩層311形成在中間層141上。在一些實施例中,遮罩層311包括光阻材料。在一些實施例中,遮罩層311為一光阻層。在一些實施例中,遮罩層311的一上表面313大致為一平坦表面。在一些實施例中,由於電子元件101與第一圖案21的不同厚度,因此遮罩層311的上表面313包括如圖13所示的一傾斜部分。在一些實施例中,遮罩層311在電子元件101上之一第一部分的一厚度H5小於遮罩層311在第一圖案21上之一第二部分的一厚度H6。在一些實施例中,厚度H5在1.5到2.5微米的範圍之間。在一些實施例中,厚度H6在2.5到3.5微米的範圍之間。 FIG. 13 is a schematic cross-sectional view of different stages of the preparation method S1 according to some embodiments of the present disclosure. Before step S14 , a mask layer 311 is formed on the intermediate layer 141 . In some embodiments, the mask layer 311 includes a photoresist material. In some embodiments, the mask layer 311 is a photoresist layer. In some embodiments, an upper surface 313 of the mask layer 311 is substantially a flat surface. In some embodiments, due to the different thicknesses of the electronic component 101 and the first pattern 21 , the upper surface 313 of the mask layer 311 includes an inclined portion as shown in FIG. 13 . In some embodiments, a thickness H5 of a first portion of the mask layer 311 on the electronic component 101 is smaller than a thickness H6 of a second portion of the mask layer 311 on the first pattern 21 . In some embodiments, thickness H5 is in the range of 1.5 to 2.5 microns. In some embodiments, thickness H6 is in the range of 2.5 to 3.5 microns.

在一些實施例中,在一點A與第一圖案21的一上表面之間所測量的一距離H7大於5.7微米,其中點A在第一圖案21的上表面313上,而上表面313位在遮罩層311之最大厚度的一位置處。在一些實施例中,一距離H8稍微大於距離H7,其中距離H8是在遮罩層311在電子元件101上肢該第一部分的一頂部與第一圖案21的上表面之間所測量的。在一些實施例中,在距離H8與距離H7之間的差是可忽略不計的,且可將其忽略。 In some embodiments, a distance H7 measured between a point A and an upper surface of the first pattern 21 is greater than 5.7 microns, wherein the point A is on the upper surface 313 of the first pattern 21, and the upper surface 313 is located at A position of the maximum thickness of the mask layer 311 . In some embodiments, a distance H8 is slightly greater than a distance H7 , wherein the distance H8 is measured between a top of the first portion of the upper limb of the electronic component 101 and the upper surface of the first pattern 21 of the mask layer 311 . In some embodiments, the difference between distance H8 and distance H7 is negligible and can be ignored.

接下來,在製備方法S1的步驟S14中,圖案化遮罩層311以形成一圖案化遮罩層。圖案化遮罩層的一圖案可當作一電流層,用於在步驟S15中所執行的對準檢測。 Next, in step S14 of the manufacturing method S1, the mask layer 311 is patterned to form a patterned mask layer. A pattern of the patterned mask layer can be used as a current layer for the alignment detection performed in step S15.

按照慣例,該電流層與一前層之一對準的一檢查單純是取決於一傳統疊對標記的反射。在一電流層中之一疊對標記的一頂部以及在一前層中之一疊對標記的一頂部是在檢查期間進行檢測。然而,在該電流層之該疊對標記的該頂部與在該前層中之該疊頓標記的該頂部之間的一距 離可能大於一檢測器的一場深度(DOF),或者是在二疊對標記之間的一或多個層間層(interlayers)的一厚度可能大於該檢測器的DOF。因此,可能無法清楚的或精確地檢測在該前層中的該疊對標記。本揭露提供一種疊對標記的結構(例如在圖13中的第一圖案21),其包括一光致發光或螢光材料,且即使距離H7大於該檢測器的DOF,仍可精確地獲得在該前層中之該疊對標記的檢測。 Conventionally, a check of the alignment of the current layer with one of the previous layers depends solely on the reflection of a conventional overlay mark. A top of an overlay mark in a current layer and a top of an overlay mark in a previous layer are detected during inspection. However, a distance between the top of the overlay mark of the current layer and the top of the overlay mark in the previous layer The separation distance may be greater than the depth of field (DOF) of a detector, or a thickness of one or more interlayers between the two stacked pairs of marks may be greater than the DOF of the detector. Therefore, the overlay mark in the front layer may not be clearly or accurately detected. The present disclosure provides an overlay mark structure (such as the first pattern 21 in FIG. 13 ), which includes a photoluminescent or fluorescent material, and even if the distance H7 is greater than the DOF of the detector, it can still be accurately obtained at Detection of the overlay mark in the front layer.

圖14是依據本揭露的一些實施例之製備方法S1的一不同階段沿著圖3之剖線C-C'或是圖4之剖線D-D'的剖視示意圖。在一些實施例中,依據步驟S11到S13以及一遮罩層311的形成,如圖14所示,形成一中間結構。 14 is a schematic cross-sectional view along the line CC' of FIG. 3 or the line DD' of FIG. 4 at different stages of the preparation method S1 according to some embodiments of the present disclosure. In some embodiments, according to steps S11 to S13 and the formation of a mask layer 311 , as shown in FIG. 14 , an intermediate structure is formed.

圖15是依據本揭露的一些實施例之製備方法S1的一不同階段沿著圖3之剖線C-C'或是圖4之剖線D-D'的剖視示意圖。在步驟S14中,圖14之圖案化遮罩層311已經形成圖15之一圖案化遮罩層312。在一些實施例中,在遮罩層311上執行一曝光以及一顯影製程,且然後移除遮罩層311的一些部分以形成圖案化遮罩層312。在一些實施例中,執行一蝕刻製程以移除遮罩層311的該等部分。在一些實施例中,從一頂視圖來看,圖案化遮罩層312之一圖案的至少一部分的一配置類似於如圖3或圖4所示的配置。在一些實施例中,從一頂視圖來看,光致發光層21a或是第一圖案21經由圖案化遮罩層312而暴露。在一些實施例中,從一頂視圖來看,光致發光層21a或是第一圖案21被圖案化遮罩層312的至少一部分所圍繞,其中圖案化遮罩層312的該部分當作一疊對標記。在一些實施例中,從該頂視圖來看,光致發光層21a或是第一圖案21被圖案化遮罩層312的該部分所包圍。 15 is a schematic cross-sectional view along the section line CC' of FIG. 3 or the section line DD' of FIG. 4 at different stages of the preparation method S1 according to some embodiments of the present disclosure. In step S14 , the patterned mask layer 311 of FIG. 14 has formed the patterned mask layer 312 of FIG. 15 . In some embodiments, an exposure and a development process are performed on the mask layer 311 , and then some portions of the mask layer 311 are removed to form the patterned mask layer 312 . In some embodiments, an etching process is performed to remove the portions of the mask layer 311 . In some embodiments, a configuration of at least a portion of a pattern of the patterned mask layer 312 is similar to that shown in FIG. 3 or FIG. 4 from a top view. In some embodiments, from a top view, the photoluminescent layer 21 a or the first patterns 21 are exposed through the patterned mask layer 312 . In some embodiments, from a top view, the photoluminescent layer 21a or the first pattern 21 is surrounded by at least a part of the patterned mask layer 312, wherein the part of the patterned mask layer 312 is regarded as a Overlay markers. In some embodiments, from the top view, the photoluminescent layer 21 a or the first pattern 21 is surrounded by the portion of the patterned mask layer 312 .

當作一疊對標記之圖案化遮罩層312的該部分亦可被視為一第二圖案22。在一些實施例中,在第二圖案22的一頂部與第一圖案21的頂部之間的一距離H9大致等於距離H7。在一些實施例中,距離H9大於該檢測器的DOF。在一些實施例中,距離H9大於5.7微米。 The portion of the patterned mask layer 312 serving as a stack of marks can also be regarded as a second pattern 22 . In some embodiments, a distance H9 between a top of the second pattern 22 and a top of the first pattern 21 is substantially equal to the distance H7. In some embodiments, distance H9 is greater than the DOF of the detector. In some embodiments, distance H9 is greater than 5.7 microns.

圖16是依據本揭露的一些實施例之製備方法S1的一不同階段沿著圖3之剖線C-C'或是圖4之剖線D-D'的剖視示意圖。在步驟S15的步驟S151中,在第一圖案21的光致發光層21a上提供一第一光學訊號51。在一些實施例中,提供跨經整個晶圓10的第一光學訊號51。在一些實施例中,在切割線區30與元件區40兩者中提供第一光學訊號51。在一些實施例中,僅在光致發光層21a上提供第一光學訊號51。第一光學訊號51的一波長可在下列波長的範圍之間:近紅外線(NIR)、遠紅外線(FIR)、紫外線(UV)、近紫外線(NUV)、遠紫外線(FUV)、綠光、黃光、紅光或其組合。在一些實施例中,在基底100上提供第一光學訊號51。光致發光層21a的光致發光或螢光材料被第一光學訊號51所激發。激發的光致發光或螢光材料的多個電子從激發態(excited states)回到基態(ground states),且在回到基態之該等電子上放射輻射。 16 is a schematic cross-sectional view along the section line CC' of FIG. 3 or the section line DD' of FIG. 4 at different stages of the preparation method S1 according to some embodiments of the present disclosure. In step S151 of step S15 , a first optical signal 51 is provided on the photoluminescent layer 21 a of the first pattern 21 . In some embodiments, the first optical signal 51 is provided across the entire wafer 10 . In some embodiments, the first optical signal 51 is provided in both the scribe line area 30 and the device area 40 . In some embodiments, the first optical signal 51 is only provided on the photoluminescent layer 21a. A wavelength of the first optical signal 51 may be in the range of the following wavelengths: near infrared (NIR), far infrared (FIR), ultraviolet (UV), near ultraviolet (NUV), far ultraviolet (FUV), green, yellow light, red light, or a combination thereof. In some embodiments, the first optical signal 51 is provided on the substrate 100 . The photoluminescent or fluorescent material of the photoluminescent layer 21 a is excited by the first optical signal 51 . Electrons of the excited photoluminescent or fluorescent material are returned from excited states to ground states, and radiation is emitted on the electrons returned to the ground state.

圖17是依據本揭露的一些實施例之製備方法S1的一不同階段沿著圖3之剖線C-C'或是圖4之剖線D-D'的剖視示意圖。在步驟S15的步驟S152中,藉由一檢測器接收一第二光學訊號52。在一些實施例中,第二光學訊號52為激發光致發光層21a之輻射緩解(radiation relaxation)的一結果。在一些實施例中,第二光學訊號52是藉由光致發光層21a所放射的輻射。在一些實施例中,第二光學訊號52是可見的輻射。在一些實施例中,第二光學訊號52是不可見的輻射。第二光學訊號52藉由本揭露的一 系統進行處理,以確定在步驟S153到S154以及步驟S158中之第一圖案21的一位置,且此系統在下列的描述中進行敘述。 17 is a schematic cross-sectional view along the section line CC' of FIG. 3 or the section line DD' of FIG. 4 at different stages of the preparation method S1 according to some embodiments of the present disclosure. In step S152 of step S15, a second optical signal 52 is received by a detector. In some embodiments, the second optical signal 52 is a result of radiation relaxation that excites the photoluminescent layer 21a. In some embodiments, the second optical signal 52 is radiation emitted by the photoluminescent layer 21a. In some embodiments, the second optical signal 52 is visible radiation. In some embodiments, the second optical signal 52 is invisible radiation. The second optical signal 52 is passed through one of the disclosed The system performs processing to determine a position of the first pattern 21 in steps S153 to S154 and step S158, and the system is described in the following description.

圖18是依據本揭露的一些實施例之製備方法S1的一不同階段沿著圖3之剖線C-C'或是圖4之剖線D-D'的剖視示意圖。在步驟S15的步驟S155中,在圖案化遮罩層312的第二圖案22上提供一第三光學訊號53。在一些實施例中,提供跨經整個晶圓10的第三光學訊號53。在一些實施例中,在晶圓10的切割線區30中提供第三光學訊號53。在一些實施例中,僅在第二圖案22上提供第三光學訊號53。第三光學訊號53可為可見的輻射或是不可見的輻射。第三光學訊號53的一波長可在下列波長的範圍之間:近紅外線(NIR)、遠紅外線(FIR)、紫外線(UV)、近紫外線(NUV)、遠紫外線(FUV)、綠光、黃光、紅光或其組合。在第二圖案22上之第三光學訊號53的部分或全部反射成一回饋訊號(feedback signal)。 18 is a schematic cross-sectional view along the section line CC' of FIG. 3 or the section line DD' of FIG. 4 at different stages of the preparation method S1 according to some embodiments of the present disclosure. In step S155 of step S15 , a third optical signal 53 is provided on the second pattern 22 of the patterned mask layer 312 . In some embodiments, the third optical signal 53 is provided across the entire wafer 10 . In some embodiments, the third optical signal 53 is provided in the dicing line region 30 of the wafer 10 . In some embodiments, the third optical signal 53 is only provided on the second pattern 22 . The third optical signal 53 can be visible radiation or invisible radiation. A wavelength of the third optical signal 53 may be in the range of the following wavelengths: near infrared (NIR), far infrared (FIR), ultraviolet (UV), near ultraviolet (NUV), far ultraviolet (FUV), green, yellow light, red light, or a combination thereof. Part or all of the third optical signal 53 on the second pattern 22 is reflected into a feedback signal.

圖19是依據本揭露的一些實施例之製備方法S1的一不同階段沿著圖3之剖線C-C'或是圖4之剖線D-D'的剖視示意圖。在步驟S15的步驟S156中,接收一第四光學訊號54。在一些實施例中,第四光學訊號54是從第二圖案22的反射或是回饋訊號。第四光學訊號54藉由本揭露的系統進行處理,以確定第二圖案22在步驟S15之步驟S157到S158中的一位置,且此系統在下列描述中進行敘述。在一些實施例中,第二光學訊號52與第四光學訊號54結合以檢查第一圖案21與第二圖案22的一對準。若是該對準是精確的話,則該製備方法進行一接續的步驟;或者是,若是第一圖案21與第二圖案22是未對準的話,則可移除圖案化遮罩層312,並重複一遮罩層的形成與圖案化(例如步驟S14)。 FIG. 19 is a schematic cross-sectional view along the section line CC' of FIG. 3 or the section line DD' of FIG. 4 at different stages of the preparation method S1 according to some embodiments of the present disclosure. In step S156 of step S15, a fourth optical signal 54 is received. In some embodiments, the fourth optical signal 54 is a reflection or feedback signal from the second pattern 22 . The fourth optical signal 54 is processed by the disclosed system to determine a position of the second pattern 22 in steps S157 to S158 of step S15, and this system is described in the following description. In some embodiments, the second optical signal 52 is combined with the fourth optical signal 54 to check the alignment of the first pattern 21 and the second pattern 22 . If the alignment is accurate, the manufacturing method proceeds to a subsequent step; or, if the first pattern 21 and the second pattern 22 are misaligned, the patterned mask layer 312 may be removed and repeated. Formation and patterning of a mask layer (eg step S14).

圖20是依據本揭露的一些實施例之製備方法S1的一不同階 段沿著圖3之剖線C-C'或是圖4之剖線D-D'的剖視示意圖。在步驟S15之後,製備方法S1還包括將圖案化遮罩層312的該圖案轉換成中間層141,以形成一第三圖案23。在一些實施例中,第三圖案23設置在切割線區30中。在一些實施例中,第三圖案23設置在元件區40中。在一些實施例中,第三圖案23設置在元件區40的一角落處。在一些實施例中,第三圖案23具有類似於在圖3中之疊對標記22或是在圖4中之疊對標記22的一配置。在一些實施例中,第三圖案23設置在與第一圖案21相同的一高度處。在一些實施例中,第三圖案23的一厚度H10大於第一圖案21的厚度H2。 Figure 20 is a different stage of the preparation method S1 according to some embodiments of the present disclosure The section is a schematic cross-sectional view along the section line CC' in FIG. 3 or the section line DD' in FIG. 4 . After step S15 , the manufacturing method S1 further includes converting the pattern of the patterned mask layer 312 into the intermediate layer 141 to form a third pattern 23 . In some embodiments, the third pattern 23 is disposed in the scribe line area 30 . In some embodiments, the third pattern 23 is disposed in the device area 40 . In some embodiments, the third pattern 23 is disposed at a corner of the device region 40 . In some embodiments, the third pattern 23 has a configuration similar to the overlay mark 22 in FIG. 3 or the overlay mark 22 in FIG. 4 . In some embodiments, the third pattern 23 is disposed at the same height as the first pattern 21 . In some embodiments, a thickness H10 of the third pattern 23 is greater than a thickness H2 of the first pattern 21 .

本揭露提供一種方法,包括形成一光致發光層在一前層的一疊對標記上,以更好的檢測該前層的該疊對標記與一電流層之間的對準。即使該前層與該電流層之各疊對標記的頂部之間的一距離大於一檢測器的一場深度,仍可檢測該前層之疊對標記的一精確位置。該光致發光層可形成在該疊對標記的一底部處或是一頂部上。此外,光致發光層包括光致發光或螢光材料,其可承受等於或大於375℃的溫度,因此,該光致發光層可容易地應用在一傳統的半導體製造程序中。應當理解,本揭露的光致發光層可應用在需要一對準檢查之一半導體結構的任何一層之一疊對標記上。 The present disclosure provides a method comprising forming a photoluminescent layer on an overlay mark of a front layer to better detect the alignment between the overlay mark of the front layer and a current layer. Even if a distance between the top of each overlay mark of the front layer and the current layer is greater than a depth of field of a detector, an accurate position of the overlay mark of the front layer can still be detected. The photoluminescent layer can be formed at a bottom or a top of the overlay mark. In addition, the photoluminescent layer includes photoluminescent or fluorescent materials that can withstand temperatures equal to or greater than 375° C., and thus, the photoluminescent layer can be easily applied in a conventional semiconductor manufacturing process. It should be understood that the photoluminescent layer of the present disclosure may be applied to an overlay mark on any layer of a semiconductor structure requiring an alignment check.

為了執行製備方法S1,特別是用於對準檢查之步驟S15的檢測,本揭露提供製備一半導體結構的一系統。 In order to perform the fabrication method S1 , especially the detection in step S15 for alignment inspection, the present disclosure provides a system for fabricating a semiconductor structure.

圖21是方塊的示意圖,例示本揭露一些實施例的半導體製造系統700。 FIG. 21 is a block diagram illustrating a semiconductor manufacturing system 700 according to some embodiments of the present disclosure.

半導體製造系統700可包括複數個製造設備710、720-1、 720-2...720-N、一曝光設備730以及一對準設備740。製造設備710、720-1、720-2...720-N、曝光設備730以及對準設備740可經由一網路750而耦接到一控制器760。 The semiconductor manufacturing system 700 may include a plurality of manufacturing equipment 710, 720-1, 720 - 2 . . . 720 -N, an exposure device 730 and an alignment device 740 . The fabrication equipment 710 , 720 - 1 , 720 - 2 . . . 720 -N, the exposure equipment 730 and the alignment equipment 740 may be coupled to a controller 760 via a network 750 .

為了形成一層或一結構在一晶圓10上,因此製造設備710可經配置以執行多個步驟。在一些實施例中,製造設備710可經配置以形成一絕緣結構、一閘極結構以及一半導體結構的多個導電層。製造設備720-1、720-2...720-N可經配置以形成多層,例如如圖10到圖20所示的第一圖案21、中間層141、光致發光層21a以及遮罩層312。每一個製造設備720-1、720-2...720-N可經配置以執行一沉積製程、一蝕刻製程、一化學機械研磨製程、一光阻塗佈製程、一烘烤製程、一對準製程或其他製程。 In order to form a layer or a structure on a wafer 10, the manufacturing equipment 710 may therefore be configured to perform a plurality of steps. In some embodiments, fabrication apparatus 710 may be configured to form conductive layers of an insulating structure, a gate structure, and a semiconductor structure. The manufacturing apparatuses 720-1, 720-2...720-N may be configured to form multiple layers, such as the first pattern 21, the intermediate layer 141, the photoluminescent layer 21a, and the mask layer as shown in FIGS. 10 to 20 312. Each fabrication tool 720-1, 720-2...720-N can be configured to perform a deposition process, an etching process, a chemical mechanical polishing process, a photoresist coating process, a baking process, a pair of quasi-process or other process.

曝光設備730可經配置以執行多個圖案化步驟,以形成例如如圖10到圖20所示的第一圖案21、第二圖案22以及第三圖案23。 The exposure apparatus 730 may be configured to perform a plurality of patterning steps to form, for example, the first pattern 21 , the second pattern 22 and the third pattern 23 as shown in FIGS. 10 to 20 .

對準設備740可經配置以產生在不同高度處之二疊對標記的一對準結果。對準設備740可經配置以獲得一前層之一圖案(例如第一圖案21)與一電流層之一圖案(例如第二圖案22)的一光學影像,並依據該前層與該電流層之各圖案的各前述光學影像而產生一對準結果。 Alignment apparatus 740 may be configured to produce an alignment result of two overlay marks at different heights. Alignment device 740 can be configured to obtain an optical image of a pattern of a front layer (eg, first pattern 21 ) and a pattern of a current layer (eg, second pattern 22 ), and according to the front layer and the current layer Each of the aforementioned optical images of each of the patterns produces an alignment result.

網路750可為網際網路或是一內部網路實施網路協定,例如傳輸控制協定(TCP)。經由網路750,每一個製造設備710、720-1、720-2...720-N、曝光設備730以及對準設備740可從控制器760下載關於晶圓10或製造裝置之在製品(WIP)的資訊,或是將其上傳到控制器760。在一些實施例中,每一個製造設備710、720-1、720-2...720-N、曝光設備730以及對準設備740電性連接到網路750。在一些實施例中,每一個製造設備710、720-1、720-2...720-N、曝光設備730以及對準設備740無線連 接到網路750。 Network 750 may be the Internet or an intranet implementing a network protocol, such as Transmission Control Protocol (TCP). Via the network 750, each of the fabrication equipment 710, 720-1, 720-2...720-N, the exposure equipment 730, and the alignment equipment 740 can download from the controller 760 the WIP ( WIP), or upload it to the controller 760. In some embodiments, each of the fabrication equipment 710 , 720 - 1 , 720 - 2 . . . 720 -N, the exposure equipment 730 and the alignment equipment 740 is electrically connected to the network 750 . In some embodiments, each of fabrication equipment 710, 720-1, 720-2...720-N, exposure equipment 730, and alignment equipment 740 is wirelessly connected. Connect to the network 750.

控制器760經配置以控制該半導體結構或晶圓10的製造。控制器760可電性地或無線地連接到每一個製造設備710、720-1、720-2...720-N、曝光設備730以及對準設備740。控制器760可包括一處理器,例如一中央處理單元(CPU)。在一些實施例中,控制器760可依據來自對準設備740的資料而產生一對準結果。在一些實施例中,該對準結果是藉由在對準設備740中的另一個控制器所產生的,且控制器760可接收來自對準設備740的該對準結果。在一些實施例中,若是該對準結果是正的或該對準是精確的話,則控制器760可使晶圓10的製造進行到該製備方法的下一階段。 The controller 760 is configured to control the fabrication of the semiconductor structure or wafer 10 . The controller 760 is electrically or wirelessly connected to each of the fabrication equipment 710 , 720 - 1 , 720 - 2 . . . 720 -N, the exposure equipment 730 and the alignment equipment 740 . Controller 760 may include a processor, such as a central processing unit (CPU). In some embodiments, the controller 760 can generate an alignment result according to the data from the alignment device 740 . In some embodiments, the alignment result is generated by another controller in the alignment device 740 , and the controller 760 can receive the alignment result from the alignment device 740 . In some embodiments, if the alignment result is positive or the alignment is accurate, the controller 760 may proceed the fabrication of the wafer 10 to the next stage of the fabrication method.

在一些例示的實施例中,一晶圓10傳送到製造設備710以開始一系列的不同製程。晶圓10可依據該製備方法的不同階段而進行處理,以形成至少一材料層。該等例示的實施例並不意指限制在晶圓10上所執行的該等製程。在其他一些例示的實施例中,晶圓10可包括不同層,且在晶圓10傳送到製造設備710之前,該製備方法的任何階段可在一產品的一開始以及一完成之間執行。在該等例示的實施例中,晶圓10可依順序藉由製造設備710、720-1、720-2...720-N、曝光設備730以及對準設備740進行處理。 In some exemplary embodiments, a wafer 10 is transferred to fabrication facility 710 to begin a series of different processes. Wafer 10 may be processed according to different stages of the fabrication method to form at least one material layer. The illustrated embodiments are not meant to limit the processes performed on wafer 10 . In other exemplary embodiments, the wafer 10 may include different layers, and any stage of the fabrication method may be performed between the beginning and the completion of a product before the wafer 10 is transferred to the fabrication facility 710 . In the illustrated embodiments, wafer 10 may be sequentially processed by fabrication equipment 710 , 720 - 1 , 720 - 2 . . . 720 -N, exposure equipment 730 , and alignment equipment 740 .

雖然圖21在製造設備710之前並未顯示任何製造設備,但該例示的實施例並非意指受到限制。在其他一些例示的實施例中,在製造設備710之前可使用不同種類的製造設備,並可依據設計需求而用於執行不同製程。 Although FIG. 21 does not show any fabrication equipment prior to fabrication equipment 710, this illustrated embodiment is not meant to be limiting. In some other exemplary embodiments, different types of manufacturing equipment can be used before the manufacturing equipment 710, and can be used to perform different processes according to design requirements.

對準設備740可包括數個單元或元件。在一些實施例中, 對準設備740視為一對準檢查系統740。 Alignment device 740 may comprise several units or elements. In some embodiments, The alignment device 740 is considered an alignment inspection system 740 .

圖22是示意圖,例示本揭露一些實施例的對準設備740。在一些實施例中,對準設備740包括一平台741、一光學元件742、一檢測單元743、一控制器744以及一介面745。 FIG. 22 is a schematic diagram illustrating an alignment device 740 of some embodiments of the present disclosure. In some embodiments, the alignment device 740 includes a platform 741 , an optical element 742 , a detection unit 743 , a controller 744 and an interface 745 .

平台741可經配置以支撐一晶圓10,以經歷一對準檢測及/或一對準檢查。在一些實施例中,在步驟S16之後,晶圓10傳送到對準設備740以執行步驟S17。在一些實施例中,晶圓10從曝光設備730或其中一個製造設備720-1到720-N所傳送。在一些實施例中,晶圓10傳送進入對準設備740並設置在平台741上。 Platform 741 may be configured to support a wafer 10 to undergo an alignment inspection and/or an alignment inspection. In some embodiments, after step S16, the wafer 10 is transferred to the alignment device 740 to perform step S17. In some embodiments, wafer 10 is transferred from exposure facility 730 or one of fabrication facilities 720-1 through 720-N. In some embodiments, wafer 10 is conveyed into alignment apparatus 740 and disposed on platform 741 .

光學元件742可經配置以放射一輻射或是一光學訊號,以激發在晶圓10之一切割線區30中之一疊對標記的一光致發光材料。在一些實施例中,該輻射是如圖16所示的第一光學訊號51。在一些實施例中,在一切割線區30中之一前層的疊對標記之光致發光材料上提供該輻射。在一些實施例中,在切割線區30與一元件區40兩者中提供該輻射。在一些實施例中,在整個晶圓10上提供該輻射。該輻射的一波長可在下列波長的範圍之間:近紅外線(NIR)、遠紅外線(FIR)、紫外線(UV)、近紫外線(NUV)、遠紫外線(FUV)、綠光、黃光、紅光或其組合。該光致發光材料被該輻射所激發。激發的光致發光材料的多個電子從激發態回到基態,且一輻射放射在回到基態之該等電子上。 Optical element 742 may be configured to emit a radiation or an optical signal to excite a photoluminescent material of an overlay mark in a dicing line region 30 of wafer 10 . In some embodiments, the radiation is the first optical signal 51 as shown in FIG. 16 . In some embodiments, the radiation is provided on a previous layer of overlay marked photoluminescent material in a scribe line region 30 . In some embodiments, the radiation is provided in both the scribe line region 30 and a device region 40 . In some embodiments, the radiation is provided across the entire wafer 10 . A wavelength of the radiation may be in the range of the following wavelengths: near infrared (NIR), far infrared (FIR), ultraviolet (UV), near ultraviolet (NUV), far ultraviolet (FUV), green, yellow, red light or a combination thereof. The photoluminescent material is excited by the radiation. Electrons of the excited photoluminescent material return from the excited state to the ground state, and a radiation is emitted on the electrons returning to the ground state.

檢測單元743經配置以檢測從在晶圓10上之疊對標記的光致發光材料所放射的該輻射。在一些實施例中,檢測單元743包括一濾光器743a以及一光學檢測器743b。濾光器743a可經配置以接收以及過濾從光致發光材料所放射的該輻射,且光學檢測器743b可經配置以將由該濾 光器所過濾的一光學訊號轉換到一電訊號。 The detection unit 743 is configured to detect the radiation emitted from the photoluminescent material of the overlay marks on the wafer 10 . In some embodiments, the detection unit 743 includes a filter 743a and an optical detector 743b. Filter 743a can be configured to receive and filter the radiation emitted from the photoluminescent material, and optical detector 743b can be configured to convert radiation emitted by the filter to An optical signal filtered by the optical device is converted into an electrical signal.

圖23是示意圖,例示本揭露一些實施例的一檢測單元743。在一些實施例中,濾光器743a是一波導(waveguide)。在一些實施例中,濾光器743a包括一光柵結構743c。光柵結構743c允許該輻射進入穿過其間。在一些實施例中,光柵結構743c包括不同深度的光柵單元。在一些如圖23所示的實施例中,光柵結構743c包括具有兩個不同深度的二光柵單元。然而,本揭露並不以此為限。在一些實施例中,光柵結構743c可包括一或多個光柵單元。在一些實施例中,該一或多個光柵單元可包括不同深度。在一些實施例中,光柵結構743c為一半導體材料。在一些實施例中,光柵結構743c形成在一半導體基底中。 FIG. 23 is a schematic diagram illustrating a detection unit 743 of some embodiments of the present disclosure. In some embodiments, the filter 743a is a waveguide. In some embodiments, the filter 743a includes a grating structure 743c. The grating structure 743c allows the radiation to pass therethrough. In some embodiments, the grating structure 743c includes grating elements of different depths. In some embodiments as shown in FIG. 23, the grating structure 743c includes two grating units with two different depths. However, the present disclosure is not limited thereto. In some embodiments, the grating structure 743c may include one or more grating units. In some embodiments, the one or more grating elements may comprise different depths. In some embodiments, the grating structure 743c is a semiconductor material. In some embodiments, the grating structure 743c is formed in a semiconductor substrate.

從在晶圓10上之疊對標記的光致發光材料所放射的該輻射是藉由濾光器743a所接收。該輻射可進入光柵結構743c並在濾光器743a的半導體材料中行進。該輻射可重新指向並傳送到光學檢測器743b。在一些實施例中,濾光器743a與光學檢測器743b形成在相同的半導體基底中。在一些實施例中,光學檢測器743b與濾光器743a是接合在一相同基底上的二半導體元件。在一些實施例中,濾光器743a與光學檢測器743b包含在一相同的半導體封裝中。藉由光學檢測器743b接收來自濾光器743a的該輻射或光學訊號,並轉換成一電訊號。該電訊號從光學檢測器743b輸出並發送到控制器744,並產生具有光致發光材料之疊對標記的一資料。 The radiation emitted from the photoluminescent material of the overlay marks on the wafer 10 is received by the filter 743a. This radiation may enter the grating structure 743c and travel within the semiconductor material of the filter 743a. This radiation can be redirected and delivered to optical detector 743b. In some embodiments, the optical filter 743a is formed in the same semiconductor substrate as the optical detector 743b. In some embodiments, the optical detector 743b and the optical filter 743a are two semiconductor devices bonded on the same substrate. In some embodiments, the optical filter 743a is included in the same semiconductor package as the optical detector 743b. The radiation or optical signal from the filter 743a is received by the optical detector 743b and converted into an electrical signal. The electrical signal is output from the optical detector 743b and sent to the controller 744, and generates a data with an overlay mark of photoluminescent material.

在一些實施例中,激發光致發光材料所需的該輻射具有不同於與由於光致發光材料之該等電子緩解(relaxation)而放射之該輻射的一波長。在一些實施例中,濾光器743a之該等波長的一過濾範圍不同於藉 由光學元件742所產生之輻射之該等波長的一範圍。藉由光學元件742所產生之該輻射的一波長可依據光致發光材料進行調整。濾光器743a之該等波長的該過濾範圍亦可依據光致發光材料進行調整。在一些實施例中,濾光器743a的光柵結構743c包括不同深度,以過濾多個輻射之多個波長的不同範圍。該等不同輻射可藉由光學檢測器743b而轉換成不同電訊號,然後,該等電訊號可藉由控制器744而進行處理以及分類。在一些實施例中,僅對應多個波長之一期望範圍的該等電訊號才使用在一對準結果中。 In some embodiments, the radiation required to excite the photoluminescent material has a different wavelength than the radiation emitted due to the relaxation of the electrons of the photoluminescent material. In some embodiments, a filtering range of the wavelengths of the filter 743a is different from that obtained by A range of the wavelengths of the radiation generated by optical element 742 . A wavelength of the radiation generated by the optical element 742 can be adjusted depending on the photoluminescent material. The filter range of the wavelengths of the filter 743a can also be adjusted according to the photoluminescent material. In some embodiments, the grating structure 743c of the filter 743a includes different depths to filter different ranges of the multiple wavelengths of the multiple radiations. The different radiations can be converted into different electrical signals by the optical detector 743b, and then the electrical signals can be processed and classified by the controller 744. In some embodiments, only the electrical signals corresponding to a desired range of wavelengths are used in an alignment result.

請往回參考圖22,控制器744可電性地或無線地連接到光學元件742或檢測單元743。控制器744可經配置以處理來自檢測單元743之光學檢測器743b的電訊號。在一些實施例中,控制器744接收來自檢測單元743的電訊號。藉由控制器744處理該電訊號,以產生顯示在晶圓10上之切割線區30中的疊對標記之一位置。在一些實施例中,控制器744可包括一處理器,例如一中央處理單元(CPU)。在一些實施例中,控制器744是一邏輯元件。在一些實施例中,資料可顯示在介面745上。介面745可電性地或無線地連接到控制器744。 Please refer back to FIG. 22 , the controller 744 can be electrically or wirelessly connected to the optical element 742 or the detection unit 743 . The controller 744 may be configured to process electrical signals from the optical detector 743b of the detection unit 743 . In some embodiments, the controller 744 receives an electrical signal from the detection unit 743 . The electrical signal is processed by the controller 744 to generate a position of the overlay marks displayed in the dicing line area 30 on the wafer 10 . In some embodiments, controller 744 may include a processor, such as a central processing unit (CPU). In some embodiments, controller 744 is a logic element. In some embodiments, the data may be displayed on interface 745 . The interface 745 is electrically or wirelessly connected to the controller 744 .

在一些實施例中,光學元件742產生對準一不同疊對標記(例如在電流層中)的另一輻射。在該不同的疊對標記上重複上述的製程。可產生該不同疊對標記的一資料並與之前所檢測之疊對標記(例如在前層中)進行組合。然後,藉由控制器744以組合及處理在不同高度處之兩個不同疊對標記的兩個資料的方式而產生一對準結果。在一些實施例中,對準設備740並不具有一控制器。在一些實施例中,從檢測單元743所輸出的該電訊號傳送到如圖21所示的控制器760。控制器760可當作類似於控制器744,以處理該電訊號並產生一對準結果。 In some embodiments, optical element 742 produces another radiation directed at a different overlay mark (eg, in the galvanic layer). The above process is repeated on the different overlay marks. A profile of the different overlay marks can be generated and combined with previously detected overlay marks (eg, in a previous layer). An alignment result is then generated by the controller 744 by combining and processing the two data for two different overlay marks at different heights. In some embodiments, alignment device 740 does not have a controller. In some embodiments, the electrical signal output from the detection unit 743 is sent to the controller 760 as shown in FIG. 21 . Controller 760 may be treated similarly to controller 744 to process the electrical signal and generate an alignment result.

本揭露之一實施例提供一種半導體結構的製備方法。該製備方法包括形成一第一圖案在一基底上;形成一光致發光層在該第一圖案上;形成一中間層在該光致發光層與該基底上;形成一圖案化遮罩層在該中間層上;以及檢測該圖案化遮罩層與該第一圖案的一對準。 An embodiment of the present disclosure provides a method for fabricating a semiconductor structure. The preparation method includes forming a first pattern on a substrate; forming a photoluminescent layer on the first pattern; forming an intermediate layer on the photoluminescent layer and the substrate; forming a patterned mask layer on the substrate on the intermediate layer; and detecting an alignment of the patterned mask layer and the first pattern.

本揭露之一實施例提供一種半導體結構的製備系統。該系統包括一製造設備,經配置以執行多個步驟以形成一層在一晶圓上;一曝光設備,經配置以執行多個圖案化步驟以形成該層的一圖案;以及一對準設備,經配置以檢測在該晶圓上不同高度之二疊對標記的一對準。該對準設備包括:一平台,經配置以支撐該晶圓;一光學元件,經配置以放射一輻射以激發該二疊對標記其中一個的一光致發光材料;一濾光器,經配置以接收以及過濾從該光致發光材料所放射的一輻射;以及一光學檢測器,經配置以將被該濾光器所過濾的一光學訊號轉換成一電訊號。 An embodiment of the present disclosure provides a system for fabricating a semiconductor structure. The system includes a fabrication apparatus configured to perform steps to form a layer on a wafer; an exposure apparatus configured to perform patterning steps to form a pattern of the layer; and an alignment apparatus, configured to detect an alignment of two overlay marks at different heights on the wafer. The alignment apparatus includes: a stage configured to support the wafer; an optical element configured to emit a radiation to excite a photoluminescent material of one of the two stacked markers; an optical filter configured to to receive and filter a radiation emitted from the photoluminescent material; and an optical detector configured to convert an optical signal filtered by the filter into an electrical signal.

本揭露之一實施例提供一種半導體結構。該半導體結構包括:一電容器,設置在一基底上;一疊對標記,設置鄰近該電容器處且在與該電容器的一相同高度處;一光致發光層,設置在該疊對標記上;以及一中間層,設置在該電容器與該光致發光層上。 An embodiment of the present disclosure provides a semiconductor structure. The semiconductor structure includes: a capacitor disposed on a substrate; an overlay mark disposed adjacent to the capacitor and at a same height as the capacitor; a photoluminescent layer disposed on the overlay mark; and An intermediate layer is arranged on the capacitor and the photoluminescent layer.

總之,本申請案揭露一種半導體結構、一種半導體結構的製備方法以及執行該製備方法的一系統。一光致發光層包括在一疊對標記中,並改善在一前層之該疊對標記的一檢測。 In conclusion, the application discloses a semiconductor structure, a method for fabricating the semiconductor structure, and a system for performing the method. A photoluminescent layer is included in an overlay mark and improves a detection of the overlay mark on a preceding layer.

雖然已詳述本揭露及其優點,然而應理解可進行各種變化、取代與替代而不脫離申請專利範圍所定義之本揭露的精神與範圍。例如,可用不同的方法實施上述的許多製程,並且以其他製程或其組合替代上述的許多製程。 Although the present disclosure and its advantages have been described in detail, it should be understood that various changes, substitutions and substitutions can be made without departing from the spirit and scope of the present disclosure as defined by the claims. For example, many of the processes described above can be performed in different ways and replaced by other processes or combinations thereof.

再者,本申請案的範圍並不受限於說明書中所述之製程、機械、製造、物質組成物、手段、方法與步驟之特定實施例。該技藝之技術人士可自本揭露的揭示內容理解可根據本揭露而使用與本文所述之對應實施例具有相同功能或是達到實質上相同結果之現存或是未來發展之製程、機械、製造、物質組成物、手段、方法、或步驟。據此,此等製程、機械、製造、物質組成物、手段、方法、或步驟係包含於本申請案之申請專利範圍內。 Furthermore, the scope of the present application is not limited to the specific embodiments of the process, machine, manufacture, composition of matter, means, methods and steps described in the specification. Those skilled in the art can understand from the disclosure content of this disclosure that existing or future developed processes, machinery, manufacturing, A composition of matter, means, method, or step. Accordingly, such processes, machines, manufacturing, material compositions, means, methods, or steps are included in the patent scope of this application.

100:基底 100: base

21:疊對標記 21: Overlay Mark

22:疊對標記 22: Overlay Mark

Claims (19)

一種半導體結構的製備方法,包括: 形成一第一圖案在一基底上; 形成一光致發光層在該第一圖案上; 形成一中間層在該光致發光層與該基底上; 形成一圖案化遮罩層在該中間層上;以及 檢測該圖案化遮罩層與該第一圖案的一對準。 A method for preparing a semiconductor structure, comprising: forming a first pattern on a substrate; forming a photoluminescent layer on the first pattern; forming an intermediate layer on the photoluminescent layer and the substrate; forming a patterned mask layer on the intermediate layer; and An alignment of the patterned mask layer and the first pattern is detected. 如請求項1所述之製備方法,還包括將該圖案化遮罩層轉換成該中間層。The preparation method according to claim 1, further comprising converting the patterned mask layer into the intermediate layer. 如請求項1所述之製備方法,其中該光致發光層包括螢光粉、量子點、多個奈米材料或其組合。The preparation method according to claim 1, wherein the photoluminescent layer includes phosphor powder, quantum dots, a plurality of nanometer materials or a combination thereof. 如請求項3所述之製備方法,其中該等奈米材料包括Gd 2O 2S:R,而R代表Eu 3+、Pr 3+或Tb 3+The preparation method according to claim 3, wherein the nanomaterials include Gd 2 O 2 S:R, and R represents Eu 3+ , Pr 3+ or Tb 3+ . 如請求項1所述之製備方法,其中該光致發光層的製作技術包含沉積、噴濺以及塗佈的其中一個或多個。The preparation method according to claim 1, wherein the fabrication technique of the photoluminescent layer includes one or more of deposition, sputtering and coating. 如請求項1所述之製備方法,其中從一頂視圖所視,該光致發光層經由該圖案化遮罩層而暴露。The preparation method according to claim 1, wherein the photoluminescent layer is exposed through the patterned mask layer viewed from a top view. 如請求項6所述之製備方法,其中從該頂視圖所視,該第一圖案被該圖案化遮罩層的至少一部分所圍繞。The manufacturing method according to claim 6, wherein viewed from the top view, the first pattern is surrounded by at least a part of the patterned mask layer. 如請求項6所述之製備方法,其中從該頂視圖所視,該第一圖案被該圖案化遮罩層的至少部分所包圍。The manufacturing method according to claim 6, wherein viewed from the top view, the first pattern is at least partially surrounded by the patterned mask layer. 如請求項1所述之製備方法,其中檢測該對準包括: 提供一第一光學訊號在該光致發光層上; 接收來自該光致發光層的一第二光學訊號; 過濾該第二光學訊號;以及 將該第二光學訊號轉換成一第一電訊號。 The preparation method as described in claim 1, wherein detecting the alignment comprises: providing a first optical signal on the photoluminescent layer; receiving a second optical signal from the photoluminescent layer; filtering the second optical signal; and The second optical signal is converted into a first electrical signal. 如請求項9所述之製備方法,其中該對準的檢測包括: 提供一第三光學訊號在該圖案化遮罩層上; 接收來自該圖案化遮罩層的一第四光學訊號;以及 將該第四光學訊號轉換成一第二電訊號。 The preparation method as described in claim item 9, wherein the detection of the alignment comprises: providing a third optical signal on the patterned mask layer; receiving a fourth optical signal from the patterned mask layer; and converting the fourth optical signal into a second electrical signal. 如請求項10所述之製備方法,其中處理該第一電訊號與該第二電訊號以顯示該圖案化遮罩層與該光致發光層的該對準。The preparation method according to claim 10, wherein the first electrical signal and the second electrical signal are processed to show the alignment of the patterned mask layer and the photoluminescent layer. 如請求項1所述之製備方法,其中該中間層包括一或多個介電材料。The preparation method as claimed in claim 1, wherein the intermediate layer comprises one or more dielectric materials. 如請求項1所述之製備方法,其中該第一圖案形成在鄰近一電容器處。The manufacturing method as claimed in claim 1, wherein the first pattern is formed adjacent to a capacitor. 如請求項13所述之製備方法,其中該電容器形成在與該第一圖案相同的一高度處。The manufacturing method according to claim 13, wherein the capacitor is formed at the same height as the first pattern. 如請求項13所述之製備方法,其中該電容器的一高度大於該第一圖案的一厚度。The manufacturing method as claimed in claim 13, wherein a height of the capacitor is greater than a thickness of the first pattern. 如請求項13所述之製備方法,其中該中間層在該電容器上之一第一部分的一厚度小於該中間層在該第一圖案上之一第二部分的一厚度。The manufacturing method as claimed in claim 13, wherein a thickness of a first portion of the intermediate layer on the capacitor is smaller than a thickness of a second portion of the intermediate layer on the first pattern. 如請求項13所述之製備方法,其中該圖案化遮罩層的形成包括: 設置一光阻層在該中間層上;以及 移除該光阻層的一些部分以形成該圖案化遮罩層。 The preparation method as described in Claim 13, wherein the formation of the patterned mask layer comprises: disposing a photoresist layer on the intermediate layer; and Portions of the photoresist layer are removed to form the patterned mask layer. 如請求項17所述之製備方法,其中該光阻層在該電容器上之一第一部分的一厚度小於該光阻層在該第一圖案上之一第二部分的一厚度。The manufacturing method as claimed in claim 17, wherein a thickness of a first portion of the photoresist layer on the capacitor is smaller than a thickness of a second portion of the photoresist layer on the first pattern. 如請求項1所述之製備方法,其中該圖案化遮罩層的一頂部與該第一圖案的一頂部之間的一距離大於5.7微米。The manufacturing method according to claim 1, wherein a distance between a top of the patterned mask layer and a top of the first pattern is greater than 5.7 microns.
TW111123043A 2022-02-24 2022-06-21 Method for manufacutring semiconductor structure TWI799296B (en)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US17/679,515 2022-02-24
US17/679,311 US20230266676A1 (en) 2022-02-24 2022-02-24 Semiconductor structure and system for manufacturing the same
US17/679,515 US20230266660A1 (en) 2022-02-24 2022-02-24 Method of manufacturing semiconductor structure
US17/679,311 2022-02-24

Publications (2)

Publication Number Publication Date
TWI799296B true TWI799296B (en) 2023-04-11
TW202335151A TW202335151A (en) 2023-09-01

Family

ID=86948677

Family Applications (1)

Application Number Title Priority Date Filing Date
TW111123043A TWI799296B (en) 2022-02-24 2022-06-21 Method for manufacutring semiconductor structure

Country Status (1)

Country Link
TW (1) TWI799296B (en)

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030211409A1 (en) * 2002-05-10 2003-11-13 Christopher C. Nunes Through-the-lens alignment for photolithography
WO2013047003A1 (en) * 2011-09-26 2013-04-04 パイオニア株式会社 Near-field optical device, recording device and sample substrate
WO2021042502A1 (en) * 2019-09-04 2021-03-11 深圳市华星光电半导体显示技术有限公司 Quantum dot light-emitting device patterning method and quantum dot light-emitting device
TW202138595A (en) * 2020-03-13 2021-10-16 日商大日本印刷股份有限公司 Evaluation method of vapor deposition chamber of manufacturing device of organic device, standard substrate and standard mask device being used in the evaluation method, manufacturing method of standard mask device, manufacturing device of organic device of vapor deposition chamber evaluated by the evaluation method, organic device including vapor deposition layer formed in vapor deposition chamber evaluated by the evaluation method and maintenance method of vapor deposition chamber of manufacturing device of organic device wherein the evaluation method includes a vapor deposition step, a removal step and an observation step
TW202230700A (en) * 2021-01-15 2022-08-01 力晶積成電子製造股份有限公司 Alignment structure and forming method thereof

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030211409A1 (en) * 2002-05-10 2003-11-13 Christopher C. Nunes Through-the-lens alignment for photolithography
WO2013047003A1 (en) * 2011-09-26 2013-04-04 パイオニア株式会社 Near-field optical device, recording device and sample substrate
WO2021042502A1 (en) * 2019-09-04 2021-03-11 深圳市华星光电半导体显示技术有限公司 Quantum dot light-emitting device patterning method and quantum dot light-emitting device
TW202138595A (en) * 2020-03-13 2021-10-16 日商大日本印刷股份有限公司 Evaluation method of vapor deposition chamber of manufacturing device of organic device, standard substrate and standard mask device being used in the evaluation method, manufacturing method of standard mask device, manufacturing device of organic device of vapor deposition chamber evaluated by the evaluation method, organic device including vapor deposition layer formed in vapor deposition chamber evaluated by the evaluation method and maintenance method of vapor deposition chamber of manufacturing device of organic device wherein the evaluation method includes a vapor deposition step, a removal step and an observation step
TW202230700A (en) * 2021-01-15 2022-08-01 力晶積成電子製造股份有限公司 Alignment structure and forming method thereof

Also Published As

Publication number Publication date
TW202334756A (en) 2023-09-01
TW202335151A (en) 2023-09-01

Similar Documents

Publication Publication Date Title
TWI450376B (en) Structure having alignment mark and method for forming stacked device
US9726547B2 (en) Microbolometer devices in CMOS and BiCMOS technologies
CN102556945A (en) Method to prevent metal pad damage in wafer level package
US10937786B2 (en) Gate cut structures
CN108281412A (en) Stack imaging sensor, pixel tube core and its manufacturing method
TWI799296B (en) Method for manufacutring semiconductor structure
TWI840845B (en) System for manufacturing semiconductor structure
CN112018082B (en) Method for preparing overlay alignment mark and structure thereof
US20230266676A1 (en) Semiconductor structure and system for manufacturing the same
CN116646292A (en) Semiconductor structure and manufacturing system thereof
TWI847756B (en) Semiconductor structure with overlay mark, method of manufacturing the same, and system for manufacturing the same
US20240071842A1 (en) Semiconductor structure with overlay mark, method of manufacturing the same, and system for manufacturing the same
SG175487A1 (en) Circuit layout structure
US10483205B2 (en) Contact using multilayer liner
CN109148416B (en) Semiconductor device structure and forming method thereof
TWI803262B (en) Overlay measurement marks and overlay error correction marks
US11796924B2 (en) Method for overlay error correction and method for manufacturing a semiconductor device structure with overlay marks
TWI809931B (en) Method for fabricating semiconductor device structure with overlay mark
KR102521868B1 (en) Advanced seal ring structure and method of making the same
TWI809784B (en) Method for overlay error correction
US12002765B2 (en) Marks for overlay measurement and overlay error correction
TWI799272B (en) Method for preparing a semiconductor device structure including overlay mark structure
TWI677913B (en) Manufacturing method of semiconductor chip
US20230213872A1 (en) Mark for overlay measurement