TWI809784B - Method for overlay error correction - Google Patents

Method for overlay error correction Download PDF

Info

Publication number
TWI809784B
TWI809784B TW111111676A TW111111676A TWI809784B TW I809784 B TWI809784 B TW I809784B TW 111111676 A TW111111676 A TW 111111676A TW 111111676 A TW111111676 A TW 111111676A TW I809784 B TWI809784 B TW I809784B
Authority
TW
Taiwan
Prior art keywords
patterns
pattern
sub
overlay
correction
Prior art date
Application number
TW111111676A
Other languages
Chinese (zh)
Other versions
TW202328811A (en
Inventor
馬士元
Original Assignee
南亞科技股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US17/568,033 external-priority patent/US20230213872A1/en
Priority claimed from US17/568,151 external-priority patent/US20230213874A1/en
Application filed by 南亞科技股份有限公司 filed Critical 南亞科技股份有限公司
Publication of TW202328811A publication Critical patent/TW202328811A/en
Application granted granted Critical
Publication of TWI809784B publication Critical patent/TWI809784B/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/544Marks applied to semiconductor devices or parts, e.g. registration marks, alignment structures, wafer maps
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F9/00Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically
    • G03F9/70Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically for microlithography
    • G03F9/7003Alignment type or strategy, e.g. leveling, global alignment
    • G03F9/7019Calibration
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F9/00Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically
    • G03F9/70Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically for microlithography
    • G03F9/7003Alignment type or strategy, e.g. leveling, global alignment
    • G03F9/7046Strategy, e.g. mark, sensor or wavelength selection
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F9/00Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically
    • G03F9/70Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically for microlithography
    • G03F9/7088Alignment mark detection, e.g. TTR, TTL, off-axis detection, array detector, video detection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps

Abstract

The present disclosure provides a method for overlay error correction. The method includes: obtaining an overlay error based on a lower-layer pattern and an upper-layer pattern of a wafer, wherein the lower-layer pattern is obtained by first fabrication equipment through which the wafer passes, and the upper-layer pattern is obtained by exposure equipment; generating a corrected overlay error based on the overlay error and fabrication processes performed on the wafer after the first fabrication equipment and prior to the exposure equipment; and adjusting the exposure equipment based on the corrected overlay error.

Description

疊置誤差的校正方法Correction method of overlay error

本申請案主張美國第17/568,033及17/568,151號專利申請案之優先權(即優先權日為「2022年1月4日」),其內容以全文引用之方式併入本文中。 This application claims priority to US Patent Application Nos. 17/568,033 and 17/568,151 (ie, the priority date is "January 4, 2022"), the contents of which are incorporated herein by reference in their entirety.

本揭露關於一種疊置誤差的校正方法。 The disclosure relates to a method for correcting overlay errors.

隨著半導體產業的發展,在微影操作中減少光阻圖案和底層圖案的疊置誤差(overlay error)變得更加重要。由於各種因素,例如測量結構的不對稱形狀,使得正確測量疊置誤差變得更加困難,因此需要一種新的疊置測量標記和測量方法以更精確地測量疊置誤差。 With the development of the semiconductor industry, it becomes more important to reduce the overlay error of the photoresist pattern and the underlying pattern in the lithography operation. Due to various factors, such as the asymmetric shape of the measurement structure, it is more difficult to correctly measure the overlay error, so a new overlay measurement marker and measurement method is needed to measure the overlay error more accurately.

上文之「先前技術」說明僅係提供背景技術,並未承認上文之「先前技術」說明揭示本揭露之標的,不構成本揭露之先前技術,且上文之「先前技術」之任何說明均不應作為本案之任一部分。 The above "prior art" description is only to provide background technology, and does not acknowledge that the above "prior art" description discloses the subject of this disclosure, and does not constitute the prior art of this disclosure, and any description of the above "prior art" shall not form part of this case.

本揭露的一個方面提供一種疊置校正的標記。該標記包括一第一圖案和一第二圖案。該第一圖案設置在一基底上,並位於一第一水平高度。該第一圖案包括複數個第一次圖案和複數個第二次圖案。該第一次圖案沿一第一方向延伸並沿不同於該第一方向的一第二方向排列。該第 二次圖案沿該第二方向排列,其中該複數個第一次圖案中的每一個的輪廓與該複數個第二次圖案中的每一個的輪廓不同。該第二圖案設置在與該第一水高度不同的一第二水平高度上。 One aspect of the present disclosure provides an overlay corrected marker. The mark includes a first pattern and a second pattern. The first pattern is arranged on a base and is located at a first level. The first pattern includes a plurality of first patterns and a plurality of second patterns. The first pattern extends along a first direction and is arranged along a second direction different from the first direction. The first Secondary patterns are arranged along the second direction, wherein the contour of each of the plurality of first patterns is different from the contour of each of the plurality of second patterns. The second pattern is disposed at a second level different from the first water level.

本揭露的另一個方面提供一種疊置誤差的校正方法。該校正方法包括:根據一晶圓的一下層圖案和一上層圖案得到一疊置誤差,其中該下層圖案由該晶圓經過的一第一製造設備獲得,而該上層圖案由一曝光設備獲得;根據該疊置誤差和在該第一製造設備之後、該曝光設備之前對該晶圓執行的一製程,產生一校正疊置誤差;以及根據該校正疊置誤差調整該曝光設備。 Another aspect of the disclosure provides a method for correcting overlay errors. The correction method includes: obtaining an overlay error according to a lower layer pattern and an upper layer pattern of a wafer, wherein the lower layer pattern is obtained by a first manufacturing equipment through which the wafer passes, and the upper layer pattern is obtained by an exposure device; generating a corrected overlay error based on the overlay error and a process performed on the wafer after the first fabrication tool and before the exposure tool; and adjusting the exposure tool based on the corrected overlay error.

本揭露的另一個方面提供一種疊置誤差的校正方法。該校正方法包括:接收具有基底的一晶圓;在該晶圓的基底上形成一第一圖案;對該晶圓執行複數個製程;藉由一曝光設備在該晶圓的第一圖案上形成一第二圖案;根據該晶圓的第一圖案和第二圖案得到一疊置誤差;根據該疊置誤差和該複數個製程產生一校正疊置誤差;以及根據該校正疊置誤差調整該曝光設備。 Another aspect of the disclosure provides a method for correcting overlay errors. The correction method includes: receiving a wafer with a substrate; forming a first pattern on the substrate of the wafer; performing a plurality of processes on the wafer; forming the first pattern on the wafer by an exposure device a second pattern; obtaining an overlay error according to the first pattern and the second pattern of the wafer; generating a corrected overlay error according to the overlay error and the plurality of processes; and adjusting the exposure according to the corrected overlay error equipment.

本揭露的實施例揭露一種用於疊置誤差測量的疊置標記。疊置標記的前層可包括不同的次圖案,以便可以從每個次圖案中產生校正資料。從特定的次圖案中選擇校正資料可以細化校正疊置誤差,因此使校正疊置誤差更符合實際情況。 Embodiments of the disclosure disclose an overlay mark for overlay error measurement. The preceding layer of superimposed marks may comprise different sub-patterns, so that correction data can be generated from each sub-pattern. Selecting correction data from specific sub-patterns can refine the correction overlay error, thus making the correction overlay error more realistic.

上文已相當廣泛地概述本揭露之技術特徵及優點,俾使下文之本揭露詳細描述得以獲得較佳瞭解。構成本揭露之申請專利範圍標的之其它技術特徵及優點將描述於下文。本揭露所屬技術領域中具有通常知識者應瞭解,可相當容易地利用下文揭示之概念與特定實施例可作為修改 或設計其它結構或製程而實現與本揭露相同之目的。本揭露所屬技術領域中具有通常知識者亦應瞭解,這類等效建構無法脫離後附之申請專利範圍所界定之本揭露的精神和範圍。 The technical features and advantages of the present disclosure have been broadly summarized above, so that the following detailed description of the present disclosure can be better understood. Other technical features and advantages constituting the subject matter of the claims of the present disclosure will be described below. Those of ordinary skill in the art to which this disclosure pertains will appreciate that the concepts and specific embodiments disclosed below can be readily utilized as modifications Or design other structures or processes to achieve the same purpose as this disclosure. Those with ordinary knowledge in the technical field to which the disclosure belongs should also understand that such equivalent constructions cannot depart from the spirit and scope of the disclosure defined by the appended claims.

10:晶圓 10:Wafer

20:疊置標記 20: Overlapping markers

30:切割道 30: Cutting Road

40:晶片 40: Wafer

100:基底 100: base

110:疊置標記 110:overlap mark

120:圖案 120: pattern

130:圖案 130: pattern

140:中間結構 140: intermediate structure

150:遮罩 150: mask

210:疊置標記 210:overlap mark

210':疊置標記 210': overlapping marks

210":疊置標記 210":overlapping mark

220:圖案 220: pattern

220':圖案 220': pattern

222:次圖案 222: secondary pattern

224:次圖案 224: secondary pattern

226:次圖案 226: secondary pattern

226d:分段 226d: Segmentation

230:圖案 230: pattern

232:次圖案 232: secondary pattern

300:半導體製備系統 300: Semiconductor preparation system

301:晶圓 301: Wafer

310:製造設備 310: Manufacturing equipment

320-1,…,320-N:製造設備 320-1, ..., 320-N: manufacturing equipment

330:曝光設備 330: Exposure equipment

340:疊置測量設備 340: Stacked measuring equipment

350:網路 350: Network

360:控制器 360: Controller

370:疊置(OVL)校正系統 370: Overlay (OVL) correction system

400:方法 400: method

410:操作 410: Operation

420:操作 420: Operation

430:操作 430: Operation

440:操作 440: Operation

500:校正方法 500: Correction method

510:操作 510: Operation

520:操作 520: Operation

522:操作 522: Operation

524:操作 524: Operation

526:操作 526: Operation

530:操作 530: Operation

540:操作 540: Operation

550:操作 550: operation

560:操作 560: Operation

562:操作 562: Operation

564:操作 564: Operation

5641:操作 5641: Operation

5642:操作 5642: Operation

5643:操作 5643: Operation

566:操作 566: Operation

568:操作 568: Operation

570:操作 570: Operation

600:半導體製備系統 600: Semiconductor Manufacturing System

601:處理器 601: Processor

603:非臨時性的電腦可讀儲存媒介 603: Non-transitory computer-readable storage media

605:匯流排 605: busbar

607:輸入及輸出(I/O)介面 607: Input and output (I/O) interface

609:網路介面 609: Network interface

610:使用者介面 610: user interface

A-A':線(切割道) A-A': line (cutting line)

B-B':線(切割道) B-B': line (cutting line)

X:方向 X: direction

Y:方向 Y: Direction

Z:方向 Z: Direction

參閱實施方式與申請專利範圍合併考量圖式時,可得以更全面了解本申請案之揭示內容,圖式中相同的元件符號係指相同的元件。 The disclosure content of the present application can be understood more comprehensively when referring to the embodiments and the patent scope of the application for combined consideration of the drawings, and the same reference numerals in the drawings refer to the same components.

圖1是俯視圖,例示本揭露一些實施例之晶圓。 FIG. 1 is a top view illustrating a wafer according to some embodiments of the present disclosure.

圖2是放大視圖,例示本揭露一些實施例之圖1中的點狀區域。 FIG. 2 is an enlarged view illustrating the dotted region in FIG. 1 of some embodiments of the present disclosure.

圖3是俯視圖,例示本揭露一些實施例之疊置標記。 FIG. 3 is a top view illustrating overlay marks of some embodiments of the present disclosure.

圖4A是例示本揭露一些實施例之沿圖3的A-A'線的剖視圖。 FIG. 4A is a cross-sectional view along line AA' of FIG. 3 illustrating some embodiments of the present disclosure.

圖4B是例示本揭露一些實施例之沿圖3的B-B'線的剖視圖。 FIG. 4B is a cross-sectional view along line BB' of FIG. 3 illustrating some embodiments of the present disclosure.

圖5是俯視圖,例示本揭露一些實施例之疊置標記。 FIG. 5 is a top view illustrating overlay marks according to some embodiments of the present disclosure.

圖6是俯視圖,例示本揭露一些實施例之疊置標記。 FIG. 6 is a top view illustrating overlay marks of some embodiments of the present disclosure.

圖7是俯視圖,例示本揭露一些實施例之疊置標記。 FIG. 7 is a top view illustrating overlay marks of some embodiments of the present disclosure.

圖8是方塊圖,例示本揭露一些實施例之半導體製備系統。 FIG. 8 is a block diagram illustrating a semiconductor manufacturing system according to some embodiments of the present disclosure.

圖9是流程圖,例示本揭露一些實施例之由疊置校正系統產生校正資料的方法。 FIG. 9 is a flowchart illustrating a method for generating calibration data by an overlay calibration system according to some embodiments of the present disclosure.

圖10是流程圖,例示本揭露各個方面之疊置錯誤的校正方法。 FIG. 10 is a flowchart illustrating a method for correcting overlay errors according to various aspects of the present disclosure.

圖11是流程圖,例示本揭露各個方面之疊置錯誤的校正方法。 FIG. 11 is a flowchart illustrating a method for correcting overlay errors according to various aspects of the present disclosure.

圖12是流程圖,例示本揭露各個方面之疊置錯誤的校正的方法。 FIG. 12 is a flowchart illustrating a method of overlay error correction according to various aspects of the present disclosure.

圖13是方塊圖,例示本揭露各個方面之半導體製備系統的硬體。 FIG. 13 is a block diagram illustrating hardware of a semiconductor fabrication system of various aspects of the present disclosure.

現在用具體的語言來描述附圖中說明的本揭露的實施例, 或實例。應理解的是,在此不旨在限制本揭露的範圍。對所描述的實施例的任何改變或修改,以及對本文所描述的原理的任何進一步應用,都應被認為是與本揭露內容有關的技術領域的普通技術人員通常會做的。參考數字可以在整個實施例中重複,但這並不旨在一個實施例的特徵適用於另一個實施例,即使它們共用相同的參考數字。 The embodiments of the present disclosure illustrated in the drawings will now be described in specific language, or instance. It should be understood that no limitation of the scope of the present disclosure is intended herein. Any changes or modifications to the described embodiments, and any further applications of the principles described herein, are considered to be within the ordinary skill of the art to which this disclosure pertains. Reference numbers may be repeated throughout the embodiments, but there is no intention that features of one embodiment apply to another, even if they share the same reference number.

應理解的是,儘管術語第一、第二、第三等可用於描述各種元素、元件、區域、層或部分。可用於描述各種元素、部件、區域、層或部分,但這些元素、部件、區域、層或部分不受這些術語的限制。相反,這些術語只是用來區分一個元素、元件、區域、層或部分與另一個區域、層或部分。因此,下面討論的第一個元素、元件、區域、層或部分可以被稱為第二個元素、元件、區域、層或部分而不偏離本發明概念的教導。 It will be understood that although the terms first, second, third etc. may be used to describe various elements, elements, regions, layers or sections. can be used to describe various elements, components, regions, layers or sections but these elements, components, regions, layers or sections should not be limited by these terms. Rather, these terms are only used to distinguish one element, component, region, layer or section from another region, layer or section. Thus, a first element, component, region, layer or section discussed below could be termed a second element, component, region, layer or section without departing from the teachings of the inventive concept.

本文使用的術語僅用於描述特定的實施例,並不旨在局限於本發明的概念。正如本文所使用的,單數形式的"一"、"一個"和"該"旨在包括複數形式,除非上下文明確指出。應進一步理解,術語”包括”和”包含”在本說明書中使用時,指出了所述特徵、整數、步驟、操作、元素或元件的存在,但不排除存在或增加一個或複數個其他特徵、整數、步驟、操作、元素、元件或其組。 The terminology used herein is for describing particular embodiments only and is not intended to be limiting of the inventive concepts. As used herein, the singular forms "a", "an" and "the" are intended to include the plural unless the context clearly dictates otherwise. It should be further understood that when the terms "comprising" and "comprising" are used in this specification, they point out the existence of said features, integers, steps, operations, elements or elements, but do not exclude the existence or addition of one or more other features, An integer, step, operation, element, component, or group thereof.

參照圖1和圖2,圖1是例示本揭露各個方面之晶圓10的俯視圖,圖2是圖1中點狀區域的放大視圖。 Referring to FIGS. 1 and 2 , FIG. 1 is a top view of a wafer 10 illustrating various aspects of the present disclosure, and FIG. 2 is an enlarged view of the dotted area in FIG. 1 .

如圖1和圖2所示,晶圓10沿切割道30被鋸成複數個晶片40。每個晶片40可包括一半導體元件,半導體元件可包括一主動元件和/或被動元件。主動元件可包括一記憶體晶片(例如,動態隨機存取記憶體 (DRAM)晶片、靜態隨機存取記憶體(SRAM)晶片等)、一電源管理晶片(例如,電源管理積體電路(PMIC)晶片)、一邏輯晶片(例如,系統晶片(SoC)、中央處理單元(CPU)、圖形處理單元(GPU)、應用處理器(AP)、微控制器等)、一射頻(RF)晶片、一感測器晶片、一微機電系統(MEMS)晶片、一訊號處理晶片(如數位訊號處理(DSP)晶片)、一前端晶片(如類比前端(AFE)晶片)或其他主動元件。被動元件可包括一電容器、一電阻器、一電感器、一熔絲或其他被動元件。 As shown in FIGS. 1 and 2 , the wafer 10 is sawed into a plurality of wafers 40 along a dicing street 30 . Each chip 40 may include a semiconductor device, and the semiconductor device may include an active device and/or a passive device. Active components may include a memory chip (e.g., Dynamic Random Access Memory (DRAM chip, static random access memory (SRAM) chip, etc.), a power management chip (e.g., power management integrated circuit (PMIC) chip), a logic chip (e.g., system chip (SoC), central processing unit (CPU), graphics processing unit (GPU), application processor (AP), microcontroller, etc.), a radio frequency (RF) chip, a sensor chip, a microelectromechanical system (MEMS) chip, a signal processing chip (such as a digital signal processing (DSP) chip), a front-end chip (such as an analog front-end (AFE) chip), or other active components. Passive components may include a capacitor, a resistor, an inductor, a fuse or other passive components.

在一些實施例中,疊置標記20可位於切割道30上。疊置標記20可設置在每個晶片40的邊緣的角上。在一些實施例中,疊置標記可位於晶片40的內部。疊置標記20可用於測量當層(current layer),如光阻層的開口,是否與半導體製程中的一前層(pre-layer)精確對齊。 In some embodiments, overlay marks 20 may be located on dicing lines 30 . Overlay marks 20 may be provided on the corners of the edges of each wafer 40 . In some embodiments, overlay marks may be located on the interior of wafer 40 . The overlay marker 20 can be used to measure whether the current layer, such as the opening of the photoresist layer, is accurately aligned with a pre-layer in the semiconductor manufacturing process.

圖3是俯視圖,例示本揭露各個方面之用於在基底100上對準不同層的疊置標記110。如圖3所示,一半導體元件結構,如晶圓,可包括在基底100上的疊置標記110。 FIG. 3 is a top view illustrating overlay marks 110 for aligning different layers on a substrate 100 according to various aspects of the present disclosure. As shown in FIG. 3 , a semiconductor device structure, such as a wafer, may include overlay marks 110 on a substrate 100 .

基底100可以是一種半導體基底,例如塊狀(bulk)半導體、絕緣體上的半導體(SOI)基底,或類似的基底。基底100可包括一元素(elementary)半導體,包括單晶形式、多晶形式或無定形(amorphous)形式的矽或鍺;一化合物半導體材料,包括碳化矽、砷化鎵、磷化鎵、磷化銦、砷化銦和銻化銦中的至少一種。一合金半導體材料,包括SiGe、GaAsP、AlInAs、AlGaAs、GaInAs、GaInP和GaInAsP中的至少一種;任何其他合適的材料;或其組合。在一些實施例中,合金半導體基底可以是具有梯度Ge特徵的SiGe合金,其中Si和Ge的組成從梯度SiGe特徵的一個位置的比例變為另一個位置的比例。在另一個實施例中,SiGe合金是 在矽基底上形成。在一些實施例中,SiGe合金可被與SiGe合金接觸的另一種材料機械地拉緊。在一些實施例中,基底100可以具有一多層結構,或者基底100可以包括一多層化合物半導體結構。 The substrate 100 may be a semiconductor substrate, such as a bulk semiconductor, a semiconductor-on-insulator (SOI) substrate, or the like. Substrate 100 may include an elemental semiconductor, including silicon or germanium in single crystal form, polycrystalline form, or amorphous (amorphous) form; a compound semiconductor material, including silicon carbide, gallium arsenide, gallium phosphide, phosphide At least one of indium, indium arsenide and indium antimonide. An alloy semiconductor material comprising at least one of SiGe, GaAsP, AlInAs, AlGaAs, GalnAs, GaInP, and GaInAsP; any other suitable material; or a combination thereof. In some embodiments, the alloyed semiconductor substrate may be a SiGe alloy with a graded Ge feature, where the composition of Si and Ge changes from a ratio at one location of the graded SiGe feature to another. In another embodiment, the SiGe alloy is formed on a silicon substrate. In some embodiments, the SiGe alloy may be mechanically strained by another material in contact with the SiGe alloy. In some embodiments, the substrate 100 may have a multilayer structure, or the substrate 100 may include a multilayer compound semiconductor structure.

疊置標記110可包括基底100上的圖案120和圖案130。圖案120可以是一前層的圖案。圖案130可以是一當層的圖案。前層(或下層)可位於與當層(或上層)不同的水平層面。每個圖案120(或圖案130)可以位於四個正交目的地區域之一,其中兩個用於測量X方向的疊置誤差,兩個用於測量Y方向的疊置誤差。 The overlay mark 110 may include a pattern 120 and a pattern 130 on the substrate 100 . The pattern 120 may be a pattern of a previous layer. The pattern 130 may be a layered pattern. The front floor (or lower floor) may be located at a different level from the current floor (or upper floor). Each pattern 120 (or pattern 130 ) may be located in one of four orthogonal destination areas, two for measuring overlay error in the X direction and two for measuring overlay error in the Y direction.

在使用疊置標記(如疊置標記110)測量一疊置誤差時,沿疊置標記110的X方向的一直線來測量X方向的偏差。Y方向的偏差是沿著疊置標記110的Y方向的一直線進一步測量。一個單個疊置標記,包括圖案120和130,可以用來測量基底上兩個層之間的一個X方向和一個Y方向的偏差。因此,可以根據X方向和Y方向的偏差來確定當層和前層是否精確對準。疊置誤差可包括X方向的偏差(△X),Y方向的偏差(△Y),或其兩者的組合。 When using an overlay mark (such as the overlay mark 110 ) to measure an overlay error, the deviation in the X direction is measured along a straight line in the X direction of the overlay mark 110 . The deviation in the Y direction is further measured along a straight line in the Y direction of the overlay mark 110 . A single overlay mark, including patterns 120 and 130, can be used to measure an X-direction and a Y-direction deviation between two layers on the substrate. Therefore, it can be determined whether the current layer and the previous layer are accurately aligned according to the deviation in the X direction and the Y direction. Overlay errors may include deviations in the X direction (ΔX), deviations in the Y direction (ΔY), or a combination of both.

圖4A是沿圖3的切割線A-A'拍攝的剖視圖。 FIG. 4A is a cross-sectional view taken along cutting line AA' of FIG. 3 .

如圖3和圖4A所示,圖案120可設置在基底100上。圖案120可設置在中間結構140中。在一些實施例中,圖案120可包括與一隔離結構相同的材料。在一些實施例中,圖案120可以設置在與隔離結構相同的標高處。隔離結構可包括,例如,淺溝隔離(STI)、場氧化(FOX)、矽的局部氧化(LOCOS)特徵、和/或其他合適的隔離元件。隔離結構可包括一介電質材料,如氧化矽、氮化矽、氮氧化矽(silicon oxy-nitride)、摻氟矽酸鹽(FSG)、一低k介電質材料、其組合和/或其他合適的材料。 As shown in FIGS. 3 and 4A , a pattern 120 may be disposed on the substrate 100 . The pattern 120 may be disposed in the intermediate structure 140 . In some embodiments, the pattern 120 may include the same material as an isolation structure. In some embodiments, the pattern 120 may be disposed at the same elevation as the isolation structure. Isolation structures may include, for example, shallow trench isolation (STI), field oxidation (FOX), local oxidation of silicon (LOCOS) features, and/or other suitable isolation elements. The isolation structure may include a dielectric material such as silicon oxide, silicon nitride, silicon oxy-nitride, fluorine-doped silicate (FSG), a low-k dielectric material, combinations thereof, and/or other suitable materials.

在一些實施例中,圖案120可包括一閘極結構相同的材料。例如,閘極結構可以是犧牲性的,如一假(dymmy)閘極結構。在一些實施例中,圖案120可以設置在與閘極結構相同的標高處。在一些實施例中,圖案120可包括一介電質層,其材料與一閘極介電質層相同,以及一導電層,其材料與一閘極電極層相同。 In some embodiments, the pattern 120 may include the same material as a gate structure. For example, the gate structure may be sacrificial, such as a dymmy gate structure. In some embodiments, the pattern 120 may be disposed at the same elevation as the gate structure. In some embodiments, the pattern 120 may include a dielectric layer whose material is the same as a gate dielectric layer, and a conductive layer whose material is the same as a gate electrode layer.

在一些實施例中,閘極介電質層可包括氧化矽(SiOx)、氮化矽(SixNy)、氮氧化矽(SiON),或其組合。在一些實施例中,閘極介電質層可包括介電質材料,如一高k介電質材料。高k材料可具有大於4的介電常數(k值)。高k材料可包括氧化鉿(HfO2)、氧化鋯(ZrO2)、氧化鑭(La2O3)、氧化釔(Y2O3)、氧化鋁(Al2O3)、氧化鈦(TiO2)或其他適用材料。其他合適的材料也在本揭露的考量範圍內。 In some embodiments, the gate dielectric layer may include silicon oxide (SiOx), silicon nitride (SixNy), silicon oxynitride (SiON), or a combination thereof. In some embodiments, the gate dielectric layer may include a dielectric material, such as a high-k dielectric material. A high-k material may have a dielectric constant (k value) greater than 4. High-k materials may include hafnium oxide (HfO2), zirconia (ZrO2), lanthanum oxide (La2O3), yttrium oxide (Y2O3), aluminum oxide (Al2O3), titanium oxide (TiO2), or other suitable materials. Other suitable materials are also contemplated by the present disclosure.

在一些實施例中,閘極電極層可包括一多晶矽層。在一些實施例中,閘極電極層的製作技術可以是一導電材料,如鋁(Al)、銅(Cu)、鎢(W)、鈦(Ti)、鉭(Ta)或其他適用材料。在一些實施例中,閘極電極層可包括一功函數層。功函數層的製作技術是一金屬材料,且金屬材料可包括N-功函數的金屬或P-功函數的金屬。N-功函數金屬包括鎢(W)、銅(Cu)、鈦(Ti)、銀(Ag)、鋁(Al)、鈦鋁合金(TiAl)、氮化鈦鋁(TiAlN)、碳化鉭(TaC)、氮化鉭碳(TaCN)、氮化鉭矽(TaSiN)、錳(Mn)、鋯(Zr)或其組合。P-功函數的金屬包括氮化鈦(TiN)、氮化鎢(WN)、氮化鉭(TaN)、釕(Ru)或其組合。其他合適的材料也在本揭露的考量範圍內。閘極電極層可藉由低壓化學氣相沉積(LPCVD)和電漿增強CVD(PECVD)形成。 In some embodiments, the gate electrode layer may include a polysilicon layer. In some embodiments, the fabrication technology of the gate electrode layer may be a conductive material such as aluminum (Al), copper (Cu), tungsten (W), titanium (Ti), tantalum (Ta) or other suitable materials. In some embodiments, the gate electrode layer may include a work function layer. The fabrication technology of the work function layer is a metal material, and the metal material may include N-work function metal or P-work function metal. N-work function metals include tungsten (W), copper (Cu), titanium (Ti), silver (Ag), aluminum (Al), titanium aluminum alloy (TiAl), titanium aluminum nitride (TiAlN), tantalum carbide (TaC ), tantalum carbon nitride (TaCN), tantalum silicon nitride (TaSiN), manganese (Mn), zirconium (Zr) or combinations thereof. P-work function metals include titanium nitride (TiN), tungsten nitride (WN), tantalum nitride (TaN), ruthenium (Ru), or combinations thereof. Other suitable materials are also contemplated by the present disclosure. The gate electrode layer can be formed by low pressure chemical vapor deposition (LPCVD) and plasma enhanced CVD (PECVD).

在一些實施例中,圖案120可包括與一導電通孔相同的材 料,該材料可設置在一導電導線上,如第一金屬層(M1層)。在本實施例中,圖案120可包括一阻障層和由阻障層包圍的一導電層。阻障層可包括金屬氮化物或其他合適的材料。導電層可包括金屬,如W、Ta、Ti、Ni、Co、Hf、Ru、Zr、Zn、Fe、Sn、Al、Cu、Ag、Mo、Cr、合金或其他合適的材料。在本實施例中,圖案120可藉由合適的沉積製程形成,例如,濺鍍和物理氣相沉積(PVD)。 In some embodiments, pattern 120 may include the same material as a conductive via. material, which can be disposed on a conductive wire, such as the first metal layer (M1 layer). In this embodiment, the pattern 120 may include a barrier layer and a conductive layer surrounded by the barrier layer. The barrier layer may include metal nitride or other suitable materials. The conductive layer may include metals such as W, Ta, Ti, Ni, Co, Hf, Ru, Zr, Zn, Fe, Sn, Al, Cu, Ag, Mo, Cr, alloys or other suitable materials. In this embodiment, the pattern 120 can be formed by a suitable deposition process, such as sputtering and physical vapor deposition (PVD).

中間結構140可包括製作技術是絕緣材料的一個或複數個中間層,如氧化矽或氮化矽。在一些實施例中,中間結構140可包括導電層,如金屬層或合金層。在一些實施例中,一個或複數個中間層可藉由一合適的成膜方法形成,如化學氣相沉積(CVD)、原子層沉積(ALD)或物理氣相沉積(PVD)。在中間層形成後,可執行一熱操作,如快速熱退火。在其他的實施例中,執行一平坦化操作,如化學機械研磨(CMP)操作。在其他實施例中,可執行一移除操作,如一蝕刻製程。蝕刻製程可包括,例如,乾蝕刻製程或濕蝕刻製程。可以理解的是,在上述製程之前、期間和之後可以提供額外的操作,而且對於本方法的其他實施例,可以替換或取消上述的一些操作。操作/製程的順序可以互換。 The intermediate structure 140 may include one or more intermediate layers made of an insulating material, such as silicon oxide or silicon nitride. In some embodiments, the intermediate structure 140 may include a conductive layer, such as a metal layer or an alloy layer. In some embodiments, one or more intermediate layers can be formed by a suitable film-forming method, such as chemical vapor deposition (CVD), atomic layer deposition (ALD) or physical vapor deposition (PVD). After the interlayer is formed, a thermal operation, such as rapid thermal annealing, may be performed. In other embodiments, a planarization operation, such as a chemical mechanical polishing (CMP) operation, is performed. In other embodiments, a removal operation, such as an etching process, may be performed. The etching process may include, for example, a dry etching process or a wet etching process. It is understood that additional operations may be provided before, during and after the above process, and for other embodiments of the method, some of the above operations may be replaced or eliminated. The order of operations/processes can be interchanged.

圖4B是沿圖3的切割線B-B'拍攝的剖視圖。 FIG. 4B is a cross-sectional view taken along cutting line BB' of FIG. 3 .

如圖3和圖4B所示,圖案130設置在中間結構140上。在一些實施例中,圖案130可以是由遮罩150定義的複數個開口。遮罩150可以形成在中間結構140上,並將在隨後的製程中被移除。遮罩150可包括一正型或一負型的光阻(如聚合物),或一硬遮罩(如氮化矽或氮氧化矽)。包括遮罩150和圖案130在內的當層可使用合適的微影製程來進行圖案化,例如,在中間結構140上形成一光阻層,藉由一光罩將光阻層曝光成圖 案,烘烤和顯影光阻以形成遮罩150和圖案130。然後,遮罩150可用於將圖案定義到中間結構140中,如此,中間結構140曝露於圖案130的部分就可以被移除。 As shown in FIGS. 3 and 4B , the pattern 130 is disposed on the intermediate structure 140 . In some embodiments, pattern 130 may be a plurality of openings defined by mask 150 . A mask 150 may be formed on the intermediate structure 140 and will be removed in a subsequent process. The mask 150 may include a positive or negative photoresist (such as polymer), or a hard mask (such as silicon nitride or silicon oxynitride). The layer including the mask 150 and the pattern 130 can be patterned using a suitable lithography process, for example, forming a photoresist layer on the intermediate structure 140 and exposing the photoresist layer to a pattern through a photomask. pattern, bake and develop the photoresist to form mask 150 and pattern 130. The mask 150 can then be used to define a pattern into the intermediate structure 140 such that the portion of the intermediate structure 140 exposed to the pattern 130 can be removed.

由於在形成圖案120之後執行了複數個半導體製程,圖案120的輪廓可能會變形,並且具有不對稱的輪廓。變形的圖案120可能導致具有相對較大偏差的疊置誤差估計。 Since a plurality of semiconductor processes are performed after the pattern 120 is formed, the outline of the pattern 120 may be deformed and have an asymmetrical outline. A distorted pattern 120 may result in overlay error estimates with relatively large biases.

圖5是例示本揭露一些實施例之疊置標記210的俯視圖。 FIG. 5 is a top view illustrating an overlay mark 210 according to some embodiments of the present disclosure.

疊置標記210可包括基底100上的各種特徵,如圖案220和圖案230。圖案220可以是一前層的圖案。圖案230可以是一當層的圖案。前層(或下層)可以位於與當層(或上層)不同的水平層面。每個圖案220(或圖案230)可以位於四個正交目的地區域之一,其中兩個用於測量X方向的疊置誤差,兩個用於測量Y方向的疊置誤差。 Overlay mark 210 may include various features on substrate 100 such as pattern 220 and pattern 230 . The pattern 220 may be a pattern of a previous layer. The pattern 230 may be a layered pattern. The front floor (or lower floor) may be located at a different level from the current floor (or upper floor). Each pattern 220 (or pattern 230 ) can be located in one of four orthogonal destination areas, two for measuring overlay error in the X direction and two for measuring overlay error in the Y direction.

在一些實施例中,圖案220可包括與一隔離特徵相同的材料,並且可位於與隔離特徵相同的標高處。在一些實施例中,圖案220可包括一閘極結構相同的材料,並且可以位於閘極結構相同的標高處。在一些實施例中,圖案220可包括與一導電孔相同的材料,並且可位於與導電孔相同的標高處。 In some embodiments, pattern 220 may comprise the same material as an isolation feature and may be located at the same elevation as the isolation feature. In some embodiments, pattern 220 may comprise the same material as a gate structure and may be located at the same elevation as the gate structure. In some embodiments, pattern 220 may comprise the same material as a conductive via and may be located at the same elevation as the via.

在一些實施例中,每個圖案220可以有複數個次圖案222、次圖案224和次圖案226。在一些實施例中,在一平視圖中,每個次圖案222、224和226可以有不同的輪廓。在一些實施例中,每個次圖案222、224和226可以有不同的尺寸(例如,平視圖中的表面積)。 In some embodiments, each pattern 220 may have a plurality of sub-patterns 222 , 224 , and 226 . In some embodiments, each sub-pattern 222, 224, and 226 may have a different profile in a plan view. In some embodiments, each sub-pattern 222, 224, and 226 may have a different size (eg, surface area in plan view).

每個次圖案222可沿一第一方向,如Y方向延伸。複數個次圖案222可沿一第二方向,如X方向排列。在一些實施例中,每個次圖案 222可有,例如,一矩形輪廓。 Each sub-pattern 222 may extend along a first direction, such as the Y direction. The plurality of sub-patterns 222 can be arranged along a second direction, such as the X direction. In some embodiments, each sub-pattern 222 may have, for example, a rectangular outline.

複數個次圖案224可沿第二方向排列。每個次圖案224可沿一第三方向延伸,第三方向相對於X方向和Y方向傾斜。例如,次圖案224可有一第一邊緣和相對於第一邊緣傾斜的一第二邊緣。第一邊緣可沿第二方向延伸,而第二邊緣可沿第三方向延伸。在一些實施例中,次圖案224可相對於次圖案222傾斜。在一些實施例中,次圖案224的尺寸可大於(或超過)次圖案222的尺寸。在一些實施例中,複數個次圖案224的間距可沿第二方向大於複數個次圖案222的間距。在一些實施例中,次圖案224的數量可以與次圖案222的數量不同。在一些實施例中,次圖案224的數量可以少於次圖案222的數量。在一些實施例中,每個次圖案224可有,例如,一平行四邊形輪廓。 The plurality of sub-patterns 224 can be arranged along the second direction. Each sub-pattern 224 may extend along a third direction, and the third direction is inclined with respect to the X direction and the Y direction. For example, the sub-pattern 224 may have a first edge and a second edge inclined relative to the first edge. The first edge may extend in a second direction, and the second edge may extend in a third direction. In some embodiments, the sub-pattern 224 may be inclined relative to the sub-pattern 222 . In some embodiments, the size of the sub-pattern 224 may be larger (or exceed) the size of the sub-pattern 222 . In some embodiments, the pitch of the plurality of sub-patterns 224 may be greater than the pitch of the plurality of sub-patterns 222 along the second direction. In some embodiments, the number of sub-patterns 224 may be different from the number of sub-patterns 222 . In some embodiments, the number of sub-patterns 224 may be less than the number of sub-patterns 222 . In some embodiments, each sub-pattern 224 may have, for example, a parallelogram outline.

複數個次圖案226可沿第二方向排列。每個次圖案226可以有複數個沿第一方向排列的片段226d。在一些實施例中,每個片段226d的尺寸可以小於每個次圖案222的尺寸。在一些實施例中,複數個次圖案226的間距可以與複數個次圖案222沿第二方向的間距相同。在一些實施例中,次圖案226的片段可以具有例如一矩形輪廓。儘管圖5說明了次圖案224被設置在次圖案222和226之間,但次圖案222、224和226之間的相對位置可被修改。例如,在其他實施例中,次圖案222可設置在次圖案224和226之間。 The plurality of sub-patterns 226 can be arranged along the second direction. Each sub-pattern 226 may have a plurality of segments 226d arranged along the first direction. In some embodiments, the size of each segment 226d may be smaller than the size of each sub-pattern 222 . In some embodiments, the pitch of the plurality of sub-patterns 226 may be the same as the pitch of the plurality of sub-patterns 222 along the second direction. In some embodiments, the segments of the sub-pattern 226 may have, for example, a rectangular outline. Although FIG. 5 illustrates that sub-pattern 224 is disposed between sub-patterns 222 and 226, the relative positions between sub-patterns 222, 224, and 226 may be modified. For example, in other embodiments, sub-pattern 222 may be disposed between sub-patterns 224 and 226 .

圖案230可以有複數個次圖案232。每個次圖案232可沿第一方向延伸。複數個次圖案232可沿第二方向排列。在一些實施例中,次圖案232的長度可以大於次圖案222沿第一方向的長度。在一些實施例中,複數個次圖案232的間距可以與複數個次圖案222沿第二方向的間距 相同。在一些實施例中,複數個次圖案232的間距可以小於複數個次圖案224沿第二方向的間距。在一些實施例中,每個次圖案232可以有,例如,一矩形輪廓。在一些實施例中,圖案220可由具有兩個或複數個不同輪廓的次圖案組成,而圖案230可由具有單一輪廓的次圖案組成。 The pattern 230 may have a plurality of sub-patterns 232 . Each sub-pattern 232 may extend along the first direction. The plurality of sub-patterns 232 can be arranged along the second direction. In some embodiments, the length of the sub-pattern 232 may be greater than the length of the sub-pattern 222 along the first direction. In some embodiments, the pitch of the plurality of sub-patterns 232 may be the same as the pitch of the plurality of sub-patterns 222 along the second direction. same. In some embodiments, the pitch of the plurality of sub-patterns 232 may be smaller than the pitch of the plurality of sub-patterns 224 along the second direction. In some embodiments, each sub-pattern 232 may have, for example, a rectangular outline. In some embodiments, pattern 220 may consist of sub-patterns with two or more different profiles, while pattern 230 may consist of sub-patterns with a single profile.

雖然在圖5中沒有顯示,但應該注意的是,可設置一中間結構來疊置圖案220,並且圖案230被設置在中間結構上。 Although not shown in FIG. 5, it should be noted that an intermediate structure may be provided to overlay the pattern 220, and the pattern 230 is provided on the intermediate structure.

在使用疊置標記(如疊置標記210)測量一疊置誤差時,沿疊置標記210的X方向的一直線來測量X方向的偏差。Y方向的偏差是沿著疊置標記210的Y方向的一直線進一步測量。一個單個疊置標記,包括圖案220和230,可以用來測量基底上兩個層之間的一個X方向和一個Y方向的偏差。當層和前層是否精確對準可以根據X和Y方向的偏差來確定。疊置誤差可包括X方向的偏差(△X),Y方向的偏差(△Y),或其兩者的組合。 When using an overlay mark (such as the overlay mark 210 ) to measure an overlay error, the deviation in the X direction is measured along a straight line in the X direction of the overlay mark 210 . The deviation in the Y direction is further measured along a straight line in the Y direction of the overlay mark 210 . A single overlay mark, including patterns 220 and 230, can be used to measure an X-direction and a Y-direction deviation between two layers on the substrate. Whether the current layer and the front layer are accurately aligned can be determined according to the deviation in the X and Y directions. Overlay errors may include deviations in the X direction (ΔX), deviations in the Y direction (ΔY), or a combination of both.

更具體地說,從疊置測量設備獲得的圖案220和230的圖像可用於計算疊置誤差。如上所述,在形成圖案220之後,要執行多個半導體製造程;圖案220的輪廓可能會變形,並且具有不對稱的輪廓。為了獲得更符合實際情況的疊置誤差,可對從疊置測量設備獲得的疊置誤差做進一步校正。一疊置校正系統可接收來自前層的圖案和當層的圖案的光學圖像資訊,然後產生對應於每個相應的校正參數的複數個校正資料。因此,疊置校正系統可產生一校正疊置誤差。然後,一控制器(例如,電腦)將發送一訊號,指示如何根據校正疊置誤差來調整曝光設備。因此,用於定義圖案230的曝光設備將根據校正疊置誤差進行調整。在一些實施例中,校正資料可經配置以產生一X方向的偏移值,一Y方向的偏移值,或其兩者的組合,用於補償疊置誤差。 More specifically, images of patterns 220 and 230 obtained from an overlay measurement device can be used to calculate overlay errors. As described above, after the pattern 220 is formed, a plurality of semiconductor manufacturing processes are performed; the outline of the pattern 220 may be deformed and have an asymmetrical outline. In order to obtain an overlay error that is more in line with the actual situation, the overlay error obtained from the overlay measurement device can be further corrected. An overlay calibration system can receive optical image information from the pattern of the previous layer and the pattern of the current layer, and then generate a plurality of calibration data corresponding to each corresponding calibration parameter. Therefore, the overlay correction system can generate a corrected overlay error. A controller (eg, computer) will then send a signal indicating how to adjust the exposure equipment to correct for overlay errors. Thus, the exposure equipment used to define the pattern 230 will be adjusted to correct for overlay errors. In some embodiments, the calibration data can be configured to generate an offset value in the X direction, an offset value in the Y direction, or a combination thereof for compensating for overlay errors.

由於在形成前層後將在晶圓上執行一個或多個半導體製程,前層中的疊置標記的輪廓可能會由於不同的製程,例如一沉積製程、一蝕刻製程、一化學機械研磨製程或其他製程而發生變形並具有不對稱的輪廓。因此,根據前層的這些變形圖案的疊置誤差可能與實際情況有偏差。經發現,根據具有不同輪廓的圖案,校正資料的每個單元可能有不同程度的誤差。也就是說,一組校正資料根據圖案A可能有較小的誤差(或相對於實際情況的偏差),而根據圖案B有較大的誤差,其輪廓與圖案A的輪廓不同。另一組校正資料可能有相反的結果:根據圖案A有較大的誤差,而根據圖案B有較小大的誤差。 Since one or more semiconductor processes will be performed on the wafer after the formation of the front layer, the profile of the overlay mark in the front layer may be changed due to different processes, such as a deposition process, an etching process, a chemical mechanical polishing process or Distorted by other processes and has an asymmetrical profile. Therefore, the overlay error of these deformed patterns according to the previous layer may deviate from the actual situation. It was found that each cell of the calibration data may have different degrees of error depending on the pattern with the different contours. That is to say, a set of calibration data may have a small error (or a deviation relative to the actual situation) according to pattern A, but a large error according to pattern B, and its profile is different from that of pattern A. Another set of calibration data may have the opposite result: a larger error according to pattern A and a smaller error according to pattern B.

例如,疊置校正系統可包括多組校正參數,如場間擴展和場間旋轉。如果在前層形成後執行一蝕刻製程,則由次圖案224產生的校正資料(由與場間擴展有關的校正參數產生),相對於實際情況可有較小的誤差。如果在前層形成之後進行一化學機械研磨,則由次圖案226產生的校正資料(由與場間旋轉有關的校正參數產生),相對於實際情況可有較小的誤差。由,由次圖案222產生的校正資料(不屬於場間擴展和場間旋轉的校正參數產生),相對於實際情況可有較小的誤差。藉由選擇相對於實際情況具有較小偏差的校正資料,可以估計出具有較小偏差的校正疊置誤差。 For example, an overlay correction system may include multiple sets of correction parameters, such as field-to-field spread and field-to-field rotation. If an etching process is performed after the formation of the front layer, the correction data generated by the sub-pattern 224 (generated by the correction parameters related to the field-to-field spread) may have a small error relative to the actual situation. If a chemical mechanical polishing is performed after the formation of the previous layer, the calibration data generated by the sub-pattern 226 (generated by the calibration parameter related to the field-to-field rotation) may have a small error relative to the actual situation. Therefore, the correction data generated by the sub-pattern 222 (generated by the correction parameters that do not belong to the inter-field expansion and inter-field rotation) may have a small error relative to the actual situation. By selecting calibration data with a smaller deviation from the actual situation, a calibration overlay error with a smaller deviation can be estimated.

如上所述,來自不同圖案(或次圖案)的校正資料可能有不同程度的誤差。在本揭露的實施例中,前層可包括具有不同輪廓的圖案,每個圖案可以用來產生一系列各自的校正資料。這些來自不同次圖案的校正資料可以被選擇,以獲得相對於實際情況有較小偏差的校正疊置誤差。曝光設備將根據校正疊置誤差進行調整,在後續的半導體製程中,前層和 當層之間的對位精度將得到改善。 As mentioned above, calibration data from different patterns (or sub-patterns) may have different degrees of error. In an embodiment of the present disclosure, the front layer may include patterns with different contours, and each pattern may be used to generate a series of respective calibration data. These correction data from different sub-patterns can be selected to obtain corrected overlay errors with less deviation from the actual situation. The exposure equipment will be adjusted according to the correction of the overlay error. In the subsequent semiconductor process, the front layer and When the alignment accuracy between layers will be improved.

圖6是例示本揭露一些實施例之疊置標記210'的俯視圖。 FIG. 6 is a top view illustrating an overlay mark 210 ′ according to some embodiments of the present disclosure.

圖6所示的疊置標記210'可以與圖5所示的疊置標記210相似,不同的是圖案220'的組成。在一些實施例中,在形成前層之後可以省略CMP製程,圖案220'可以由次圖案222和224組成。在本實施例中,不屬於場間擴展的校正參數可以由次圖案222中選擇,來產生校正資料。 The overlay mark 210' shown in FIG. 6 may be similar to the overlay mark 210 shown in FIG. 5, except for the composition of the pattern 220'. In some embodiments, the CMP process may be omitted after forming the front layer, and the pattern 220 ′ may consist of sub-patterns 222 and 224 . In this embodiment, calibration parameters that do not belong to interfield extension can be selected from the sub-pattern 222 to generate calibration data.

如上所述,來自不同圖案(或次圖案)的校正資料可能有不同程度的誤差。在本實施例中,前層可包括具有不同輪廓的圖案,這些圖案可以用來產生與實際情況偏差較小的校正疊置誤差。曝光設備將根據這個校正疊置誤差進行調整,在接下來的半導體製程中,前層和當層之間的對位精度將得到改善。 As mentioned above, calibration data from different patterns (or sub-patterns) may have different degrees of error. In this embodiment, the front layer may include patterns with different contours, which may be used to produce corrected overlay errors that deviate less from reality. The exposure equipment will be adjusted according to this correction overlay error, and in the next semiconductor process, the alignment accuracy between the previous layer and the current layer will be improved.

圖7是例示本揭露一些實施例之疊置標記210"的俯視圖。 FIG. 7 is a top view illustrating an overlay mark 210 ″ of some embodiments of the present disclosure.

圖7所示的疊置標記210"可以與圖5所示的疊置標記210相似,不同的是圖案220"的組成。在一些實施例中,在形成前層之後可以省略蝕刻製程,圖案220"可以由次圖案222和226組成。在本實施例中,可以從次圖案222中選擇不屬於場間旋轉的校正參數,以產生校正資料。 The overlay mark 210" shown in FIG. 7 may be similar to the overlay mark 210 shown in FIG. 5, except for the composition of the pattern 220". In some embodiments, the etching process can be omitted after the formation of the front layer, and the pattern 220" can be composed of sub-patterns 222 and 226. In this embodiment, the correction parameters that do not belong to the inter-field rotation can be selected from the sub-pattern 222, to generate calibration data.

如上所述,來自不同圖案(或次圖案)的校正資料可能有不同程度的誤差。在本實施例中,前層可包括具有不同輪廓的圖案,這些圖案可以用來產生與實際情況偏差較小的校正疊置誤差。曝光設備將根據這個校正疊置誤差進行調整,在接下來的半導體製程中,前層和當層之間的對位精度將得到改善。 As mentioned above, calibration data from different patterns (or sub-patterns) may have different degrees of error. In this embodiment, the front layer may include patterns with different contours, which may be used to produce corrected overlay errors that deviate less from reality. The exposure equipment will be adjusted according to this correction overlay error, and in the next semiconductor process, the alignment accuracy between the previous layer and the current layer will be improved.

圖8是例示本揭露一些實施例之半導體製備系統300的方塊圖。 FIG. 8 is a block diagram illustrating a semiconductor fabrication system 300 according to some embodiments of the present disclosure.

半導體製備系統300可包括複數個製造設備310、320-1,...,320-N、曝光設備330、以及疊置測量設備340。製造設備310、320-1、...和320-N、曝光設備330以及疊置測量設備340可藉由網路350與控制器360和疊置(OVL)校正系統370耦合。 The semiconductor fabrication system 300 may include a plurality of fabrication equipment 310 , 320 - 1 , . . . , 320 -N, an exposure equipment 330 , and an overlay measurement equipment 340 . The fabrication equipment 310 , 320 - 1 , .

製造設備310可經配置以在前層中形成圖案,例如圖5中所示的圖案220。在一些實施例中,製造設備310可經配置以形成一隔離結構、一閘極結構、一導電通孔或其他層。製造設備320-1,...,和320-N可經配置以形成一中間結構,例如圖4A所示的中間結構140。製造設備320-1,...,和320-N中的每一個可經配置以執行一沉積製程、一蝕刻製程、一化學機械研磨製程、光阻塗層製程、烘烤製程、一對準製程或其他製程。 Fabrication apparatus 310 may be configured to form a pattern, such as pattern 220 shown in FIG. 5 , in a front layer. In some embodiments, fabrication equipment 310 may be configured to form an isolation structure, a gate structure, a conductive via, or other layers. Fabrication equipment 320-1, . . . , and 320-N may be configured to form an intermediate structure, such as intermediate structure 140 shown in FIG. 4A. Each of the fabrication equipment 320-1, . process or other process.

曝光設備330可經配置以在當層中形成圖案,例如圖5中所示的圖案230。 Exposure apparatus 330 may be configured to form a pattern in a layer, such as pattern 230 shown in FIG. 5 .

疊置測量設備340可經配置以獲得前層和當層的圖案的光學圖像,並根據上述前層和當層的圖案的光學圖像產生一疊置誤差。 The overlay measurement device 340 may be configured to obtain optical images of the patterns of the previous layer and the current layer, and generate an overlay error based on the optical images of the patterns of the previous layer and the current layer.

網路350可以是網際網路或應用網路通訊協定(如傳輸控制協議(TCP))的內部網路。透過網路350,每個製造設備310、320-1-320-N、曝光設備330和疊置測量設備340可以從控制器360或疊置校正系統370下載或上傳關於晶圓或製造設備的在製品(WIP)資訊。 The network 350 can be the Internet or an internal network using an Internet protocol such as Transmission Control Protocol (TCP). Through the network 350, each of the fabrication equipment 310, 320-1-320-N, the exposure equipment 330, and the overlay measurement equipment 340 can download or upload from the controller 360 or the overlay correction system 370 information about the wafer or fabrication equipment. In-Product (WIP) information.

控制器360可包括一處理器,例如一中央處理單元(CPU),以根據疊置測量設備340和從疊置校正系統370產生的校正資料產生校正疊置誤差。 The controller 360 may include a processor, such as a central processing unit (CPU), to generate corrected overlay errors based on the overlay measurement device 340 and the correction data generated from the overlay correction system 370 .

疊置校正系統370可包括與光學圖像的資訊相關的校正參 數,因此可以從相應的校正參數產生校正資料。疊置校正系統370可包括,例如,一計算機或一伺服器。在一些實施例中,校正資料可藉由程式碼或程式語言產生或計算。在一些實施例中,X方向的偏差(△X)、Y方向的偏差(△Y)或其兩者的組合可由包含校正參數的一公式產生。儘管圖8說明疊置校正系統370透過網路350與疊置測量設備340訊號連接,但本揭露的內容並不旨在是限制性的。在其他實施例中,疊置校正系統370可以是建立在疊置測量設備340內的一個程式。 Overlay correction system 370 may include correction parameters associated with information about the optical image. number, so calibration data can be generated from the corresponding calibration parameters. The overlay correction system 370 may include, for example, a computer or a server. In some embodiments, the calibration data can be generated or calculated by program code or programming language. In some embodiments, the deviation in the X direction (ΔX), the deviation in the Y direction (ΔY), or a combination thereof may be generated by a formula including correction parameters. Although FIG. 8 illustrates overlay calibration system 370 in signal connection with overlay measurement device 340 via network 350, the disclosure is not intended to be limiting. In other embodiments, the overlay correction system 370 may be a program built into the overlay measurement device 340 .

儘管圖8沒有顯示在製造設備310之前的任何其他製造設備,但該例示性實施例並不旨在是限制性的。在其他例示性實施例中,各種製造設備可以安排在製造設備310之前,並且可根據設計要求用於執行各種製程。 Although FIG. 8 does not show any other fabrication equipment preceding fabrication facility 310, this illustrative embodiment is not intended to be limiting. In other exemplary embodiments, various manufacturing equipment may be arranged before the manufacturing equipment 310, and may be used to perform various processes according to design requirements.

在例示性的實施例中,晶圓301被轉移到製造設備310,以開始一連串不同的製程。晶圓301可藉由各種階段的製程形成至少一層材料。例示性實施例並不旨在限制晶圓301的製程。在其他例示性實施例中,在晶圓301被轉移到製造設備310之前,晶圓301可包括各種層,或產品的開始和完成之間的任何階段。在例示性實施例中,晶圓301可按順序由製造設備310、320-1至320-N、曝光設備330和疊置測量設備340處理。 In the exemplary embodiment, wafer 301 is transferred to fabrication facility 310 to begin a series of different processes. Wafer 301 may be formed with at least one layer of material through various stages of processing. The exemplary embodiments are not intended to limit the wafer 301 process. In other exemplary embodiments, wafer 301 may include various layers, or any stage between initiation and completion of a product, before wafer 301 is transferred to fabrication facility 310 . In an exemplary embodiment, wafer 301 may be sequentially processed by fabrication equipment 310 , 320 - 1 to 320 -N, exposure equipment 330 , and overlay measurement equipment 340 .

圖9流程圖,例示本揭露各個方面之由疊置校正系統產生校正資料的方法400。 FIG. 9 is a flowchart illustrating a method 400 of generating calibration data by an overlay calibration system according to various aspects of the present disclosure.

方法400從操作410開始,在該操作中,提供一疊置校正系統,例如疊置校正系統370。在一些實施例中,疊置校正系統370可包括複數個校正參數P1、P2、...和PN,它們可以用來產生一相應的校正資料或一校正疊置誤差。 Method 400 begins at operation 410 in which an overlay correction system, such as overlay correction system 370, is provided. In some embodiments, the overlay correction system 370 may include a plurality of correction parameters P1, P2, .

方法400繼續進行操作420,其中提供光學圖像的資訊。例如,光學圖像可以由圖案(或次圖案)A、B、C和D產生,並且光學圖像的資訊可被上傳到網路。在一些實施例中,圖案或次圖案A、B、C和D可以分別對應於次圖案222、次圖案224、次圖案226和圖案230。 Method 400 continues with operation 420, where optical image information is provided. For example, an optical image can be generated from patterns (or sub-patterns) A, B, C, and D, and the information of the optical image can be uploaded to the network. In some embodiments, patterns or sub-patterns A, B, C, and D may correspond to sub-pattern 222 , sub-pattern 224 , sub-pattern 226 , and pattern 230 , respectively.

方法400繼續進行操作430,其中產生校正資料。在一些實施例中,圖案(或次圖案)A可用於從參數P1產生校正資料a1,從參數P2產生校正資料a2,等等。因此,校正資料a1,a2,...,和aN是根據圖案或次圖案A和校正參數P1-PN產生。同樣,校正資料b1,b2,...,和bN是根據圖案(或次圖案B)和校正參數P1-PN產生,校正資料c1,c2,...,和cN是根據圖案(或次圖案)C和校正參數P1-PN產生,以及校正資料d1,d2,...,和dN是根據圖案(或次圖案)D和校正參數P1-PN產生。 Method 400 continues with operation 430, where correction data is generated. In some embodiments, pattern (or sub-pattern) A may be used to generate correction data a1 from parameter P1, correction data a2 from parameter P2, and so on. Therefore, the correction data a1, a2, . . . , and aN are generated according to the pattern or sub-pattern A and the correction parameters P1-PN. Similarly, the correction data b1, b2, ..., and bN are generated according to the pattern (or sub-pattern B) and the correction parameters P1-PN, and the correction data c1, c2, ..., and cN are generated according to the pattern (or sub-pattern B) )C and correction parameters P1-PN are generated, and correction data d1, d2, . . . , and dN are generated according to pattern (or sub-pattern) D and correction parameters P1-PN.

方法400繼續進行操作440,在該操作中,產生一校正疊置誤差。校正疊置誤差可根據相應參數P1-PN的校正資料產生。校正疊置誤差可由包含X方向的偏移值、Y方向的偏移值或其兩者的組合和從疊置測量設備產生的疊置誤差的公式來表示。 Method 400 continues with operation 440 where a correction overlay error is generated. The corrected overlay error can be generated according to the corrected data of the corresponding parameters P1-PN. Correcting the overlay error may be expressed by a formula including an offset value in the X direction, an offset value in the Y direction, or a combination of both and the overlay error resulting from the overlay measurement device.

在其他一些實施例中,可以省略操作430。在本實施例中,校正疊置誤差,包括X方向的偏差(△X)、Y方向的偏差(△Y),或其兩者的組合,可由校正參數產生。每個X方向的偏差(△X),Y方向的偏差(△Y),或其兩者的組合可用包含校正參數做為變數的公式來表示。當收到光學圖像的資訊時,可以確定這些變數,因此產生X方向的偏差(△X),Y方向的偏差(△Y),或其兩者的組合。 In some other embodiments, operation 430 may be omitted. In this embodiment, the correction of the overlay error, including the deviation in the X direction (ΔX), the deviation in the Y direction (ΔY), or a combination thereof, can be generated by the correction parameters. Each of the deviation in the X direction (ΔX), the deviation in the Y direction (ΔY), or a combination of both can be represented by a formula including the correction parameter as a variable. These variables can be determined upon receipt of the optical image information, thereby producing a deviation in the X direction (ΔX), a deviation in the Y direction (ΔY), or a combination of both.

圖10、圖11和圖12是例示本揭露各個方面之疊置校正的校正方法500的流程圖。 FIG. 10 , FIG. 11 and FIG. 12 are flowcharts illustrating a calibration method 500 for overlay calibration in various aspects of the present disclosure.

參照圖10,校正方法500從操作510開始,其中接收一晶圓。晶圓可包括一半導體基底,例如一矽基底。晶圓可包括複數個由切割道分開的晶片。 Referring to FIG. 10, calibration method 500 begins at operation 510, where a wafer is received. A wafer may include a semiconductor substrate, such as a silicon substrate. A wafer may include a plurality of wafers separated by dicing streets.

校正方法500繼續進行操作520,其中由一第一製造設備形成一第一圖案(例如,一前層圖案)。在形成第一圖案之前,可以在晶圓的基底上執行多個製程,以便在第一圖案下面形成許多特徵。在一些實施例中,第一圖案可包括一介電質材料、一導電材料或其他合適的材料。在一些實施例中,第一圖案可以在經配置以形成例如閘極結構、隔離特徵、導電孔或其他特徵的操作中形成。在一些實施例中,第一圖案可以對應於圖5中所示的圖案220。 The calibration method 500 continues with operation 520, wherein a first pattern (eg, a front-layer pattern) is formed by a first fabrication tool. Prior to forming the first pattern, a number of processes may be performed on the base of the wafer to form a number of features beneath the first pattern. In some embodiments, the first pattern may include a dielectric material, a conductive material or other suitable materials. In some embodiments, the first pattern may be formed in an operation configured to form, for example, gate structures, isolation features, conductive vias, or other features. In some embodiments, the first pattern may correspond to pattern 220 shown in FIG. 5 .

參照圖11,操作520可包括操作522、524和526,其中形成複數個第一、第二和第三次圖案。在一些實施例中,第一、第二和第三次圖案可以同時形成。在一些實施例中,第一、第二和第三次圖案中的每一個可以分別對應於圖5中所示的次圖案222、224和226。 Referring to FIG. 11, operation 520 may include operations 522, 524, and 526, wherein a plurality of first, second, and third sub-patterns are formed. In some embodiments, the first, second and third patterns can be formed simultaneously. In some embodiments, each of the first, second, and third sub-patterns may correspond to sub-patterns 222, 224, and 226 shown in FIG. 5, respectively.

回到圖10,校正方法500繼續進行操作530,其中在形成第一圖案後,在晶圓的基底上執行多個製程。這些製程可用於形成覆蓋第一圖案的中間層。中間層可由多個製造設備形成,這些設備可用於執行一沉積製程、一蝕刻製程、一化學機械研磨製程、光阻塗層製程、烘烤製程、一對準製程或其他製程。 Returning to FIG. 10 , the calibration method 500 proceeds to operation 530 , wherein after forming the first pattern, a plurality of processes are performed on the substrate of the wafer. These processes can be used to form an intermediate layer covering the first pattern. The intermediate layer can be formed by a variety of manufacturing equipment that can be used to perform a deposition process, an etching process, a chemical mechanical polishing process, a photoresist coating process, a baking process, an alignment process, or other processes.

校正方法500繼續進行操作540,其中藉由曝光設備形成一第二圖案(例如,一當層)。在一些實施例中,第二圖案可以是遮罩的開口圖案,例如光阻。在一些實施例中,第二圖案可以對應於圖5中所示的圖案230。 The calibration method 500 continues with operation 540, wherein a second pattern (eg, a layer) is formed by the exposure apparatus. In some embodiments, the second pattern may be an opening pattern of a mask, such as a photoresist. In some embodiments, the second pattern may correspond to pattern 230 shown in FIG. 5 .

校正方法500繼續進行操作550,其中與沿X方向和Y方向的移動有關的一疊置誤差由疊置測量設備產生。在一些實施例中,第一圖案,包括第一、第二、第三次圖案和第二圖案的複數個光學圖像由疊置測量設備產生,並且可以根據這些光學圖像產生疊置誤差。在一些實施例中,疊置誤差可包括X方向的偏差(△X)、Y方向的偏差(△Y),或兩者的組合。 Calibration method 500 continues with operation 550 where an overlay error associated with movement in the X and Y directions is generated by the overlay measurement device. In some embodiments, the first pattern, including the first, second and third sub-patterns and a plurality of optical images of the second pattern are generated by an overlay measurement device, and an overlay error can be generated based on these optical images. In some embodiments, the overlay error may include a deviation in the X direction (ΔX), a deviation in the Y direction (ΔY), or a combination of both.

校正方法500繼續進行操作560,在該操作中,藉由校正在操作550中獲得的疊置誤差而產生一校正疊置誤差。在一些實施例中,可以產生X方向的偏移值、Y方向的偏移值,或其兩者的組合,以補償在操作550中產生的疊置誤差。在一些實施例中,可以根據用於形成位於當層之下的上述中間層的操作,如操作530,來確定或計算校正疊置誤差。 The correction method 500 continues with operation 560 in which a corrected overlay error is generated by correcting the overlay error obtained in operation 550 . In some embodiments, an offset value in the X direction, an offset value in the Y direction, or a combination thereof may be generated to compensate for the overlay error generated in operation 550 . In some embodiments, the correction overlay error may be determined or calculated from operations for forming the above-described intermediate layer below the current layer, such as operation 530 .

參照圖12,操作560可包括操作562、564、566和568。操作562可包括將校正參數分類為第一、第二和第三組。例如,校正參數可被分為與場間擴展有關的第一組、與場間旋轉有關的第二組、以及不屬於第一和第二組的第三組。 Referring to FIG. 12 , operation 560 may include operations 562 , 564 , 566 and 568 . Operation 562 may include sorting the correction parameters into first, second, and third groups. For example, correction parameters may be divided into a first group related to interfield extension, a second group related to interfield rotation, and a third group not belonging to the first and second groups.

操作564可包括操作5641、5642和5643,其中從第一、第二和第三次圖案產生一第一校正資料、一第二校正資料和一第三校正資料。第一、第二或第三次圖案中的每一個可用來產生第一、第二和第三校正資料。也就是說,可以根據第一、第二和第三次圖案產生九個單元的校正資料。第一、第二和第三校正資料可以分別對應於校正參數的第一、第二和第三組。 Operation 564 may include operations 5641, 5642, and 5643, wherein a first calibration data, a second calibration data, and a third calibration data are generated from the first, second, and third order patterns. Each of the first, second or third patterns can be used to generate first, second and third calibration data. That is to say, nine units of correction data can be generated according to the first, second and third patterns. The first, second and third calibration profiles may correspond to first, second and third sets of calibration parameters, respectively.

操作566可包括選擇用於產生校正疊置誤差的資料。在一些實施例中,第一校正資料分別從第一次圖案中選擇,第二校正資料從第 二次圖案中選擇,以及第三校正資料從第三圖案中選擇。 Operation 566 may include selecting data for use in generating corrected overlay errors. In some embodiments, the first calibration data is selected from the first pattern respectively, and the second calibration data is selected from the first pattern A secondary pattern is selected, and a third calibration data is selected from a third pattern.

例如,校正參數P1、P2、...和P9,以及參數P1、P2和P3屬於第一組,參數P4、P5和P6屬於第二組,而參數P7、P8和P9屬於第三組。校正資料a1,a2,...,和a9由第一組次圖案產生,校正資料b1,b2,...,和b9由第二組次圖案產生,校正資料c1,c2,...,和c9由第三組次圖案產生。在本實施例中,校正資料a1、a2、a3、b4、b5、b6、c7、c8和c9經選擇以產生X方向的偏移值、Y方向的偏移值,或其兩者的組合。因此,可根據上述偏移量和在操作550中產生的疊置誤差來產生校正疊置誤差。 For example, correction parameters P1, P2, ... and P9, and parameters P1, P2 and P3 belong to a first group, parameters P4, P5 and P6 belong to a second group, and parameters P7, P8 and P9 belong to a third group. Calibration data a1, a2, ..., and a9 are produced by the first group of sub-patterns, calibration data b1, b2, ..., and b9 are produced by the second group of sub-patterns, and calibration data c1, c2, ..., and c9 are generated by the third set of subpatterns. In this embodiment, the calibration data a1 , a2 , a3 , b4 , b5 , b6 , c7 , c8 , and c9 are selected to generate an offset value in the X direction, an offset value in the Y direction, or a combination thereof. Accordingly, a corrected overlay error may be generated based on the above-described offset and the overlay error generated in operation 550 .

在其他實施例中,校正參數的組數可由操作530中對晶圓執行的製程決定。在一些實施例中,可以省略一蝕刻製程或一化學機械研磨製程,且校正參數可相應地被分為兩組。在這種情況下,如果有校正參數P1、P2、...和P9,校正資料a1-a6可以從第一組次圖案中選擇,校正資料b7-b9可以從第二組次圖案中選擇,以產生校正疊置誤差。在其他實施例中,根據如何對製程進行分類,校正參數的組數可以大於3,因此根據分類後的製程對校正參數進行分類。 In other embodiments, the number of sets of calibration parameters may be determined by the process performed on the wafer in operation 530 . In some embodiments, an etching process or a chemical mechanical polishing process can be omitted, and the calibration parameters can be divided into two groups accordingly. In this case, if there are correction parameters P1, P2, ... and P9, the correction data a1-a6 can be selected from the first set of sub-patterns, and the correction data b7-b9 can be selected from the second set of sub-patterns, to produce corrected overlay errors. In other embodiments, according to how to classify the processes, the number of groups of calibration parameters may be greater than 3, so the calibration parameters are classified according to the classified processes.

操作568可包括根據疊置誤差和選定的校正資料來產生校正疊置誤差。操作568可由一控制器執行,例如圖8所示的控制器360。 Operation 568 may include generating a corrected overlay error based on the overlay error and the selected correction data. Operation 568 may be performed by a controller, such as controller 360 shown in FIG. 8 .

操作562、564、566和/或568可由疊置校正系統執行,例如圖8中所示的疊置校正系統370。 Operations 562 , 564 , 566 and/or 568 may be performed by an overlay correction system, such as overlay correction system 370 shown in FIG. 8 .

在其他實施例中,可省略操作564、566和566。在本實施例中,校正疊置誤差,包括X方向的偏差(△X)、Y方向的偏差(△Y),或其兩者的組合,可以由校正參數產生。每個X方向的偏差(△X),Y方向的偏 差(△Y),或其兩者的組合可用包含校正參數做為變數的公式來表示。例如,校正參數P1、P2、...和P9,以及參數P1、P2和P3屬於第一組,參數P4、P5和P6屬於第二組,參數P7、P8和P9屬於第三組。包含校正參數P1-P3、P4-P6和P7-P9的變數可分別從第一組次圖案、第二組次圖案和第三組次圖案的光學資訊中確定。因此,可以確定校正疊置誤差。 In other embodiments, operations 564, 566, and 566 may be omitted. In this embodiment, the correction of the overlay error, including the deviation in the X direction (ΔX), the deviation in the Y direction (ΔY), or a combination thereof, can be generated by the correction parameters. Each deviation in X direction (△X), deviation in Y direction The difference (ΔY), or a combination of both, can be represented by a formula including the correction parameter as a variable. For example, correction parameters P1, P2, ... and P9, and parameters P1, P2 and P3 belong to the first group, parameters P4, P5 and P6 belong to the second group, and parameters P7, P8 and P9 belong to the third group. The variables comprising calibration parameters P1-P3, P4-P6 and P7-P9 can be determined from the optical information of the first set of sub-patterns, the second set of sub-patterns and the third set of sub-patterns, respectively. Therefore, it is possible to correct the overlay error with certainty.

參照圖10,校正方法500繼續進行操作570,其中根據校正疊置誤差來調整曝光設備。在一些實施例中,操作570可包括調整曝光設備的一光罩的位置,以便可用較小的疊置誤差執行下一個曝光製程。 Referring to FIG. 10 , the correction method 500 proceeds to operation 570 , in which the exposure equipment is adjusted according to the correction overlay error. In some embodiments, operation 570 may include adjusting the position of a reticle of the exposure apparatus so that the next exposure process can be performed with less overlay error.

校正方法500包含將校正參數分類為不同的組。如上所述,來自不同圖案(或次圖案)的校正資料可能有不同程度的誤差。在本實施例中,前層可包括具有不同輪廓的圖案,這些圖案可用來產生與實際情況的偏差較小的一校正疊置誤差。曝光設備將根據這個校正疊置誤差進行調整,在後續的半導體製程中,前層和當層之間的對位精度將得到改善。 The calibration method 500 includes categorizing calibration parameters into different groups. As mentioned above, calibration data from different patterns (or sub-patterns) may have different degrees of error. In this embodiment, the front layer may include patterns with different contours, which may be used to produce a corrected overlay error that deviates less from the actual situation. The exposure equipment will be adjusted according to this correction overlay error, and in the subsequent semiconductor manufacturing process, the alignment accuracy between the front layer and the current layer will be improved.

校正方法500僅僅是一個例子,並不旨在將本揭露的內容限制在申請專利範圍中明確敘述的範圍之外。可以在方法500的每個操作之前、期間或之後提供額外的操作,並且所述的一些操作可以被替換、消除或移動,以用於該方法的額外實施例。在一些實施例中,校正方法500還可包括圖10至圖12中未描繪的操作。在一些實施例中,校正方法500可包括圖10至圖12中描述的一個或複數個操作。 The correction method 500 is just an example, and is not intended to limit the content of the present disclosure beyond what is expressly stated in the claims. Additional operations may be provided before, during, or after each operation of method 500, and some of the operations described may be replaced, eliminated, or moved for additional embodiments of the method. In some embodiments, calibration method 500 may also include operations not depicted in FIGS. 10-12 . In some embodiments, calibration method 500 may include one or more of the operations described in FIGS. 10-12 .

圖10至圖12中說明的製程可以在控制器360,或者藉由控制設施中的每一部分或一部分製造設備來組織製備晶圓的計算系統中實現。圖13是方塊圖,例示本揭露各個方面之半導體製備系統600的硬體。系統600包括一個或多個硬體的處理器601和編碼有,即儲存有程式碼(即 一組可執行指令)的一非臨時性的電腦可讀儲存媒介603。電腦可讀儲存媒介603也可以編碼有用於與生產半導體元件的製造設備介面的指令。處理器601經由匯流排605與電腦可讀儲存媒介603電耦合。處理器601也藉由匯流排605與輸入及輸出(I/O)介面607電耦合。網路介面609也經由匯流排605與處理器601電連接。網路介面連接到一網路,因此處理器601和電腦可讀儲存媒介603能夠經由網路350連接到外部元件。處理器601經配置以執行編碼在電腦可讀儲存媒介605中的電腦程式碼,以使系統600可用於執行如圖10至圖12所示方法中描述的部分或全部操作。 The processes illustrated in Figures 10-12 may be implemented in the controller 360, or computing system that organizes the fabrication of wafers by controlling each part or part of the fabrication equipment in the facility. FIG. 13 is a block diagram illustrating the hardware of a semiconductor fabrication system 600 of various aspects of the present disclosure. System 600 includes one or more hardware processors 601 and coded, i.e. stored, program codes (i.e. A non-transitory computer-readable storage medium 603 of a set of executable instructions). The computer readable storage medium 603 may also be encoded with instructions for interfacing with manufacturing equipment for producing semiconductor devices. The processor 601 is electrically coupled to the computer readable storage medium 603 via the bus bar 605 . The processor 601 is also electrically coupled to an input and output (I/O) interface 607 via a bus bar 605 . The network interface 609 is also electrically connected to the processor 601 via the bus bar 605 . The network interface is connected to a network, so the processor 601 and the computer-readable storage medium 603 can be connected to external components via the network 350 . The processor 601 is configured to execute computer program codes encoded in the computer-readable storage medium 605 , so that the system 600 can be used to perform some or all of the operations described in the methods shown in FIGS. 10 to 12 .

在一些例示性的實施例中,處理器601可以是但不限於一中央處理單元(CPU)、一多處理器、一分散式處理系統、一特定應用積體電路(ASIC)和/或一合適的處理單元。各種電路或單元都在本揭露的考量範圍內。 In some exemplary embodiments, processor 601 may be, but is not limited to, a central processing unit (CPU), a multiprocessor, a distributed processing system, an application specific integrated circuit (ASIC), and/or a suitable processing unit. Various circuits or units are within the scope of this disclosure.

在一些例示性實施例中,電腦可讀儲存媒介603可以是但不限於電子、磁性、光學、電磁、紅外和/或半導體系統(或裝置或設備)。例如,電腦可讀儲存媒介603包括一半導體或固態記憶體、一磁帶、一抽取式電腦磁碟、一隨機存取記憶體(RAM)、一唯讀記憶體(ROM)、一硬碟和/或一光碟。在一個或多個使用光碟的例示性實施例中,電腦可讀儲存媒介603還包括光碟-唯讀記憶體(CD-ROM)、光碟-讀/寫(CD-R/W)和/或數位視訊光碟(DVD)。 In some exemplary embodiments, computer readable storage medium 603 may be, but is not limited to, an electronic, magnetic, optical, electromagnetic, infrared, and/or semiconductor system (or device or device). For example, computer readable storage medium 603 includes a semiconductor or solid state memory, a magnetic tape, a removable computer disk, a random access memory (RAM), a read only memory (ROM), a hard disk and/or or a CD. In one or more exemplary embodiments utilizing compact discs, computer readable storage medium 603 also includes compact disc-read only memory (CD-ROM), compact disc-read/write (CD-R/W) and/or digital Video Disc (DVD).

在一些例示性的實施例中,儲存媒介603儲存電腦程式碼,該程式碼經配置以使系統600執行圖8至圖12中所示的方法。在一個或複數個例示性實施例中,儲存媒介601還儲存執行圖8至圖12所示方法的所需資訊以及在執行這些方法期間產生的資訊和/或一組可執行指令以 執行圖8至圖12所示方法的操作。在一些例示性實施例中,可提供使用者介面610,例如,一圖形化使用者介面(GUI),供使用者在系統600上操作。 In some exemplary embodiments, the storage medium 603 stores computer program codes configured to enable the system 600 to execute the methods shown in FIGS. 8 to 12 . In one or more exemplary embodiments, the storage medium 601 also stores information required for performing the methods shown in FIGS. Execute the operations of the methods shown in FIG. 8 to FIG. 12 . In some exemplary embodiments, a user interface 610 , such as a graphical user interface (GUI), may be provided for users to operate on the system 600 .

在一些例示性實施例中,儲存媒介603儲存用於與外部機器介面的指令。該指令使處理器601能夠產生可由外部機器讀取的指令,以便在分析過程中有效地實施圖8至圖12中所示的方法。 In some exemplary embodiments, the storage medium 603 stores instructions for interfacing with external machines. The instructions enable the processor 601 to generate instructions readable by an external machine to effectively implement the methods shown in FIGS. 8-12 during analysis.

系統600包括輸入及輸出(I/O)介面607。I/O介面607與外部電路相連接。在一些例示性實施例中,I/O介面607可包括但不限於鍵盤、鍵板、滑鼠、軌跡球、觸控板、觸控式螢幕和/或游標方向鍵,用於向處理器601傳達資訊和命令。 System 600 includes input and output (I/O) interface 607 . The I/O interface 607 is connected with external circuits. In some exemplary embodiments, the I/O interface 607 may include, but is not limited to, a keyboard, a keypad, a mouse, a trackball, a touchpad, a touch screen, and/or cursor keys for providing information to the processor 601. convey information and orders.

在一些例示性的實施例中,I/O介面607可包括一顯示器,如一陰極射線管(CRT)、液晶顯示器(LCD)、揚聲器等。例如,顯示器顯示資訊。 In some exemplary embodiments, the I/O interface 607 may include a display, such as a cathode ray tube (CRT), liquid crystal display (LCD), speaker, and the like. For example, a monitor displays information.

系統600還可包括與處理器601耦合的網路介面609。網路介面609允許系統600與網路350通訊,其中一個或多個其他電腦系統連接到網路350。例如,系統600可透過連接到網路350的網路介面609連接到製造設備310、320-1,...,和320-N、曝光設備、疊置測量設備340和疊層校正系統370。 The system 600 may also include a network interface 609 coupled to the processor 601 . Network interface 609 allows system 600 to communicate with network 350 to which one or more other computer systems are connected. For example, system 600 can be connected to fabrication equipment 310 , 320 - 1 , .

本揭露的一個方面提供一種疊置校正的標記。該標記包括一第一圖案和一第二圖案。該第一圖案設置在一基底上,並位於一第一水高度。該第一圖案包括複數個第一次圖案和複數個第二次圖案。該第一次圖案沿一第一方向延伸並沿不同於該第一方向的一第二方向排列。該第二次圖案沿該第二方向排列,其中該複數個第一次圖案中的每一個的輪廓與 該複數個第二次圖案中的每一個的輪廓不同。該第二圖案設置在與該第一水高度不同的一第二水平高度上。 One aspect of the present disclosure provides an overlay corrected marker. The mark includes a first pattern and a second pattern. The first pattern is arranged on a base and is located at a first water level. The first pattern includes a plurality of first patterns and a plurality of second patterns. The first pattern extends along a first direction and is arranged along a second direction different from the first direction. The second pattern is arranged along the second direction, wherein the outline of each of the plurality of first patterns and Each of the plurality of second patterns has a different profile. The second pattern is disposed at a second level different from the first water level.

本揭露的另一個方面提供一種疊置誤差的校正方法。該校正方法包括:根據一晶圓的一下層圖案和一上層圖案得到一疊置誤差,其中該下層圖案由該晶圓經過的一第一製造設備獲得,而該上層圖案由一曝光設備獲得;根據該疊置誤差和在該第一製造設備之後、該曝光設備之前對該晶圓執行的一製程,產生一校正疊置誤差;以及根據該校正疊置誤差調整該曝光設備。 Another aspect of the disclosure provides a method for correcting overlay errors. The correction method includes: obtaining an overlay error according to a lower layer pattern and an upper layer pattern of a wafer, wherein the lower layer pattern is obtained by a first manufacturing equipment through which the wafer passes, and the upper layer pattern is obtained by an exposure device; generating a corrected overlay error based on the overlay error and a process performed on the wafer after the first fabrication tool and before the exposure tool; and adjusting the exposure tool based on the corrected overlay error.

本揭露的另一個方面提供一種疊置誤差的校正方法。該校正方法包括:接收具有基底的一晶圓;在該晶圓的基底上形成一第一圖案;對該晶圓執行複數個製程;藉由一曝光設備在該晶圓的第一圖案上形成一第二圖案;根據該晶圓的第一圖案和第二圖案得到一疊置誤差;根據該疊置誤差和該複數個製程產生一校正疊置誤差;以及根據該校正疊置誤差調整該曝光設備。 Another aspect of the disclosure provides a method for correcting overlay errors. The correction method includes: receiving a wafer with a substrate; forming a first pattern on the substrate of the wafer; performing a plurality of processes on the wafer; forming the first pattern on the wafer by an exposure device a second pattern; obtaining an overlay error according to the first pattern and the second pattern of the wafer; generating a corrected overlay error according to the overlay error and the plurality of processes; and adjusting the exposure according to the corrected overlay error equipment.

本揭露的實施例揭露一種用於疊置誤差測量的疊置標記。疊置標記的前層可包括不同的次圖案,以便可以從每個次圖案中產生校正資料。從特定的次圖案中選擇校正資料可以細化校正疊置誤差,因此使校正疊置誤差更符合實際情況。 Embodiments of the disclosure disclose an overlay mark for overlay error measurement. The preceding layer of superimposed marks may comprise different sub-patterns, so that correction data can be generated from each sub-pattern. Selecting correction data from specific sub-patterns can refine the correction overlay error, thus making the correction overlay error more realistic.

雖然已詳述本揭露及其優點,然而應理解可以進行其他變化、取代與替代而不脫離揭露專利範圍所界定之本揭露的精神與範圍。例如,可用不同的方法實施上述的許多製程,並且以其他製程或其組合替代上述的許多製程。 Although the present disclosure and its advantages have been described in detail, it should be understood that other changes, substitutions and substitutions can be made hereto without departing from the spirit and scope of the present disclosure as defined by the disclosed claims. For example, many of the processes described above can be performed in different ways and replaced by other processes or combinations thereof.

再者,本揭露案的範圍並不受限於說明書中所述之製程、 機械、製造、物質組成物、手段、方法與步驟之特定實施例。該技藝之技術人士可自本揭露的揭示內容理解以根據本揭露而使用與本文所述之對應實施例具有相同功能或是達到實質上相同結果之現存或是未來發展之製程、機械、製造、物質組成物、手段、方法、或步驟。據此,此等製程、機械、製造、物質組成物、手段、方法、或步驟係包括於本揭露案之揭露專利範圍內。 Furthermore, the scope of the disclosure is not limited to the process described in the specification, Particular embodiments of machines, manufacture, compositions of matter, means, methods and steps. Those skilled in the art can understand from the disclosure content of this disclosure that they can use existing or future developed processes, machinery, manufacturing, A composition of matter, means, method, or step. Accordingly, such processes, machinery, manufacture, material composition, means, methods, or steps are included in the scope of the patent disclosure of this disclosure.

100:基底 210:疊置標記 220:圖案 222:次圖案 224:次圖案 226:次圖案 226d:分段 230:圖案 232:次圖案 X:方向 Y:方向 Z:方向 100: base 210:overlap mark 220: pattern 222: secondary pattern 224: secondary pattern 226: secondary pattern 226d: Segmentation 230: pattern 232: secondary pattern X: direction Y: Direction Z: Direction

Claims (19)

一種疊置誤差的校正方法,包括:根據一晶圓的一下層圖案的複數個次圖案和一上層圖案的複數個次圖案得到複數個疊置誤差,其中該下層圖案的該複數個次圖案由該晶圓經過的一第一製造設備獲得,而該上層圖案的該複數個次圖案由一曝光設備獲得,該複數個疊置誤差彼此不同;根據該複數個疊置誤差和在該第一製造設備之後、該曝光設備之前對該晶圓執行的一製程,選定一疊置誤差以產生一校正疊置誤差;以及根據該校正疊置誤差調整該曝光設備。 A method for correcting an overlay error, comprising: obtaining a plurality of overlay errors according to a plurality of sub-patterns of a lower-layer pattern and a plurality of sub-patterns of an upper-layer pattern of a wafer, wherein the plurality of sub-patterns of the lower-layer pattern are obtained by The wafer is obtained through a first manufacturing equipment, and the plurality of sub-patterns of the upper layer pattern are obtained by an exposure equipment, and the plurality of overlay errors are different from each other; according to the plurality of overlay errors and in the first manufacturing A process performed on the wafer after the apparatus and before the exposure apparatus selects an overlay error to generate a corrected overlay error; and adjusts the exposure apparatus based on the corrected overlay error. 如請求項1所述的校正方法,其中該下層圖案的該複數個次圖案包括複數個第一次圖案和複數個第二次圖案,並且該複數個第一次圖案中的每一個的輪廓與該複數個第二次圖案中的每一個的輪廓不同。 The correction method as claimed in claim 1, wherein the plurality of sub-patterns of the lower layer pattern include a plurality of first-time patterns and a plurality of second-time patterns, and the contour of each of the plurality of first-time patterns and Each of the plurality of second patterns has a different profile. 如請求項2所述的校正方法,其中產生該校正疊置誤差包括:將複數個校正參數分為一第一組校正參數和一第二組校正參數;分別從該複數個第一次圖案中獲得該第一組校正參數的一第一對應資料,和從該複數個第二次圖案中獲得該第二組校正參數的一第二對應資料;以及根據該複數個疊置誤差、該第一對應資料和該第二對應資料,產生該校正疊置誤差。 The correction method as described in claim 2, wherein generating the correction overlay error comprises: dividing the plurality of correction parameters into a first group of correction parameters and a second group of correction parameters; obtaining a first corresponding data of the first set of calibration parameters, and obtaining a second corresponding data of the second set of calibration parameters from the plurality of second sub-patterns; and according to the plurality of overlay errors, the first The corresponding data and the second corresponding data generate the corrected overlay error. 如請求項3所述的校正方法,更包括:從該複數個第二次圖案中獲得該第一組校正參數的一第三對應資料,和從該複數個第二次圖案中獲得該第二組校正參數的一第四對應資料;以及選擇該第一對應資料和該第二對應資料,以確定該校正疊置誤差。 The calibration method as described in claim 3, further comprising: obtaining a third corresponding data of the first set of calibration parameters from the plurality of second patterns, and obtaining the second data from the plurality of second patterns a fourth corresponding data of the set of calibration parameters; and selecting the first corresponding data and the second corresponding data to determine the corrected overlay error. 如請求項2所述的校正方法,其中該複數個第二次圖案的間距與該複數個第一次圖案的間距不同。 The calibration method according to claim 2, wherein the pitch of the plurality of second-time patterns is different from the pitch of the plurality of first-time patterns. 如請求項2所述的校正方法,其中在一平視圖中,該複數個第二次圖案中的每一個沿不同於第一方向和第二方向的一第三方向延伸。 The correction method according to claim 2, wherein in a plan view, each of the plurality of second sub-patterns extends along a third direction different from the first direction and the second direction. 如請求項2所述的校正方法,其中該複數個第二次圖案中的每一個包括沿第一方向排列的複數個片段。 The calibration method according to claim 2, wherein each of the plurality of second sub-patterns includes a plurality of segments arranged along the first direction. 如請求項2所述的校正方法,其中在一平視圖中,該複數個第二次圖案中的每一個的尺寸與該複數個第一次圖案中的每一個的尺寸不同。 The correction method according to claim 2, wherein in a plan view, the size of each of the plurality of second-time patterns is different from the size of each of the plurality of first-time patterns. 如請求項2所述的校正方法,其中該複數個第二次圖案的數量與該複數個第一次圖案的數量不同。 The calibration method according to claim 2, wherein the number of the plurality of second-time patterns is different from the number of the plurality of first-time patterns. 如請求項1所述的校正方法,其中該製程包括一蝕刻製程、一沉積製程和一化學機械研磨製程中的至少一個。 The calibration method as claimed in claim 1, wherein the process includes at least one of an etching process, a deposition process and a chemical mechanical polishing process. 如請求項1所述的校正方法,其中調整該曝光設備包括調整該曝光設備的一光罩的位置。 The calibration method according to claim 1, wherein adjusting the exposure device includes adjusting a position of a mask of the exposure device. 一種疊置誤差的校正方法,包括:接收具有基底的一晶圓;在該晶圓的基底上形成一第一圖案,該第一圖案包括複數個次圖案;藉由至少一製程形成一中間結構以覆蓋該第一圖案;藉由一曝光設備,在該中間結構上形成一第二圖案;根據該晶圓的該第一圖案的該複數個次圖案和該第二圖案得到複數個疊置誤差,該複數個疊置誤差彼此不同;根據該複數個疊置誤差以及該製程,產生一校正疊置誤差;以及根據該校正疊置誤差調整該曝光設備。 A method for correcting overlay errors, comprising: receiving a wafer with a substrate; forming a first pattern on the substrate of the wafer, the first pattern including a plurality of sub-patterns; forming an intermediate structure by at least one process to cover the first pattern; by means of an exposure device, forming a second pattern on the intermediate structure; obtaining a plurality of overlay errors according to the plurality of sub-patterns and the second pattern of the first pattern of the wafer , the plurality of overlay errors are different from each other; according to the plurality of overlay errors and the process, a corrected overlay error is generated; and the exposure equipment is adjusted according to the corrected overlay error. 如請求項12所述的校正方法,其中形成該第一圖案包括:形成複數個第一次圖案;以及形成複數個第二次圖案,其中該複數個第一次圖案中的每一個的輪廓與該複數個第二次圖案中的每一個的輪廓不同。 The correction method as claimed in claim 12, wherein forming the first pattern includes: forming a plurality of first-time patterns; and forming a plurality of second-time patterns, wherein the outline of each of the plurality of first-time patterns is consistent with Each of the plurality of second patterns has a different profile. 如請求項13所述的校正方法,其中產生該校正疊置誤差包括: 將複數個校正參數分為一第一組校正參數和一第二組校正參數;分別從該複數個第一次圖案中獲得該第一組校正參數的一第一對應資料,以及從該複數個第二次圖案中獲得該第二組校正參數的一第二對應資料;以及根據該疊置誤差、該第一對應資料和該第二對應資料,產生該校正疊置誤差。 The correction method as claimed in claim 13, wherein generating the correction overlay error comprises: Dividing the plurality of calibration parameters into a first group of calibration parameters and a second group of calibration parameters; respectively obtaining a first corresponding data of the first group of calibration parameters from the plurality of first-time patterns, and obtaining from the plurality of first-time patterns Obtaining a second corresponding data of the second set of calibration parameters in the second pattern; and generating the corrected superposition error according to the superposition error, the first corresponding data and the second corresponding data. 如請求項14所述的校正方法,更包括:從該複數個第二次圖案中獲得該第一組校正參數的一第三對應資料,以及從複數個第二次圖案中獲得該第二組校正參數的一第四對應資料;以及選擇該第一對應資料和該第二對應資料,以確定該校正疊置誤差。 The calibration method as described in claim 14, further comprising: obtaining a third corresponding data of the first set of calibration parameters from the plurality of second patterns, and obtaining the second set from the plurality of second patterns a fourth corresponding data of calibration parameters; and selecting the first corresponding data and the second corresponding data to determine the corrected overlay error. 如請求項13所述的校正方法,其中在一平視圖中,該複數個第二次圖案中的每一個沿不同於第一方向和第二方向的一第三方向延伸,該複數個第二次圖案中的每一個包括沿該第一方向排列的複數個片段,並且該複數個第二次圖案的間距與該複數個第一次圖案的間距不同。 The correction method according to claim 13, wherein in a plan view, each of the plurality of second sub-patterns extends along a third direction different from the first direction and the second direction, and the plurality of second sub-patterns Each of the patterns includes a plurality of segments arranged along the first direction, and the pitch of the plurality of second patterns is different from the pitch of the plurality of first patterns. 如請求項13所述的校正方法,其中在一平視圖中,複該數個第二次圖案中的每一個的尺寸與該複數個第一次圖案中的每一個的尺寸不同,並且該複數個第二次圖案的數量與該複數個第一次圖案的數量不同。 The correction method as claimed in claim 13, wherein in a plan view, the size of each of the plurality of second-time patterns is different from the size of each of the plurality of first-time patterns, and the plurality of The number of second-time patterns is different from the number of the plurality of first-time patterns. 如請求項12所述的校正方法,其中形成該中間結構的該至少一製程 包括一蝕刻製程、一沉積製程和一化學機械研磨製程中的至少一個。 The calibration method as claimed in claim 12, wherein the at least one process for forming the intermediate structure At least one of an etching process, a deposition process and a chemical mechanical polishing process is included. 如請求項12所述的校正方法,其中調整該曝光設備包括調整該曝光設備的一光罩的位置。The calibration method as claimed in claim 12, wherein adjusting the exposure device includes adjusting a position of a mask of the exposure device.
TW111111676A 2022-01-04 2022-03-28 Method for overlay error correction TWI809784B (en)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US17/568,033 US20230213872A1 (en) 2022-01-04 2022-01-04 Mark for overlay measurement
US17/568,151 US20230213874A1 (en) 2022-01-04 2022-01-04 Method for overlay error correction
US17/568,033 2022-01-04
US17/568,151 2022-01-04

Publications (2)

Publication Number Publication Date
TW202328811A TW202328811A (en) 2023-07-16
TWI809784B true TWI809784B (en) 2023-07-21

Family

ID=87016630

Family Applications (2)

Application Number Title Priority Date Filing Date
TW111111674A TWI817418B (en) 2022-01-04 2022-03-28 Mark for overlay measurement
TW111111676A TWI809784B (en) 2022-01-04 2022-03-28 Method for overlay error correction

Family Applications Before (1)

Application Number Title Priority Date Filing Date
TW111111674A TWI817418B (en) 2022-01-04 2022-03-28 Mark for overlay measurement

Country Status (2)

Country Link
CN (2) CN116435291A (en)
TW (2) TWI817418B (en)

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040066517A1 (en) * 2002-09-05 2004-04-08 Hsu-Ting Huang Interferometry-based method and apparatus for overlay metrology
US20070069398A1 (en) * 2003-04-08 2007-03-29 Smith Nigel P Overlay metrology mark
TW201915599A (en) * 2017-09-29 2019-04-16 台灣積體電路製造股份有限公司 Overlay error measurement structure and the method of measuring overlay error
US20210382402A1 (en) * 2020-06-03 2021-12-09 Zhongke Jingyuan Electron Limited, Beijing (CN) Overlay Alignment Mark and Method for Measuring Overlay Error
TW202201139A (en) * 2020-02-27 2022-01-01 台灣積體電路製造股份有限公司 Overlay error measurement methodology and overlay error measurement structure

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004086097A (en) * 2002-08-29 2004-03-18 Sanyo Electric Co Ltd Photomask for semiconductor device, and method for manufacturing semiconductor device by using photomask
KR102094974B1 (en) * 2013-03-08 2020-03-30 삼성전자주식회사 Methods for overlay measurements
TWI814909B (en) * 2019-09-27 2023-09-11 聯華電子股份有限公司 Multi-layer alignment mark and a method for appliyinf the same
TWI749985B (en) * 2021-01-04 2021-12-11 南亞科技股份有限公司 Semiconductor exposure machine calibration method and semiconductor structure manufacturing method

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040066517A1 (en) * 2002-09-05 2004-04-08 Hsu-Ting Huang Interferometry-based method and apparatus for overlay metrology
US20070069398A1 (en) * 2003-04-08 2007-03-29 Smith Nigel P Overlay metrology mark
TW201915599A (en) * 2017-09-29 2019-04-16 台灣積體電路製造股份有限公司 Overlay error measurement structure and the method of measuring overlay error
TW202201139A (en) * 2020-02-27 2022-01-01 台灣積體電路製造股份有限公司 Overlay error measurement methodology and overlay error measurement structure
US20210382402A1 (en) * 2020-06-03 2021-12-09 Zhongke Jingyuan Electron Limited, Beijing (CN) Overlay Alignment Mark and Method for Measuring Overlay Error

Also Published As

Publication number Publication date
CN116400573A (en) 2023-07-07
TW202328699A (en) 2023-07-16
CN116435291A (en) 2023-07-14
TWI817418B (en) 2023-10-01
TW202328811A (en) 2023-07-16

Similar Documents

Publication Publication Date Title
US9607835B2 (en) Semiconductor device with biased feature
TWI809784B (en) Method for overlay error correction
US20230213874A1 (en) Method for overlay error correction
US20230213872A1 (en) Mark for overlay measurement
TWI803262B (en) Overlay measurement marks and overlay error correction marks
TWI833185B (en) Method for overlay error correction and method for manufacturing a semiconductor device structure with overlay marks
JP2004045501A (en) Photomask, method for manufacturing photomask, and method for manufacturing electronic component
US11796924B2 (en) Method for overlay error correction and method for manufacturing a semiconductor device structure with overlay marks
US20230215809A1 (en) Marks for overlay measurement and overlay error correction
TW202136943A (en) System and method for controlling semiconductor manufacturing equipment
TW202409747A (en) Semiconductor device and method for manufacturing the same
TW202407468A (en) Semiconductor device and method for manufacturing the same
CN117525035A (en) Semiconductor element and method for manufacturing the same
TWI825729B (en) Semiconductor device structure including overlay mark structure
JP3913145B2 (en) Pattern formation method
TWI809929B (en) Semiconductor device structure with overlay mark
TWI803256B (en) Method of manufacturing semiconductor device structure
CN220731534U (en) Semiconductor device with a semiconductor element having a plurality of electrodes
US11935749B2 (en) Method of manufacturing semiconductor structure
US20230411162A1 (en) Method of manufacturing semiconductor structure
CN116705764A (en) Semiconductor element structure with stacked mark structure
TW202307969A (en) Method of manufacturing semiconductor device
TW202335151A (en) Method for manufacutring semiconductor structure
TW202412261A (en) Method of manufacturing integrated circuit device and semiconductor device