TWI794240B - Processing tool for plasma process and plasma reactor - Google Patents

Processing tool for plasma process and plasma reactor Download PDF

Info

Publication number
TWI794240B
TWI794240B TW107119619A TW107119619A TWI794240B TW I794240 B TWI794240 B TW I794240B TW 107119619 A TW107119619 A TW 107119619A TW 107119619 A TW107119619 A TW 107119619A TW I794240 B TWI794240 B TW I794240B
Authority
TW
Taiwan
Prior art keywords
bus
plasma
switch
chamber
workpiece
Prior art date
Application number
TW107119619A
Other languages
Chinese (zh)
Other versions
TW201905957A (en
Inventor
肯尼士S 柯林斯
麥可R 萊斯
卡提克 拉馬斯瓦米
詹姆士D 卡度希
沙西德 羅夫
卡羅 貝拉
Original Assignee
美商應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US15/630,658 external-priority patent/US11114284B2/en
Priority claimed from US15/630,828 external-priority patent/US11355321B2/en
Application filed by 美商應用材料股份有限公司 filed Critical 美商應用材料股份有限公司
Publication of TW201905957A publication Critical patent/TW201905957A/en
Application granted granted Critical
Publication of TWI794240B publication Critical patent/TWI794240B/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32568Relative arrangement or disposition of electrodes; moving means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • H01J37/32119Windows
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32513Sealing means, e.g. sealing between different parts of the vessel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32541Shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32577Electrical connecting means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32733Means for moving the material to be treated
    • H01J37/32752Means for moving the material to be treated for moving the material across the discharge

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Plasma Technology (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

A processing tool for a plasma process includes a chamber body that has an interior space that provides a plasma chamber and that has a ceiling and an opening on a side opposite the ceiling, a workpiece support to hold a workpiece such that at least a portion of a front surface of the workpiece faces the opening, an actuator to generate relative motion between the chamber body and the workpiece support such that the opening moves laterally across the workpiece, a gas distributor to deliver a processing gas to the plasma chamber, an electrode assembly comprising a plurality of coplanar filaments extending laterally through the plasma chamber between the workpiece support and the ceiling, each of the plurality of filaments including a conductor, and a first RF power source to supply a first RF power to the conductors of the electrode assembly to form a plasma.

Description

用於電漿處理的處理工具及電漿反應器 Processing tool and plasma reactor for plasma treatment

本揭示案係關於一種處理工具,其包括電漿腔室,如用於將膜沉積在工件(如半導體晶圓)上、蝕刻工件或處理工件的電漿腔室。 The present disclosure relates to a processing tool that includes a plasma chamber, such as a plasma chamber for depositing a film on a workpiece, such as a semiconductor wafer, etching a workpiece, or treating a workpiece.

通常使用電容耦合電漿(CCP)源或電感耦合電漿(ICP)源產生電漿。基本的CCP源包含類似於平行板電容器的兩個金屬電極,在氣體環境中以一小距離分開該等兩個金屬電極。兩個金屬電極中的一個由固定頻率的射頻(RF)電源供應驅動,而另一個電極連接到RF接地,在兩個電極之間產生RF電場。產生的電場使氣體原子離子化,釋放電子。氣體中的電子被RF電場加速,並通過碰撞直接或間接地離子化氣體,而產生電漿。 The plasma is typically generated using a capacitively coupled plasma (CCP) source or an inductively coupled plasma (ICP) source. A basic CCP source consists of two metal electrodes, similar to a parallel plate capacitor, separated by a small distance in a gaseous environment. One of the two metal electrodes is driven by a fixed frequency radio frequency (RF) power supply, while the other electrode is connected to RF ground, creating an RF electric field between the two electrodes. The resulting electric field ionizes the gas atoms, releasing electrons. Electrons in the gas are accelerated by the RF electric field and ionize the gas directly or indirectly through collisions to generate plasma.

基本的ICP源通常包含螺旋形或線圈形的導體。當RF電流流過導體時,在導體周圍形成RF磁場。RF磁場伴隨RF電場,其使氣體原子離子化並產生電漿。 Basic ICP sources usually contain helical or coiled conductors. When RF current flows through a conductor, an RF magnetic field is formed around the conductor. The RF magnetic field is accompanied by the RF electric field, which ionizes the gas atoms and creates a plasma.

各種處理氣體的電漿廣泛用於積體電路的製造。例如,電漿可以用於薄膜沉積、蝕刻和表面處理。 Plasmas of various processing gases are widely used in the manufacture of integrated circuits. For example, plasma can be used for thin film deposition, etching and surface treatment.

原子層沉積(ALD)是基於依順序使用氣相化學過程的薄膜沉積技術。部分ALD製程使用電漿為化 學反應提供必要的活化能。電漿增強的ALD製程可以在比非電漿增強(如,「熱」)ALD製程更低的溫度下執行。 Atomic layer deposition (ALD) is a thin film deposition technique based on the sequential use of gas-phase chemical processes. Some ALD processes use plasma as chemical The chemical reaction provides the necessary activation energy. Plasma-enhanced ALD processes can be performed at lower temperatures than non-plasma-enhanced (eg, "thermal") ALD processes.

在一個態樣中,一種用於電漿處理的處理工具包括腔室主體、工件支撐件、致動器、氣體分配器、電極組件與第一RF電源,該腔室主體具有內部空間,該內部空間提供電漿腔室,該腔室主體具有頂板以及與頂板相對的一側上的開口,該工件支撐件固持工件,使得該工件的前表面的至少一部分面向該開口,該致動器在該腔室主體和該工件支撐件之間產生相對運動,使得該開口側向地移動橫越過該工件,該氣體分配器將處理氣體輸送到該電漿腔室,該電極組件包含複數個共面絲(coplanar filaments),該複數個共面絲側向地延伸通過該工件支撐件和該頂板之間的該電漿腔室,該複數個絲中的各個絲包含導體,該第一RF電源向該電極組件的該等導體提供第一RF功率以形成電漿。 In one aspect, a processing tool for plasma processing includes a chamber body having an interior space, a workpiece support, an actuator, a gas distributor, an electrode assembly, and a first RF power supply. The space provides a plasma chamber, the chamber body has a top plate and an opening on a side opposite the top plate, the workpiece support holds the workpiece such that at least a portion of the front surface of the workpiece faces the opening, the actuator is in the Relative motion is generated between the chamber body and the workpiece support such that the opening moves laterally across the workpiece, the gas distributor delivers process gas to the plasma chamber, the electrode assembly includes a plurality of coplanar wires (coplanar filaments), the plurality of coplanar filaments extending laterally through the plasma chamber between the workpiece support and the top plate, each filament in the plurality of filaments comprising a conductor, the first RF power supply to the The conductors of the electrode assembly provide first RF power to form a plasma.

實施可包括以下特徵中的一個或多個。 Implementations can include one or more of the following features.

工件支撐件可繞一旋轉軸旋轉,且該致動器可轉動該工件支撐件,使得該支撐件的旋轉承載該工件橫越過(across)該開口。 The workpiece support is rotatable about a rotational axis, and the actuator is rotatable to rotate the workpiece support such that rotation of the support carries the workpiece across the opening.

複數個共面絲可延伸橫越過楔形區域。該工件可完整切合(fit)在該楔形區域內,使得在操作中該工件的整個前表面暴露於電漿。工件可大於楔形區域,使得在操作中工件前表面的楔形部分暴露於電漿。該開口可以是楔形的。A plurality of coplanar filaments may extend across the wedge-shaped region. The workpiece may fit completely within the wedge-shaped region such that in operation the entire front surface of the workpiece is exposed to the plasma. The workpiece may be larger than the wedge-shaped region such that in operation the wedge-shaped portion of the front surface of the workpiece is exposed to the plasma. The opening may be wedge-shaped.

複數個共面絲可以是線性絲,且不同的絲可具有不同的長度以界定楔形區域。複數個共面絲可平行延伸。複數個共面絲可均勻地間隔開。不同的絲可以以不同的角度定向。複數個共面絲可經定向使得在該楔形區域中產生的電漿密度在該楔形區域的頂點(apex)處比在該楔形區域的基部(base)處低。複數個共面絲可經定向,以具有相對於該開口下方的基板的部分的一運動方向成非零角度的縱軸。該非零角度可大於10°。The plurality of coplanar filaments may be linear filaments, and different filaments may have different lengths to define wedge-shaped regions. A plurality of coplanar filaments may extend in parallel. The plurality of coplanar filaments may be evenly spaced. Different filaments can be oriented at different angles. The plurality of coplanar filaments may be oriented such that a plasma density generated in the wedge-shaped region is lower at an apex of the wedge-shaped region than at a base of the wedge-shaped region. The plurality of coplanar filaments may be oriented to have a longitudinal axis at a non-zero angle relative to a direction of motion of the portion of the substrate below the opening. This non-zero angle may be greater than 10°.

共面絲之間的間隔可足以避免在腔室內電極組件上方的區域和下方的區域之間的電漿區域狹縮(pinch)。腔室的底部可以是開啟的。該工具可包括在腔室頂板上的頂部電極。The spacing between the coplanar filaments may be sufficient to avoid a pinch of the plasma region between regions above and below the electrode assembly within the chamber. The bottom of the chamber may be open. The tool may include a top electrode on the chamber ceiling.

複數個共面絲的導體的端可藉由遞迴式RF饋送結構連接到第一RF電源。複數個共面絲的導體的相對端可連接到共用總線。總線可在兩個相對的位置處連接到第一RF電源。The ends of the conductors of the plurality of coplanar filaments may be connected to the first RF power source by a loop-back RF feed structure. Opposite ends of the conductors of the plurality of coplanar filaments may be connected to a common bus. The bus can be connected to the first RF power source at two opposing locations.

複數個共面絲的第一多重導體可連接到第一RF電源,及複數個共面絲的第二多重導體可以是浮動的(floating)或接地。複數個共面絲的導體的第一端可通過共用總線耦接至第一RF電源。第一組的導體和第二組的導體可經佈置沿垂直於絲的縱軸的方向交替。A first multiconductor of the plurality of coplanar filaments may be connected to a first RF power source, and a second multiconductor of the plurality of coplanar filaments may be floating or grounded. First ends of the conductors of the plurality of coplanar filaments may be coupled to a first RF power source through a common bus. The conductors of the first set and the conductors of the second set may be arranged to alternate in a direction perpendicular to the longitudinal axis of the filament.

在另一態樣中,電漿反應器包括腔室主體、氣體分配器、工件支撐件、電極組件、第一RF電源與介電底板,該腔室主體具有內部空間,該內部空間提供電漿腔室,該氣體分配器將處理氣體輸送到該電漿腔室,該工件支撐件固持工件,該電極組件包含複數個導體,該複數個導體以一平行共面陣列的方式與該工件支撐件間隔開且側向地延伸橫越過該工件支撐件,該第一RF電源向該電極組件提供第一RF功率,該介電底板在該電極組件和該工件支撐件之間,該介電底板在該電極組件和該電漿腔室之間提供一RF窗。In another aspect, a plasma reactor includes a chamber body having an interior space that provides a plasma, a gas distributor, a workpiece support, an electrode assembly, a first RF power supply, and a dielectric floor chamber, the gas distributor delivers process gas to the plasma chamber, the workpiece support holds a workpiece, the electrode assembly includes a plurality of conductors in a parallel coplanar array with the workpiece support spaced apart and extending laterally across the workpiece support, the first RF power supply provides first RF power to the electrode assembly, the dielectric base is between the electrode assembly and the workpiece support, the dielectric base is between An RF window is provided between the electrode assembly and the plasma chamber.

實施可包括以下特徵中的一個或多個。Implementations can include one or more of the following features.

複數個導體可定位於介電頂板和介電窗之間。介電頂板可以是陶瓷體,且介電底板可以是石英或氮化矽。A plurality of conductors can be positioned between the dielectric top plate and the dielectric window. The dielectric top plate can be a ceramic body, and the dielectric bottom plate can be quartz or silicon nitride.

底板的下表面可具有複數個平行槽,且複數個平行共面導體可定位於該複數個平行槽中。複數個絲可定位於複數個槽中。每個絲可包括導體和圍繞導體的非金屬殼。殼可形成導管,且導體可懸掛在導管中並延伸通過導管。導體可包括中空導管。The lower surface of the base plate can have a plurality of parallel slots, and the plurality of parallel coplanar conductors can be positioned in the plurality of parallel slots. A plurality of wires may be positioned in a plurality of slots. Each filament may include a conductor and a non-metallic sheath surrounding the conductor. The shell may form a conduit, and the conductors may be suspended in and extend through the conduit. The conductor may comprise a hollow conduit.

複數個導體可塗覆在介電頂板上。複數個導體可嵌入介電頂板中。A plurality of conductors may be coated on the dielectric top plate. A plurality of conductors may be embedded in the dielectric top plate.

複數個導體可均勻地間隔開。工件支撐件和複數個導體之間的間隔可以是2mm至50cm。The plurality of conductors may be evenly spaced. The spacing between the workpiece support and the plurality of conductors may be 2 mm to 50 cm.

複數個導體可包括第一多重導體和第二多重導體,該第二多重導體以與該第一多重導體交替的模式佈置。RF電源可經配置將第一RF輸入信號施加於第一多重導體,以及將第二RF輸入信號施加於第二多重導體。RF電源可經配置以相同的頻率生成第一RF信號和第二RF信號。RF電源可經配置生成第一RF信號和第二RF信號,使得第一RF信號和第二RF信號之間的相位差是180°。RF電源可經配置在第一RF信號和第二RF信號之間提供可調整的相位差。The plurality of conductors may include a first multiplicity of conductors and a second multiplicity of conductors arranged in an alternating pattern with the first multiplicity of conductors. The RF power supply can be configured to apply a first RF input signal to the first multiple conductor, and apply a second RF input signal to the second multiple conductor. The RF power supply can be configured to generate the first RF signal and the second RF signal at the same frequency. The RF power supply may be configured to generate the first RF signal and the second RF signal such that the phase difference between the first RF signal and the second RF signal is 180°. The RF power supply can be configured to provide an adjustable phase difference between the first RF signal and the second RF signal.

複數個導體可在電漿腔室的第一側具有複數個第一端,以及在電漿腔室的相對的第二側具有複數個第二端。RF電源可經配置將第一RF輸入信號施加於第一多重導體的第一端,以及將第二RF輸入信號施加於第二多重導體的第二端。第一多重導體的第二端可以是浮動的,以及第二多重導體的第一端可以是浮動的。第一多重導體的第一端可連接到第一共用總線,以及第二多重導體的第二端可連接到第二共用總線。第一多重絲可接地,以及第二多重絲的第一端可接地。The plurality of conductors may have a plurality of first ends on a first side of the plasma chamber and a plurality of second ends on an opposite second side of the plasma chamber. The RF power supply can be configured to apply the first RF input signal to the first end of the first multiconductor, and apply the second RF input signal to the second end of the second multiconductor. The second end of the first multiconductor may be floating, and the first end of the second multiconductor may be floating. A first end of the first multiconductor can be connected to the first common bus, and a second end of the second multiconductor can be connected to the second common bus. The first multifilament can be grounded, and the first end of the second multifilament can be grounded.

第一多重導體的第一端可連接到位於腔室的第一側上的電漿腔室外部的第一共用總線,以及第二多重導體的第二端可連接到位於腔室的第二側上的電漿腔室外部的第二共用總線。第一多重導體的第二端可連接到位於腔室的第二側上的電漿腔室外部的第三共用總線,以及第二多重導體的第一端可連接到位於腔室第一側上的電漿腔室外部的第四共用總線。A first end of the first multiconductor may be connected to a first common bus located outside the plasma chamber on a first side of the chamber, and a second end of a second multiconductor may be connected to a second end of the plasma chamber located on a first side of the chamber. A second common bus outside the plasma chamber on both sides. The second end of the first multiconductor can be connected to a third common bus located outside the plasma chamber on the second side of the chamber, and the first end of the second multiconductor can be connected to a third common bus located on the first side of the chamber. A fourth common bus on the outside of the plasma chamber.

在另一態樣中,電漿反應器包括腔室主體、氣體分配器、泵、工件支撐件、腔室內電極組件、第一總線與一第二總線、RF電源及至少一個RF開關,該腔室主體具有內部空間,該內部空間提供電漿腔室,該氣體分配器將處理氣體輸送到該電漿腔室,該泵耦接該電漿腔室以抽空該腔室,該工件支撐件固持工件,該腔室內電極組件包含複數個絲,該複數個絲側向地延伸通過該電漿腔室的頂板和該工件支撐件之間的該電漿腔室,每個絲包含由圓柱形絕緣殼包圍的導體,其中該複數個絲包含第一多重絲和第二多重絲,該第二多重絲與該第一多重絲以一交替的模式佈置,該第一總線耦接該第一多重絲,該第二總線耦接該第二多重絲,該RF電源將RF信號施加於該腔室內電極組件,該至少一個RF開關經配置可控制地將該第一總線與以下各者中的一者電耦接及去耦:i)地、ii)RF電源或iii)該第二總線。In another aspect, a plasma reactor includes a chamber body, a gas distributor, a pump, a workpiece support, an electrode assembly in a chamber, a first bus and a second bus, an RF power supply, and at least one RF switch. The chamber body has an interior space providing a plasma chamber, the gas distributor delivers process gas to the plasma chamber, the pump is coupled to the plasma chamber to evacuate the chamber, the workpiece support holds workpiece, the electrode assembly in the chamber comprises a plurality of wires extending laterally through the plasma chamber between the top plate of the plasma chamber and the workpiece support, each wire comprising a cylindrical insulator A conductor surrounded by a shell, wherein the plurality of filaments includes first multifilaments and second multifilaments, the second multifilaments are arranged in an alternating pattern with the first multifilaments, the first bus is coupled to the The first multifilament, the second bus is coupled to the second multifilament, the RF power supply applies an RF signal to the electrode assembly in the chamber, and the at least one RF switch is configured to controlably connect the first bus to the following One of each is electrically coupled and decoupled: i) ground, ii) RF power or iii) the second bus.

實施可包括以下特徵中的一個或多個。Implementations can include one or more of the following features.

該至少一個RF開關可包括在該第一總線與以下各者中的一者之間並聯連接的複數個RF開關:i)地、ii)RF電源或iii)第二總線。The at least one RF switch may comprise a plurality of RF switches connected in parallel between the first bus and one of: i) ground, ii) RF power or iii) a second bus.

至少一個RF開關可經配置可控制地將第一總線與第二總線電耦接和去耦。該至少一個RF開關可包括在第一總線與第二總線上的不同對位置之間並聯連接的複數個開關,以可控制地將該第一總線與該第二總線電耦接和去耦。At least one RF switch can be configured to controllably electrically couple and decouple the first bus from the second bus. The at least one RF switch may comprise a plurality of switches connected in parallel between different pairs of locations on the first bus and the second bus to controllably electrically couple and decouple the first bus from the second bus.

該至少一個RF開關可包括第一開關,該第一開關經配置可控制地將該第一總線與地電耦接和去耦,且包括至少一個第二RF開關,該第二RF開關經配置可控制地將該第二總線與地電耦接和去耦。該至少一個RF開關可包括在第一總線上的不同位置和地之間並聯連接的第一複數個開關,以及該至少一個第二開關可包括在第二總線上的不同位置和地之間並聯連接的第二複數個開關。第一總線上的不同位置可包括第一總線的相對端,以及第二總線上的不同位置可包括第二總線的相對端。The at least one RF switch may include a first switch configured to controllably electrically couple and decouple the first bus line from ground, and at least one second RF switch configured to The second bus is controllably electrically coupled and decoupled from ground. The at least one RF switch may include a first plurality of switches connected in parallel between different locations on the first bus and ground, and the at least one second switch may include a first plurality of switches connected in parallel between different locations on the second bus and ground. connected second plurality of switches. Different locations on the first bus can include opposite ends of the first bus, and different locations on the second bus can include opposite ends of the second bus.

該至少一個RF開關可包括在第一總線上的不同位置和RF電源之間並聯連接的第一複數個開關,以及該至少一個第二開關可包括在第二總線上的不同位置和RF電源之間並聯連接的第二複數個開關。第一總線上的不同位置可以包括第一總線的相對端,以及第二總線上的不同位置可以包括第二總線的相對端。該至少一個RF開關可包括在第一總線上的不同位置與該RF電源之間並聯連接的第一複數個開關,且該至少一個第二開關可包括在第二總線上的不同位置與地之間並聯連接的第二複數個開關。第一總線上的不同位置可以包括第一總線的相對端,以及第二總線上的不同位置可以包括第二總線的相對端。The at least one RF switch may include a first plurality of switches connected in parallel between different locations on the first bus and the RF power supply, and the at least one second switch may include between different locations on the second bus and the RF power supply. A second plurality of switches connected in parallel between them. Different locations on the first bus can include opposite ends of the first bus, and different locations on the second bus can include opposite ends of the second bus. The at least one RF switch may include a first plurality of switches connected in parallel between a different location on the first bus and the RF power supply, and the at least one second switch may include a different location on the second bus and ground A second plurality of switches connected in parallel between them. Different locations on the first bus can include opposite ends of the first bus, and different locations on the second bus can include opposite ends of the second bus.

該至少一個RF開關包括第一開關,以及包括至少一個第二開關,第一開關經配置可控制地將第一總線與RF電源電耦接和去耦,至少一個第二開關經配置可控制地將第二總線與RF電源電耦接和去耦。The at least one RF switch includes a first switch configured to controllably electrically couple and decouple the first bus from the RF power source, and at least one second switch configured to controllably The second bus is electrically coupled and decoupled from the RF power supply.

某些實施可包括第三總線與第四總線,該第三總線耦接第一多重絲,該第四總線耦接第二多重絲,其中該複數個絲具有複數個第一端和複數個第二端,且各個相應絲的第一端比相應絲的第二端更靠近該電漿腔室的第一側壁,及其中該第一總線耦接至該第一多重絲的該等第一端,該第二總線耦接至該第二多重絲的該等第一端,該第三總線耦接至該第一多重絲的該等第二端,以及該第四總線耦接至該第二多重絲的該等第二端。Some implementations may include a third bus and a fourth bus, the third bus is coupled to the first multifilament, the fourth bus is coupled to the second multifilament, wherein the plurality of filaments have a plurality of first ends and a plurality of second ends, and the first end of each corresponding filament is closer to the first side wall of the plasma chamber than the second end of the corresponding filament, and wherein the first bus is coupled to the first multifilaments of the first multifilament the first end, the second bus is coupled to the first ends of the second multifilament, the third bus is coupled to the second ends of the first multifilament, and the fourth bus is coupled connected to the second ends of the second multifilament.

該至少一個RF開關可經配置可控制地將第一總線與第二總線電耦接和去耦,以及可包括至少一個第二RF開關,該至少一個第二RF開關經配置可控制地將第三總線與第四總線電耦接和去耦。The at least one RF switch may be configured to controllably electrically couple and decouple the first bus from the second bus, and may include at least one second RF switch configured to controllably couple the first bus to the second bus. The third bus is electrically coupled and decoupled from the fourth bus.

該至少一個RF開關可包括第一開關,該第一開關經配置可控制地將該第一總線與地電耦接和去耦,且可包括至少一個第二RF開關,該第二RF開關經配置可控制地將該第三總線與地電耦接和去耦。The at least one RF switch may include a first switch configured to controllably electrically couple and decouple the first bus line from ground, and may include at least one second RF switch configured via The third bus is configured to controllably electrically couple and decouple the third bus from ground.

RF源可通過第一分接頭(tap)耦接到第四總線以及通過第二分接頭耦接到第二總線。The RF source can be coupled to the fourth bus through a first tap and to the second bus through a second tap.

某些實施可包括至少一個第三RF開關,第三RF開關經配置可控制地將第三總線與地耦接和去耦,以及包括至少一個第四RF開關,第四RF開關經配置可控制地將第四總線與地電耦接和去耦。該至少一個RF開關可包括第一開關,該第一開關經配置可控制地將該第一總線與地電耦接和去耦,且包括至少一個第二RF開關、至少一個第三RF開關,該第二RF開關經配置可控制地將該第二總線與該RF源電耦接和去耦,該第三RF開關經配置可控制地將該第三總線與地電耦接和去耦,且包括至少一個第四RF開關,該第四RF開關經配置可控制地將該第四總線與該RF源電耦接和去耦。Certain implementations can include at least one third RF switch configured to controllably couple and decouple the third bus from ground, and at least one fourth RF switch configured to controllably The ground electrically couples and decouples the fourth bus from ground. The at least one RF switch may comprise a first switch configured to controllably electrically couple and decouple the first bus to and from ground, and comprising at least one second RF switch, at least one third RF switch, the second RF switch is configured to controllably electrically couple and decouple the second bus to and from the RF source, the third RF switch is configured to controllably electrically couple and decouple the third bus to and from ground, And including at least one fourth RF switch configured to controllably electrically couple and decouple the fourth bus with the RF source.

該至少一個RF開關包括第一開關,該第一開關經配置可控制地將該第一總線與該RF源電耦接和去耦,且包括至少一個第二RF開關、至少一個第三RF開關,該第二RF開關經配置可控制地將該第二總線與該RF源電耦接和去耦,該第三RF開關經配置可控制地將該第三總線與RF源電耦接和去耦,且包括至少一個第四RF開關,該第四RF開關經配置可控制地將該第四總線與該RF源電耦接和去耦。The at least one RF switch includes a first switch configured to controllably electrically couple and decouple the first bus with the RF source, and includes at least one second RF switch, at least one third RF switch , the second RF switch is configured to controllably electrically couple and decouple the second bus to and from the RF source, and the third RF switch is configured to controllably electrically couple and decouple the third bus to and from the RF source coupled, and includes at least one fourth RF switch configured to controllably electrically couple and decouple the fourth bus to and from the RF source.

在另一態樣中,電漿反應器包括腔室主體、氣體分配器、泵、工件支撐件、腔室內電極組件、總線、RF電源及複數個RF開關,該腔室主體具有內部空間,該內部空間提供電漿腔室,該氣體分配器將處理氣體輸送到該電漿腔室,該泵耦接該電漿腔室以抽空該腔室,該工件支撐件固持工件,該腔室內電極組件包含複數個絲,該複數個絲側向地延伸通過該電漿腔室的頂板和該工件支撐件之間的該電漿腔室,每個絲包含由圓柱形絕緣殼包圍的導體,該總線在該腔室外部且耦接該複數個絲的相對端,該RF電源將RF信號施加於該腔室內電極組件,該複數個RF開關經配置可控制地將總線上的複數個不同位置與以下各者中的一者電耦接和去耦:i)地或ii)該RF電源。In another aspect, a plasma reactor includes a chamber body, a gas distributor, a pump, a workpiece support, an electrode assembly in the chamber, a bus, an RF power supply, and a plurality of RF switches, the chamber body has an interior space, the The interior space provides a plasma chamber, the gas distributor delivers process gas to the plasma chamber, the pump is coupled to the plasma chamber to evacuate the chamber, the workpiece support holds a workpiece, and an electrode assembly within the chamber comprising a plurality of wires extending laterally through the plasma chamber between the ceiling of the plasma chamber and the workpiece support, each wire comprising a conductor surrounded by a cylindrical insulating shell, the bus External to the chamber and coupled to opposite ends of the plurality of wires, the RF power supply applies an RF signal to the electrode assembly within the chamber, the plurality of RF switches configured to controllably connect a plurality of different locations on the bus to the following One of each is electrically coupled and decoupled: i) ground or ii) the RF power supply.

某些實施可具有以下優點中的一個或多個。可改善電漿均勻性。可改善電漿製程的可重複性。可減少金屬污染。可減少粒子產生。可減少電漿充電損壞。在不同的製程操作條件下可保持電漿的均勻性。可改善電漿功率耦合效率。對於給定尺寸的工件,可減小電漿區域大小。可改善電漿製程產量。工件可連續地被承載通過多個腔室,同時保持在支撐件上。可補償暴露於電漿期間的相對速度的影響,因此可改善晶圓內的均勻性。通過切換(switching)可減少電漿區域的局部不均勻性的影響,因此可改善晶圓內的均勻性。可提供低阻抗RF接地。可減少粒子產生。可減少電漿充電損壞。在不同的製程操作條件下可保持電漿的均勻性。可改善電漿功率耦合效率。可實現與氣體分配噴頭整合的接地頂部電極,用於以均勻的方式引入氣體,而不會在噴頭孔中產生不必要的氣體分解。Certain implementations may have one or more of the following advantages. Improves plasma uniformity. Improves the repeatability of the plasma process. Can reduce metal pollution. Particle generation can be reduced. Reduces damage from plasma charging. The uniformity of the plasma can be maintained under different process operating conditions. Plasma power coupling efficiency can be improved. For a given size workpiece, the plasma field size can be reduced. It can improve the yield of plasma process. Workpieces may be successively carried through the plurality of chambers while remaining on the support. Relative velocity effects during plasma exposure can be compensated, thus improving intra-wafer uniformity. The effect of local non-uniformity in the plasma region can be reduced by switching, thereby improving intra-wafer uniformity. A low impedance RF ground can be provided. Particle generation can be reduced. Reduces damage from plasma charging. The uniformity of the plasma can be maintained under different process operating conditions. Plasma power coupling efficiency can be improved. A grounded top electrode that can be integrated with the gas distribution showerhead is used to introduce the gas in a uniform manner without unwanted gas breakdown in the showerhead holes.

在傳統的電漿反應器中,工件在反應腔室內保持靜止。在靜止工件上方產生電漿區域,其接著處理工件表面。然而,某些電漿處理應用可以受益於使工件移動通過電漿區域,即電漿區域和工件之間的相對運動。另外,對於某些工具,基板在不同的腔室之間移動以進行一系列處理步驟。In a conventional plasma reactor, the workpiece remains stationary within the reaction chamber. A plasma region is created above the stationary workpiece, which then treats the workpiece surface. However, certain plasma processing applications may benefit from moving the workpiece through the plasma zone, ie relative motion between the plasma zone and the workpiece. Also, for some tools, the substrate is moved between different chambers for a series of processing steps.

實現工件和電漿區域之間的相對運動的一種方法是通過將工件放置在沿著線性路徑(如傳送帶)移動的工件支撐件上。在這樣的配置中,工件可在通過電漿區域的一個方向上進行單次通過以及在腔室的另一側離開。這對於某些順序過程可能是有利的,在其中工件作為製造過程的部分行進通過不同類型的多個腔室。One method of achieving relative motion between the workpiece and the plasma region is by placing the workpiece on a workpiece support that moves along a linear path, such as a conveyor belt. In such a configuration, the workpiece can make a single pass in one direction through the plasma region and exit the chamber on the other side. This may be advantageous for certain sequential processes where a workpiece travels through multiple chambers of different types as part of the manufacturing process.

實現工件和電漿區域之間的相對運動的另一種方法是通過將工件放置在旋轉的工件支撐件上。旋轉工件支撐件能夠在不改變行進方向的情況下多次通過電漿區域,這可以提高產量,因為工件支撐件不需要連續地改變其行進方向。然而,如果支撐件旋轉,則工件的不同區域可能相對於區域電漿以不同的速度移動。Another way to achieve relative motion between the workpiece and the plasma region is by placing the workpiece on a rotating workpiece support. The ability of the rotating workpiece support to pass through the plasma region multiple times without changing the direction of travel can increase throughput because the workpiece support does not need to continuously change its direction of travel. However, if the support is rotated, different regions of the workpiece may move at different speeds relative to the regional plasma.

在傳統的CCP源中,電漿均勻性通常由電極尺寸和電極間的距離以及氣體壓力、氣體成分和施加的RF功率決定。在較高的射頻下,由於駐波或集膚效應(skin effect)的存在,附加效應(additional effects)可能變得顯著或甚至主導非均勻性。在較高頻率和電漿密度下,這種附加效應變得更加明顯。In conventional CCP sources, plasma uniformity is generally determined by electrode size and distance between electrodes, as well as gas pressure, gas composition, and applied RF power. At higher radio frequencies, additional effects may become significant or even dominate the non-uniformity due to the presence of standing waves or skin effects. This additional effect becomes more pronounced at higher frequencies and plasma densities.

傳統ICP源中的電漿均勻性通常由ICP線圈的配置決定,包括其尺寸、幾何形狀、到工件的距離和相關的RF窗位置,以及氣體壓力、氣體成分和功率。在多個線圈或線圈段的情況下,如果以相同頻率驅動,則電流或功率分佈及其相對相位也可能是顯著因素。由於集膚效應,功率沉積傾向於在ICP線圈下方或附近的幾公分內發生,以及這種局部化功率沉積通常導致反映線圈幾何形狀的製程不均勻性。這種電漿不均勻性導致工件上的電位差,這也可能導致電漿充電損壞(如電晶體閘極介電破裂)。Plasma uniformity in conventional ICP sources is generally determined by the configuration of the ICP coil, including its size, geometry, distance to the workpiece and associated RF window position, as well as gas pressure, gas composition and power. In the case of multiple coils or coil segments, the current or power distribution and their relative phases can also be significant factors if driven at the same frequency. Due to the skin effect, power deposition tends to occur within a few centimeters below or near the ICP coil, and this localized power deposition often results in process non-uniformities that reflect the coil geometry. This plasma inhomogeneity results in potential differences across the workpiece, which can also lead to plasma charging damage (such as transistor gate dielectric cracking).

通常需要大的擴散距離以改善ICP源的均勻性。然而,由於低功率耦合,具有厚RF窗的傳統ICP源在高氣壓下通常效率低,這使得有高驅動電流,而導致高電阻功率損耗。相反地,腔室內電極組件不需要具有RF窗,而只需要具有圓柱形殼。這可以提供更好的功率耦合和更高的效率。Large diffusion distances are generally required to improve the uniformity of the ICP source. However, conventional ICP sources with thick RF windows are generally inefficient at high gas pressures due to low power coupling, which allows high drive currents, resulting in high resistive power losses. Conversely, the electrode assembly inside the chamber need not have an RF window, but only a cylindrical shell. This can provide better power coupling and higher efficiency.

在具有移動的工件支撐件的電漿腔室中,移動的工件支撐件可以透過例如旋轉汞耦接器、電刷或滑環作DC接地。然而,移動的工件支撐件可能無法在射頻下充分接地。RF接地路徑應具有比電漿低得多的阻抗,以使其成為足夠的RF接地。缺乏足夠的RF接地路徑可能使得難以控制工件處的離子能量及降低製程的可重複性。In a plasma chamber with a moving workpiece support, the moving workpiece support can be DC grounded through, for example, a rotary mercury coupler, brushes, or slip rings. However, a moving workpiece support may not be adequately grounded at radio frequencies. The RF ground path should have a much lower impedance than the plasma to make it an adequate RF ground. Lack of adequate RF ground paths can make it difficult to control ion energy at the workpiece and reduce process repeatability.

因此,需要具有以下性質的電漿源:其可以在工件尺寸上有效地產生具有所需特性(電漿密度、電子溫度、離子能量、離解等)的均勻電漿;其可以對操作窗調節均勻性(如壓力、功率、氣體成分);即使工件移動,它也具有穩定和可重複的電氣性能;以及它不會產生過多的金屬污染物或顆粒。腔室內電極組件可能更好地提供這些性質中的一個或多個。Therefore, there is a need for a plasma source that can efficiently generate a uniform plasma with desired properties (plasma density, electron temperature, ion energy, dissociation, etc.) resistance (such as pressure, power, gas composition); it has stable and repeatable electrical performance even when the workpiece moves; and it does not generate excessive metal contamination or particles. One or more of these properties may be better provided by the electrode assembly within the chamber.

圖1是處理工具的實例的示意性側視圖。處理工具100具有包圍內部空間104的腔室主體102。內部空間104可以是圓柱形的,如用於容納圓形工件支撐件。內部空間的至少部分用作電漿腔室或電漿反應器。腔室主體102具有支撐件106,用於為內部空間104內的各種部件提供機械支撐。例如,支撐件106可以為頂部電極108提供支撐。頂部電極可以懸掛在內部空間104內且可以與頂板間隔開、鄰接頂板或是形成頂板的一部分。腔室主體102的側壁的某些部分可以獨立於頂部電極108而接地。Figure 1 is a schematic side view of an example of a processing tool. The processing tool 100 has a chamber body 102 surrounding an interior space 104 . The interior volume 104 may be cylindrical, such as for receiving a circular workpiece support. At least part of the interior space serves as a plasma chamber or plasma reactor. The chamber body 102 has supports 106 for providing mechanical support to various components within the interior volume 104 . For example, support 106 may provide support for top electrode 108 . The top electrode may be suspended within the interior space 104 and may be spaced apart from, adjoining, or form part of the top plate. Certain portions of the sidewalls of the chamber body 102 may be grounded independently of the top electrode 108 .

氣體分配器110位於處理工具100的電漿反應器部分的頂板附近。在一些實施中,氣體分配器110與頂部電極108整合為單個部件。氣體分配器110連接到氣體供應112。氣體供應112將一個或多個處理氣體輸送到氣體分配器110,處理氣體的組成可取決於待施行的製程,如沉積或蝕刻。Gas distributor 110 is located near the ceiling of the plasma reactor portion of processing tool 100 . In some implementations, the gas distributor 110 is integrated with the top electrode 108 as a single component. The gas distributor 110 is connected to a gas supply 112 . The gas supply 112 delivers one or more process gases to the gas distributor 110, the composition of which may depend on the process to be performed, such as deposition or etching.

真空泵113耦接到內部空間104以抽空處理工具。對於某些製程,腔室在Torr範圍內操作,及氣體分配器110供應氬、氮、氧和/或其他氣體。A vacuum pump 113 is coupled to the interior volume 104 to evacuate the processing tool. For some processes, the chamber operates in the Torr range, and the gas distributor 110 supplies argon, nitrogen, oxygen, and/or other gases.

用於支撐工件115的工件支撐件114定位在處理工具100中。工件支撐件114具有面向處理工具100的頂板的工件支撐表面114a。例如,工件支撐表面114a可以面向頂部電極108。工件支撐件114可操作地繞軸150旋轉。例如,致動器152可以轉動驅動軸154以旋轉工件支撐件114。在一些實施中,軸150與工件支撐件114的中心重合(coincident)。A workpiece support 114 for supporting a workpiece 115 is positioned in the processing tool 100 . The workpiece support 114 has a workpiece support surface 114 a facing the top plate of the processing tool 100 . For example, the workpiece support surface 114a may face the top electrode 108 . The workpiece support 114 is operable to rotate about an axis 150 . For example, actuator 152 may rotate drive shaft 154 to rotate workpiece support 114 . In some implementations, the axis 150 is coincident with the center of the workpiece support 114 .

在一些實施中,工件支撐件114包括工件支撐件114內部的工件支撐電極116。在一些實施中,工件支撐電極116可接地或連接到接地的阻抗或電路。在一些實施中,RF偏壓功率產生器142透過阻抗匹配144耦接到工件支撐電極116。工件支撐電極116可另外包括靜電卡盤,以及工件偏壓電壓供應118可連接到工件支撐電極116。RF偏壓功率產生器142可用於產生電漿、控制電極電壓或電極鞘(sheath)電壓,或控制電漿的離子能量。In some implementations, the workpiece support 114 includes a workpiece support electrode 116 inside the workpiece support 114 . In some implementations, the workpiece support electrode 116 may be grounded or connected to a grounded impedance or circuit. In some implementations, the RF bias power generator 142 is coupled to the workpiece support electrode 116 through an impedance match 144 . The workpiece support electrode 116 may additionally include an electrostatic chuck, and a workpiece bias voltage supply 118 may be connected to the workpiece support electrode 116 . The RF bias power generator 142 may be used to generate the plasma, control the electrode or sheath voltage, or control the ion energy of the plasma.

此外,工件支撐件114可以具有內部通道119,其用於加熱或冷卻工件115。在一些實施中,嵌入式電阻加熱器可以設置在內部通道119內部。Additionally, the workpiece support 114 may have internal channels 119 for heating or cooling the workpiece 115 . In some implementations, an embedded resistive heater may be disposed inside the interior channel 119 .

在一些實施中,透過來自位於底部內部空間133內的加熱元件的輻射、對流或傳導加熱工件支撐件114。In some implementations, the workpiece support 114 is heated by radiation, convection, or conduction from a heating element located within the bottom interior volume 133 .

腔室內電極組件120定位在頂部電極108和工件支撐件114之間的內部空間104中。此電極組件120包括一個或多個共面絲300,其在腔室中側向地延伸且在工件支撐件114的支撐表面114a上方。在工件支撐件114上方的電極組件120的共面絲的至少一部分平行於支撐表面114a延伸。儘管圖1的左側繪示絲300平行於工件115的運動方向(進出頁面),但是絲300可以是在相對於運動方向的非零角度處,如實質垂直於運動方向。Intra-chamber electrode assembly 120 is positioned in interior space 104 between top electrode 108 and workpiece support 114 . This electrode assembly 120 includes one or more coplanar wires 300 extending laterally in the chamber above the support surface 114a of the workpiece support 114 . At least a portion of the coplanar filaments of the electrode assembly 120 above the workpiece support 114 extend parallel to the support surface 114a. Although the left side of FIG. 1 depicts the wire 300 parallel to the direction of motion of the workpiece 115 (in and out of the page), the wire 300 may be at a non-zero angle relative to the direction of motion, such as substantially perpendicular to the direction of motion.

頂部間隙130形成在頂部電極108和腔室內電極組件120之間。底部間隙132形成在工件支撐件114和腔室內電極組件120之間。A top gap 130 is formed between the top electrode 108 and the inner chamber electrode assembly 120 . A bottom gap 132 is formed between the workpiece support 114 and the intra-chamber electrode assembly 120 .

內部空間104可以通過阻障物分成一個或多個區域101a、101b,該等區域中的至少一個用作電漿腔室。阻障物在工件支撐件上方界定一個或多個開口123。在一些實施中,電極組件120定位在開口123內。在一些實施中,電極組件放置在開口123上方。在一些實施中,阻障物由支撐件106一體成型地形成,及開口123形成在支撐件106上。在一些實施中,形成於支撐件106上的開口123經配置以支撐電極組件120。The inner space 104 may be divided by barriers into one or more regions 101a, 101b, at least one of which functions as a plasma chamber. The barrier defines one or more openings 123 above the workpiece support. In some implementations, electrode assembly 120 is positioned within opening 123 . In some implementations, an electrode assembly is placed over opening 123 . In some implementations, the barrier is integrally formed by the support 106 , and the opening 123 is formed on the support 106 . In some implementations, the opening 123 formed on the support 106 is configured to support the electrode assembly 120 .

電極組件120由RF電源122所驅動。RF電源122可以以1MHz至超過300MHz的頻率向電極組件120的一個或多個共面絲供電。對於某些製程,RF電源120以60MHz的頻率提供100W至大於2kW的總RF功率。The electrode assembly 120 is driven by an RF power source 122 . The RF power source 122 may power the one or more coplanar filaments of the electrode assembly 120 at a frequency ranging from 1 MHz to over 300 MHz. For some processes, the RF power supply 120 provides 100W to greater than 2kW of total RF power at a frequency of 60MHz.

在一些實施中,可能需要選擇底部間隙132以使電漿產生的自由基、離子或電子與工件表面交互作用。間隙的選擇取決於應用及取決於操作方法。對於需要將自由基通量(但是非常低的離子/電子通量)輸送到工件表面的一些應用,可選擇在較大間隙和/或較高壓力下的操作。對於需要將自由基通量和大量電漿離子/電子通量輸送到工件表面的其他應用,可選擇在較小間隙和/或較低壓力下的操作。例如,在一些低溫電漿增強ALD製程中,處理氣體的自由基對於ALD膜的沉積或處理是必需的。自由基是具有不成對價電子的原子或分子。自由基通常對其他物質具有高度化學活性。自由基與其他化學物質的反應常在膜沉積中扮演重要角色。然而,自由基由於其高化學活性而通常是短生命週期的,因此在其生命週期期間無法運送很遠。將自由基源(即作為電漿源的腔室內電極組件120)放置在靠近工件115的表面的位置可以向表面增加自由基的供應,以改善沉積製程。In some implementations, it may be desirable to select the bottom gap 132 to allow plasma generated radicals, ions, or electrons to interact with the workpiece surface. The choice of clearance depends on the application and on the method of operation. For some applications where radical flux (but very low ion/electron flux) is required to be delivered to the workpiece surface, operation at larger gaps and/or higher pressures is an option. Operation at smaller gaps and/or lower pressures is an option for other applications that require the delivery of free radical flux and substantial plasma ion/electron flux to the workpiece surface. For example, in some low-temperature plasma-enhanced ALD processes, free radicals from the process gas are necessary for the deposition or treatment of ALD films. Free radicals are atoms or molecules with unpaired valence electrons. Free radicals are usually highly chemically reactive towards other substances. The reaction of free radicals with other chemicals often plays an important role in film deposition. However, free radicals are generally short-lived due to their high chemical activity and thus cannot be transported very far during their lifetime. Placing a free radical source (ie, the chamber electrode assembly 120 as a plasma source) close to the surface of the workpiece 115 can increase the supply of free radicals to the surface to improve the deposition process.

自由基的生命週期通常取決於周圍環境的壓力。因此,提供令人滿意的自由基濃度之底部間隙132的高度可以根據操作期間的預期腔室壓力而改變。在一些實施中,如果腔室在1至10Torr範圍內的壓力下操作,則底部間隙132小於1cm。在其他(較)低溫度的電漿增強ALD製程中,暴露於電漿離子通量(與伴隨的電子通量)以及自由基通量可能是沉積和處理ALD膜所必需的。在一些實施中,如果腔室在1-10Torr範圍內的壓力下操作,則底部間隙132小於0.5cm。由於相對於距離的體積重組率較低,較低的操作壓力可在較大的間隙下操作。在其他應用中(如蝕刻),通常使用較低的操作壓力(小於100mTorr)且可增加間隙。The life cycle of free radicals usually depends on the stress of the surrounding environment. Thus, the height of the bottom gap 132 to provide a satisfactory concentration of free radicals can be varied depending on the expected chamber pressure during operation. In some implementations, the bottom gap 132 is less than 1 cm if the chamber is operated at a pressure in the range of 1 to 10 Torr. In other (lower) temperature plasma-enhanced ALD processes, exposure to plasma ion flux (with concomitant electron flux) and radical flux may be necessary to deposit and process ALD films. In some implementations, the bottom gap 132 is less than 0.5 cm if the chamber is operated at a pressure in the range of 1-10 Torr. Lower operating pressures allow operation at larger clearances due to the lower volumetric recombination ratio with respect to distance. In other applications, such as etching, lower operating pressures (less than 100mTorr) are typically used and gaps can be increased.

在底部間隙132較小的這種應用中,由電極組件120產生的電漿可能在絲之間具有顯著的不均勻性,這可能對工件的處理均勻性不利。藉由使工件移動通過具有空間不均勻性的電漿,可以藉由時間平均效應(即在單次通過電漿之後,工件的任何給定區域接收的累積電漿用量是實質相似的)來減輕電漿空間不均勻性對製程的影響。In such applications where the bottom gap 132 is small, the plasma generated by the electrode assembly 120 may have significant inhomogeneity between the wires, which may be detrimental to the uniformity of treatment of the workpiece. By moving the workpiece through a plasma with spatial inhomogeneity, this can be mitigated by temporal averaging effects (i.e., the cumulative plasma dose received by any given region of the workpiece after a single pass through the plasma is substantially similar) Effect of plasma spatial inhomogeneity on process.

可以選擇足夠大的頂部間隙以使電漿在腔室內電極組件和頂部電極(或腔室頂部)之間發展。在一些實施中,如果腔室在1-10Torr範圍內的壓力下操作,則頂部間隙130可以在0.5-2cm之間,如1.25cm。The top gap can be chosen to be large enough to allow plasma to develop between the electrode assembly and the top electrode (or top of the chamber) within the chamber. In some implementations, the top gap 130 may be between 0.5-2 cm, such as 1.25 cm, if the chamber is operated at a pressure in the range of 1-10 Torr.

可以以各種方式配置頂部電極108。在一些實施中,頂部電極連接到RF接地140。在一些實施中,頂部電極是電隔離的(「浮動的(floating)」)。在一些實施中,頂部電極108偏置為偏壓電壓。偏壓電壓可以用於控制所產生的電漿的特性(包括離子能量)。在一些實施中,用RF信號驅動頂部電極108。例如,相對於已經接地的工件支撐電極116驅動頂部電極108可以增加工件115處的電漿電位。增加的電漿電位可以使得離子能量增加到所需的值。The top electrode 108 can be configured in various ways. In some implementations, the top electrode is connected to RF ground 140 . In some implementations, the top electrode is electrically isolated ("floating"). In some implementations, the top electrode 108 is biased at a bias voltage. The bias voltage can be used to control the properties of the generated plasma, including ion energy. In some implementations, the top electrode 108 is driven with an RF signal. For example, driving the top electrode 108 relative to the already grounded workpiece support electrode 116 may increase the plasma potential at the workpiece 115 . The increased plasma potential can increase the ion energy to a desired value.

頂部電極108可以由不同的製程兼容材料形成。製程可計算性的各種標準包括材料對處理氣體蝕刻的抵抗力和對來自離子撞擊的濺射的抵抗力。此外,在材料用量被蝕刻的情況下,製程兼容的材料優先地形成揮發性或氣態化合物(其可以通過真空泵113抽空),且不形成可能污染工件115的粒子。因此,在一些實施中,頂部電極由矽製成。在一些實施中,頂部電極由碳化矽製成。The top electrode 108 may be formed from different process compatible materials. Various criteria for process calculability include material resistance to process gas etching and resistance to sputtering from ion impact. Furthermore, process-compatible materials preferentially form volatile or gaseous compounds (which can be evacuated by vacuum pump 113 ) and do not form particles that could contaminate workpiece 115 in the case of material quantities that are etched. Therefore, in some implementations, the top electrode is made of silicon. In some implementations, the top electrode is made of silicon carbide.

在一些實施中,可省略頂部電極108。在這樣的實施中,RF接地路徑可由工件支撐電極、電極組件120的共面絲的子集提供,或由腔室壁或與電漿連通的其他以地面為參考的(ground-referenced)表面來提供。In some implementations, top electrode 108 may be omitted. In such implementations, the RF ground path may be provided by the workpiece support electrode, a subset of coplanar filaments of the electrode assembly 120, or by a chamber wall or other ground-referenced surface in communication with the plasma. supply.

在一些實施中,流體供應146使流體循環通過腔室內電極組件120中的通道。在一些實施中,熱交換器148耦接到流體供應146以移除熱或向流體供應熱。In some implementations, the fluid supply 146 circulates fluid through channels in the electrode assembly 120 within the chamber. In some implementations, a heat exchanger 148 is coupled to the fluid supply 146 to remove heat or supply heat to the fluid.

取決於腔室配置和供應的處理氣體,處理工具100中的電漿反應器可以提供ALD設備、蝕刻設備、電漿處理設備、電漿增強化學氣相沉積設備、電漿摻雜設備或電漿表面清洗設備。Depending on the chamber configuration and the process gases supplied, the plasma reactor in the processing tool 100 can provide an ALD tool, an etch tool, a plasma processing tool, a plasma-enhanced chemical vapor deposition tool, a plasma doping tool, or a plasma Surface cleaning equipment.

圖2A是處理工具200的實例的示意性頂視圖。除了如所描述的之外,處理工具200類似於處理工具100。處理工具200具有圓柱形腔室主體202、具有圓柱形狀的內部空間204、支撐件206、電極組件220和前驅物站260。支撐件206位於處理工具200的中心,以及形成多個徑向隔件270將內部空間204分隔成多處理區域。例如,多個處理區域可以經配置具有楔形的形狀(如圓形截面或等邊三角形),或者可能在頂點處被切除。處理區域可以以各種方式配置以針對處理工具200的操作達到所需的各種功能。FIG. 2A is a schematic top view of an example of a processing tool 200 . Processing tool 200 is similar to processing tool 100 except as described. The processing tool 200 has a cylindrical chamber body 202 , an interior space 204 having a cylindrical shape, a support 206 , an electrode assembly 220 and a precursor station 260 . The support 206 is located at the center of the treatment tool 200 and forms a plurality of radial partitions 270 to divide the interior space 204 into multiple treatment areas. For example, multiple treatment regions may be configured to have a wedge-shaped shape, such as a circular cross-section or an equilateral triangle, or may be cut away at the apex. The processing areas can be configured in various ways to achieve the various functions desired for the operation of the processing tool 200 .

前驅物處理區域經配置用(如用於ALD製程的)一個或多個前驅物來處理工件115。例如,定位於前驅物處理區域280a內的第一前驅物站260a可以經配置流動或泵送化學前驅物A,使得當工件115在前驅物站260a下移動時,處理工件115。然後,前驅物站260a可以用化學前驅物B處理工件115,準備工件115的表面,如用於ALD成膜電漿的表面處理。The precursor processing region is configured to process workpiece 115 with one or more precursors, such as for an ALD process. For example, first precursor station 260a positioned within precursor processing region 280a may be configured to flow or pump chemical precursor A such that workpiece 115 is processed as workpiece 115 moves under precursor station 260a. Then, the precursor station 260 a can treat the workpiece 115 with the chemical precursor B to prepare the surface of the workpiece 115 , such as surface treatment for ALD film-forming plasma.

在一些實施中,前驅物處理區域280包括具有用於相應化學前驅物的相應前驅物站260的多個次區域。在一些實施中,次區域沿著工件115的路徑依序佈置。在一些實施中,在前驅物表面處理期間停止工件115的移動。在一些實施中,工件115連續地移動通過前驅物處理區域280。In some implementations, the precursor processing region 280 includes a plurality of sub-regions with respective precursor stations 260 for respective chemical precursors. In some implementations, the sub-regions are arranged sequentially along the path of the workpiece 115 . In some implementations, movement of workpiece 115 is stopped during precursor surface treatment. In some implementations, the workpiece 115 is continuously moved through the precursor processing region 280 .

氣體隔離區281經配置提供多個處理區域(如第一處理區域和第二處理區域)的個別處理環境的空間隔離。氣體隔離區281可包括第一泵送區282、淨化區283和第二泵送區284,每個區域由相應的徑向隔件270分開。在傳統系統中,處理環境的隔離可由第一和第二處理區域之間的氣密密封件來提供。然而,由於旋轉的工件支撐件114,提供這種密封可能是不實際的。相反地,可以藉由在第一和第二處理區域之間插入氣體隔離區281來提供足以用於電漿處理應用(如ALD)的隔離水平。The gas isolation zone 281 is configured to provide spatial isolation of individual processing environments of a plurality of processing regions, such as a first processing region and a second processing region. The gas isolation zone 281 may include a first pumping zone 282 , a purge zone 283 , and a second pumping zone 284 , each zone separated by a respective radial partition 270 . In conventional systems, isolation of the processing environment may be provided by a hermetic seal between the first and second processing regions. However, due to the rotating workpiece support 114, it may not be practical to provide such a seal. Conversely, an isolation level sufficient for plasma processing applications such as ALD can be provided by interposing a gas isolation region 281 between the first and second processing regions.

參考圖2B,繪示處理工具200的一部分沿截面線B的橫截面圖。在操作期間,與第一處理區域(如前驅物處理區域280a)相鄰的第一泵送區282產生相對於第一處理區域的負壓差。例如,可以使用真空泵產生負壓差。該負壓差使得從第一處理區域洩漏出的處理氣體透過第一泵送區282被泵出,如箭頭所示。類似地,與第二處理區域相鄰的第二泵送區284提供相對於第二處理區域(如電漿處理區域285a)的負壓差。Referring to FIG. 2B , a cross-sectional view of a portion of the processing tool 200 along section line B is shown. During operation, a first pumping zone 282 adjacent to a first processing zone (eg, precursor processing zone 280a ) generates a negative pressure differential relative to the first processing zone. For example, a vacuum pump can be used to create a negative pressure differential. The negative pressure differential causes the process gas leaked from the first process area to be pumped through the first pumping area 282, as indicated by the arrows. Similarly, a second pumping zone 284 adjacent to a second treatment zone provides a negative pressure differential relative to the second treatment zone, such as plasma treatment zone 285a.

位於第一泵送區282和第二泵送區284之間的淨化區283供應淨化氣體。淨化氣體的實例包括非活性氣體,如氬和氮。由於第一和第二泵送區產生的負壓差,由淨化區283供應的淨化氣體被泵送到第一和第二泵送區,如箭頭所示。淨化氣體的存在可以防止第一和第二處理區域的相應處理氣體彼此混合,第一和第二處理區域的相應處理氣體彼此混合可能引起不必要的化學反應,而導致不必要的沉積、蝕刻或殘餘物產生。A purge zone 283 located between the first pumping zone 282 and the second pumping zone 284 supplies purge gas. Examples of purge gases include inert gases such as argon and nitrogen. Due to the negative pressure differential created by the first and second pumping zones, the purge gas supplied by the purge zone 283 is pumped to the first and second pumping zones, as indicated by the arrows. The presence of the purge gas prevents the respective processing gases of the first and second processing regions from mixing with each other, which could cause unwanted chemical reactions leading to unwanted deposition, etching or A residue is produced.

第一間隙高度H1 提供徑向隔件270和工件支撐件114之間的空隙。可以基於提供足夠的空隙讓工件115通過,同時減少處理氣體洩漏到泵送區282和284中,來決定第一間隙高度。例如,第一間隙高度可以在2-4mm範圍內,如3mm。The first gap height H 1 provides clearance between the radial spacer 270 and the workpiece support 114 . The first gap height may be determined based on providing sufficient clearance for passage of workpiece 115 while reducing leakage of process gases into pumping regions 282 and 284 . For example, the first gap height may be in the range of 2-4mm, such as 3mm.

返回參考圖2A,電漿處理區域285經配置用電漿處理工件115。例如,位於電漿處理區域285a內的電極組件220a可以產生用於處理工件115的表面的電漿。已經移動通過氣體隔離區281的工件115的經前驅物處理的表面用電極組件220a產生的電漿處理。在一些實施中,電漿處理完成第一ALD膜的單一原子層的沉積循環。Referring back to FIG. 2A , the plasma treatment region 285 is configured to treat the workpiece 115 with plasma. For example, electrode assembly 220a located within plasma processing region 285a may generate a plasma for processing the surface of workpiece 115 . The precursor-treated surface of the workpiece 115 that has moved through the gas isolation region 281 is treated with the plasma generated by the electrode assembly 220a. In some implementations, the plasma treatment completes the deposition cycle of a single atomic layer of the first ALD film.

在一些實施中,電極組件220以如圖所示的矩形形成。在一些實施中,電極組件220以楔形形成。In some implementations, electrode assembly 220 is formed in a rectangle as shown. In some implementations, the electrode assembly 220 is formed in a wedge shape.

返回參考圖2B,在一些實施中,透過鄰近電極組件220形成的氣體入口210來提供用於電漿處理區域285的處理氣體。具體言之,氣體入口210可以設置在鄰近電漿處理區域285a的氣體隔離區281的邊緣處。例如,可以在隔件270中的一個隔件與電極組件220a的外壁221之間形成通道。Referring back to FIG. 2B , in some implementations, the process gas for the plasma processing region 285 is provided through the gas inlet 210 formed adjacent to the electrode assembly 220 . Specifically, the gas inlet 210 may be disposed at an edge of the gas isolation region 281 adjacent to the plasma processing region 285a. For example, a channel may be formed between one of the spacers 270 and the outer wall 221 of the electrode assembly 220a.

第二間隙高度H2 在電極組件220和工件支撐件114之間提供空隙。可以基於提供足夠的空隙讓工件115通過及把處理氣體提供給電極組件220的的內部區域,同時減少處理氣體洩漏到泵送區282和284中,來決定第二間隙高度。例如,第二間隙高度可以在1-3mm範圍內,如2mm。在一些實施中,氣體入口形成在工件115的進入側。在一些實施中,氣體入口朝向電極組件的徑向外邊緣形成,其在腔室壁202附近。在一些實施中,氣體入口朝向工件支撐件114的中心形成,如在軸150附近。The second gap height H 2 provides clearance between the electrode assembly 220 and the workpiece support 114 . The second gap height may be determined based on providing sufficient clearance for workpiece 115 to pass through and process gas to the interior region of electrode assembly 220 while reducing process gas leakage into pumping regions 282 and 284 . For example, the second gap height may be in the range of 1-3mm, such as 2mm. In some implementations, the gas inlet is formed on the entry side of the workpiece 115 . In some implementations, the gas inlet is formed toward the radially outer edge of the electrode assembly, which is near the chamber wall 202 . In some implementations, the gas inlet is formed toward the center of the workpiece support 114 , such as near the axis 150 .

在一些實施中,頂部電極208形成為電極組件220a的一部分或由電極組件220a支撐。例如,頂部電極208可以由頂板221a支撐。In some implementations, the top electrode 208 is formed as part of or supported by the electrode assembly 220a. For example, top electrode 208 may be supported by top plate 221a.

參考圖2C,繪示處理工具200的一部分沿著截面線C的橫截面圖。在一些實施中,如圖所示,支撐件206經配置為電極組件220a和220b提供機械支撐。Referring to FIG. 2C , a cross-sectional view of a portion of the processing tool 200 along section line C is shown. In some implementations, as shown, support 206 is configured to provide mechanical support for electrode assemblies 220a and 220b.

在一些實施中,處理工具200包括第二前驅物處理區域280b和第二電漿處理區域285b。區域280b和285b可以經配置沉積第二ALD膜。在一些實施中,第二ALD膜與區域280a和285a沉積的第一ALD膜相同。這樣的實施可改善單一ALD膜的沉積速度。在一些實施中,第二ALD膜與第一ALD不同。在這樣的實施中,可以以交替方式沉積兩個不同的ALD膜。一般來說,處理工具200可以經配置沉積2個、3個、4個或更多個類型的ALD膜。In some implementations, the processing tool 200 includes a second precursor processing region 280b and a second plasma processing region 285b. Regions 280b and 285b may be configured to deposit a second ALD film. In some implementations, the second ALD film is the same as the first ALD film deposited in regions 280a and 285a. Such an implementation can improve the deposition rate of a single ALD film. In some implementations, the second ALD film is different than the first ALD. In such an implementation, two different ALD films may be deposited in an alternating fashion. In general, processing tool 200 may be configured to deposit 2, 3, 4 or more types of ALD films.

一般來說,工件115可以進行單次通過或者可以多次通過處理區域。例如,可以交替旋轉方向以多次通過特定處理區域。In general, workpiece 115 may be made in a single pass or may be passed through the processing area multiple times. For example, the direction of rotation may be alternated for multiple passes over a particular treatment area.

一般來說,處理區域可以以任何順序排列。例如,前驅物處理區域之後可以是具有相同或不同電漿特性的2個不同的電漿處理區域。In general, treatment areas can be arranged in any order. For example, a precursor treatment zone may be followed by 2 different plasma treatment zones with the same or different plasma properties.

關於圖1或圖2A-2C,電極組件120或220包括一個或多個共面絲300,其在腔室中側向地延伸且在工件支撐件的支撐表面上方。在工件支撐件上方的電極組件的至少一部分共面絲平行於支撐表面延伸。絲300可以在相對於運動方向的非零角度處,如實質垂直於運動方向。With respect to Figure 1 or Figures 2A-2C, the electrode assembly 120 or 220 includes one or more coplanar wires 300 extending laterally in the chamber above the support surface of the workpiece support. At least a portion of the coplanar filaments of the electrode assembly above the workpiece support extend parallel to the support surface. Wire 300 may be at a non-zero angle relative to the direction of motion, such as substantially perpendicular to the direction of motion.

電極組件可包括圍繞電極電漿腔室區域的側壁221。側壁可以由製程兼容材料形成,如石英。在一些實施中,絲側向地突出側壁。在一些實施中,絲300的端延伸出電極組件的頂板並轉向以提供平行於工件的支撐表面的部分(見圖2C)。The electrode assembly may include sidewalls 221 surrounding the electrode plasma chamber region. The sidewalls may be formed of a process compatible material, such as quartz. In some implementations, the wire protrudes laterally from the sidewall. In some implementations, the ends of the wire 300 extend out of the top plate of the electrode assembly and turn to provide a portion parallel to the support surface of the workpiece (see FIG. 2C ).

圖3A-C是腔室內電極組件的絲的各種實例的示意圖。參考圖3A,表示腔室內電極組件120的絲300。絲300包括導體310和圓柱形殼320,圓柱形殼320圍繞導體310並沿導體310延伸。通道330由導體310和圓柱形殼320之間的間隙形成。圓柱形殼320由與製程兼容的非金屬材料形成。在一些實施中,圓柱形殼是半導電的。在一些實施中,圓柱形殼是絕緣的。3A-C are schematic illustrations of various examples of wires of an electrode assembly within a chamber. Referring to FIG. 3A , a wire 300 of the electrode assembly 120 within the chamber is shown. The wire 300 includes a conductor 310 and a cylindrical shell 320 that surrounds and extends along the conductor 310 . Channel 330 is formed by the gap between conductor 310 and cylindrical shell 320 . Cylindrical housing 320 is formed from a process compatible non-metallic material. In some implementations, the cylindrical shell is semiconducting. In some implementations, the cylindrical shell is insulating.

導體310可以由各種材料形成。在一些實施中,導體310是實心線,如直徑為0.063”的單一實心線。或者,導體310可以由多股絞合線提供。在一些實施中,導體含有3個平行的0.032”絞合線。多股絞合線可以透過集膚效應降低RF功率損耗。在一些實施中,導體310由李茲線(Litz wire)形成,其可進一步減少集膚效應。Conductor 310 may be formed of various materials. In some implementations, the conductor 310 is a solid wire, such as a single solid wire having a diameter of 0.063". Alternatively, the conductor 310 may be provided by multiple strands of stranded wire. In some implementations, the conductor contains 3 parallel 0.032" strands . Stranded wires can reduce RF power loss through the skin effect. In some implementations, the conductor 310 is formed of Litz wire, which can further reduce skin effect.

使用具有高導電率的材料(如高於107 西門子/公尺(Siemen/m)),這可以減少電阻功率損耗。在一些實施中,導體310由銅或銅合金製成。在一些實施中,導體由鋁製成。Use materials with high electrical conductivity (eg higher than 10 7 Siemen/m), which reduces resistive power loss. In some implementations, conductor 310 is made of copper or a copper alloy. In some implementations, the conductors are made of aluminum.

不必要的材料濺射或蝕刻可能導致製程污染或顆粒形成。無論腔室內電極組件120是用作CCP或是用作ICP源,都可能發生不必要的濺射或蝕刻。不必要的濺射或蝕刻可能是由電極表面的過量離子能量引起的。當作為CCP源操作時,需要圍繞圓柱形殼的振盪電場來驅動電漿放電。因為所有已知材料的濺射能量閾值都低於CCP源的相應最小工作電壓,此振盪引起材料的濺射或蝕刻。當作為ICP源操作時,絲300與電漿的電容耦合在附近表面處產生振盪電場,這也引起材料的濺射。藉由對暴露於內部空間104(如圓柱形殼320)的絲300的外表面使用製程兼容材料,可以減輕不必要的材料濺射或蝕刻所引起的問題。Unwanted material sputtering or etching can lead to process contamination or particle formation. Whether the intra-chamber electrode assembly 120 is used as a CCP or as an ICP source, unwanted sputtering or etching may occur. Unwanted sputtering or etching can be caused by excess ion energy at the electrode surface. When operating as a CCP source, an oscillating electric field around the cylindrical shell is required to drive the plasma discharge. Since the sputtering energy threshold of all known materials is below the corresponding minimum operating voltage of the CCP source, this oscillation causes either sputtering or etching of the material. When operating as an ICP source, the capacitive coupling of the wire 300 to the plasma generates an oscillating electric field at the nearby surface, which also causes sputtering of material. By using process compatible materials for the outer surfaces of the filament 300 exposed to the interior space 104 (eg, the cylindrical shell 320 ), problems caused by unnecessary material sputtering or etching can be mitigated.

在一些實施中,圓柱形殼320由製程兼容材料形成,例如矽(如高電阻率矽)、氧化物材料、氮化物材料、碳化物材料、陶瓷材料或以上各者之組合。氧化物材料的實例包括二氧化矽(如,矽石、石英)和氧化鋁(如藍寶石)。碳化物材料的實例包括碳化矽。對於某些化學環境(包括含氟環境或含碳氟化合物的環境),可能需要陶瓷材料或藍寶石。在含有氨、二氯矽烷、氮和氧的化學環境中,可能需要矽、碳化矽或石英。In some implementations, the cylindrical shell 320 is formed of a process compatible material, such as silicon (eg, high-resistivity silicon), oxide material, nitride material, carbide material, ceramic material, or combinations thereof. Examples of oxide materials include silicon dioxide (eg, silica, quartz) and aluminum oxide (eg, sapphire). Examples of carbide materials include silicon carbide. For some chemical environments, including fluorinated or fluorocarbon-containing environments, ceramic materials or sapphire may be required. In chemical environments containing ammonia, dichlorosilane, nitrogen, and oxygen, silicon, silicon carbide, or quartz may be required.

在一些實施中,圓柱形殼320具有0.1mm至3mm的厚度,如2mm。In some implementations, the cylindrical shell 320 has a thickness of 0.1 mm to 3 mm, such as 2 mm.

在一些實施中,在通道330中提供流體。在一些實施中,流體是非氧化性氣體,以淨化氧氣以減輕導體310的氧化。非氧化性氣體的實例是氮和氬。在一些實施中,如藉由流體供應146使非氧化性氣體連續地流過通道330,以去除殘留的氧或水蒸氣。 In some implementations, fluid is provided in channel 330 . In some implementations, the fluid is a non-oxidizing gas to purify oxygen to mitigate oxidation of the conductor 310 . Examples of non-oxidizing gases are nitrogen and argon. In some implementations, a non-oxidizing gas is continuously flowed through channel 330 , such as by fluid supply 146 , to remove residual oxygen or water vapor.

加熱導體310可以使導體更易於氧化。流體可以為可能從供應的RF功率所加熱的導體310提供冷卻。在一些實施中,如藉由流體供應146使流體循環通過通道330,以提供強制對流溫度控制,如冷卻或加熱。 Heating the conductor 310 can make the conductor more susceptible to oxidation. The fluid may provide cooling to the conductor 310 that may be heated from the supplied RF power. In some implementations, fluid is circulated through channel 330, such as by fluid supply 146, to provide forced convective temperature control, such as cooling or heating.

在一些實施中,流體可接近或高於大氣壓力以防止流體分解(breakdown)。例如,可藉由提供高於100Torr的流體壓力,防止管中的氣體分解或不必要的電漿形成。 In some implementations, the fluid may be at near or above atmospheric pressure to prevent breakdown of the fluid. For example, gas decomposition or unwanted plasma formation in the tube can be prevented by providing a fluid pressure higher than 100 Torr.

參考圖3B,在絲300的一些實施中,導體310具有塗層。在一些實施中,塗層是形成導體的材料的氧化物(如鋁導體上的氧化鋁)。在一些實施中,塗層是二氧化矽。在一些實施中,塗層在處理工具100的電漿反應器中原位形成,例如藉由矽烷、氫和氧的反應形成二氧化矽塗層。因為原位塗層可以在蝕刻或濺射時補充,原位塗層可能是有利的。原位塗層可以具有100nm至10μm的厚度範圍。 Referring to Figure 3B, in some implementations of wire 300, conductor 310 has a coating. In some implementations, the coating is an oxide of the material forming the conductor (eg, aluminum oxide on an aluminum conductor). In some implementations, the coating is silicon dioxide. In some implementations, the coating is formed in situ in the plasma reactor of the processing tool 100, such as by the reaction of silane, hydrogen, and oxygen to form a silicon dioxide coating. In-situ coatings can be advantageous because in-situ coatings can be replenished upon etching or sputtering. In-situ coatings can have a thickness ranging from 100 nm to 10 μm.

參考圖3C,在絲300的一些實施中,導體310是中空的,以及在導體310內部形成中空通道340。在一些實施中,如圖3A所述,中空通道340可以承載流體。製程兼容材料的塗層可以覆蓋導體310以提供圓柱形殼320。在一些實施中,塗層是形成導體的材料的氧化物(如 鋁導體上的氧化鋁)。在一些實施中,中空導體310具有2mm的外直徑,其中壁厚度為0.5mm。 Referring to FIG. 3C , in some implementations of wire 300 , conductor 310 is hollow, and hollow channel 340 is formed inside conductor 310 . In some implementations, hollow channel 340 can carry a fluid, as described in FIG. 3A . A coating of process compatible material may cover conductor 310 to provide cylindrical shell 320 . In some implementations, the coating is an oxide of a conductor-forming material (such as alumina on aluminum conductors). In some implementations, the hollow conductor 310 has an outer diameter of 2 mm with a wall thickness of 0.5 mm.

圖4A是腔室內電極組件的一部分的示意圖。腔室內電極組件400包括在支撐件402處附接的多個共面絲300。電極陣列由多個共面絲300形成。電極組件400可以提供電極組件120。在一些實施中,至少在對應於工件已經被處理的區域上方,絲300彼此平行地延伸。 Figure 4A is a schematic illustration of a portion of an electrode assembly within a chamber. Intra-chamber electrode assembly 400 includes a plurality of coplanar wires 300 attached at support 402 . The electrode array is formed from a plurality of coplanar filaments 300 . The electrode assembly 400 may provide the electrode assembly 120 . In some implementations, the wires 300 extend parallel to each other at least over regions corresponding to the workpiece that have been processed.

絲300彼此分開絲間距410。間距410可以影響電漿均勻性。如果間距太大,則絲會產生屏蔽(shadowing)和不均勻性。在另一方面,如果間距太小,則電漿不能在頂部間隙130和底部間隙132之間移動,以及不均勻性將會增加或自由基密度將降低。 The wires 300 are separated from each other by a wire pitch 410 . The spacing 410 can affect plasma uniformity. If the pitch is too large, the wires can create shadowing and non-uniformity. On the other hand, if the spacing is too small, the plasma cannot move between the top gap 130 and the bottom gap 132, and the non-uniformity will increase or the radical density will decrease.

一般來說,絲間距410所需的值取決於若干因素。這些因素的實例包括腔室壓力、RF功率、絲300與工件115之間的距離以及處理氣體成分。例如,當在較低壓力(如低於2Torr)下操作以及在絲和工件之間具有大的距離(如大於3mm)時,可增加絲間距410。 In general, the desired value for wire spacing 410 depends on several factors. Examples of these factors include chamber pressure, RF power, distance between wire 300 and workpiece 115, and process gas composition. For example, the wire spacing 410 may be increased when operating at lower pressures (eg, below 2 Torr) and with large distances (eg, greater than 3 mm) between the wire and workpiece.

在一些實施中,絲間距410在整個組件400上是均勻的。絲間距410的範圍可以是3mm至20mm,如8mm。 In some implementations, wire spacing 410 is uniform across assembly 400 . The wire spacing 410 may range from 3mm to 20mm, such as 8mm.

圖4B-C是具有不同電漿區域狀態的腔室內電極組件的橫截面示意圖。參考圖4B,在一些操作條件下,電漿區域412圍繞絲300。這種操作條件的實例可包括以相同的RF信號(即「單極(monopolar)」)驅動所有的絲,且具有接地的頂部電極。電漿區域412具有上部電漿區域414和下部電漿區域416。上部電漿區域414可以位於頂部間隙130處,以及下部電漿區域416可以位於底部間隙132處。如圖4B所示,上部電漿區域414和下部電漿區域416透過絲300之間的間隙連接,而形成連續的電漿區域412。電漿區域412的這種連續性是可預期的,因為區域414和416透過電漿的交換而彼此「連通」。電漿的交換有助於保持兩個區域的電平衡,其有助於電漿的穩定性和可重複性。4B-C are schematic cross-sectional views of electrode assemblies in chambers with different plasmonic domain states. Referring to FIG. 4B , under some operating conditions, the plasmonic region 412 surrounds the filament 300 . Examples of such operating conditions may include driving all filaments with the same RF signal (ie, "monopolar"), with a grounded top electrode. The plasma region 412 has an upper plasma region 414 and a lower plasma region 416 . The upper plasma region 414 may be located at the top gap 130 and the lower plasma region 416 may be located at the bottom gap 132 . As shown in FIG. 4B , the upper plasma region 414 and the lower plasma region 416 form a continuous plasma region 412 through the gap connection between the filaments 300 . This continuity of plasma region 412 is expected because regions 414 and 416 "communicate" with each other through the exchange of plasma. The exchange of plasma helps maintain electrical balance between the two regions, which contributes to plasma stability and repeatability.

參考圖4C,在此狀態下,上部電漿區域414和下部電漿區域416彼此不連接。對於電漿穩定性,不希望有電漿區域412的這種「狹縮」。可以藉由各種因素來改變電漿區域412的形狀,以去除電漿區域不連續性或改善電漿均勻性。Referring to FIG. 4C, in this state, the upper plasma region 414 and the lower plasma region 416 are not connected to each other. Such "pinching" of the plasma region 412 is undesirable for plasma stability. The shape of the plasma region 412 can be changed by various factors to remove plasma region discontinuity or improve plasma uniformity.

一般來說,區域412、414和416可以具有寬範圍的電漿密度,且不一定是均勻的。此外,圖4C中所示的上部電漿區域414和下部電漿區域416之間的不連續性代表相對於此兩個區域的實質上低的電漿密度,且不一定在間隙中完整沒有電漿。In general, regions 412, 414, and 416 can have a wide range of plasma densities, and are not necessarily uniform. Furthermore, the discontinuity between the upper plasma region 414 and the lower plasma region 416 shown in FIG. 4C represents a substantially low plasma density relative to these two regions, and is not necessarily a complete absence of plasma in the gap. pulp.

在一些操作條件下,如頂部電極不存在或是浮動的,以及工件支撐電極接地,可能沒有形成電漿區域414,或者具有低電漿密度。Under some operating conditions, such as the top electrode is absent or floating, and the workpiece support electrode is grounded, the plasma region 414 may not form, or have a low plasma density.

在一些實施中,腔室內電極組件400可以包括第一組和第二組絲300。第一組和第二組可以在空間上排列,使得絲在第一組和第二組之間交替。例如,第一組可以包括絲302,第二組可以包括絲300和304。第一組可以由RF電源422的第一終端422a驅動,及第二組可以由RF電源422的第二終端422b驅動。RF電源422可以經配置在終端422a處提供第一RF信號以及在終端422b處提供第二RF信號。第一和第二RF信號可以具有彼此相同的頻率和穩定的相位關係。例如,第一和第二RF信號之間的相位差可以是0度或180度。在一些實施中,由RF電源供應422提供的第一和第二RF信號之間的相位關係可以在0到360之間是可調整的。在一些實施中,RF供應422可以包括彼此相位鎖定的兩個個別的RF電源供應。In some implementations, the intra-chamber electrode assembly 400 can include first and second sets of wires 300 . The first and second groups may be spatially arranged such that the filaments alternate between the first and second groups. For example, a first group may include wire 302 and a second group may include wires 300 and 304 . The first group can be driven by the first terminal 422a of the RF power supply 422 and the second group can be driven by the second terminal 422b of the RF power supply 422 . RF power supply 422 may be configured to provide a first RF signal at terminal 422a and a second RF signal at terminal 422b. The first and second RF signals may have the same frequency and stable phase relationship as each other. For example, the phase difference between the first and second RF signals may be 0 degrees or 180 degrees. In some implementations, the phase relationship between the first and second RF signals provided by the RF power supply 422 can be adjustable between 0 and 360°. In some implementations, RF supply 422 may include two individual RF power supplies that are phase locked to each other.

在一些操作條件下,例如,當第一和第二RF信號之間的相位差為180時,所得到的電漿區域可集中在絲之間。Under some operating conditions, for example, when the phase difference between the first and second RF signals is 180, the resulting plasma region may be concentrated between the filaments.

頂部間隙130是影響電漿區域的形狀的因素。當頂部電極108接地時,減小頂部間隙130通常導致上部電漿區域414中的電漿密度的降低。可以基於電漿腔室的電腦模擬來決定頂部間隙130的特定值。例如,頂部間隙130可以是3mm至8mm,如4.5mm。The top gap 130 is a factor that affects the shape of the plasmonic region. Reducing the top gap 130 generally results in a reduction in the plasma density in the upper plasma region 414 when the top electrode 108 is grounded. The specific value of the top gap 130 can be determined based on computer simulations of the plasma chamber. For example, the top gap 130 may be 3mm to 8mm, such as 4.5mm.

底部間隙132是影響電漿區域的形狀的因素。當工件支撐電極116接地時,減小底部間隙132通常使得下部電漿區域416中的電漿密度降低。可以基於電漿腔室的電腦模擬來決定底部間隙132的特定值。例如,底部間隙132可以是3mm至9mm,如4.5mm。The bottom gap 132 is a factor that affects the shape of the plasma region. Reducing the bottom gap 132 generally results in a lower plasma density in the lower plasma region 416 when the workpiece support electrode 116 is grounded. The specific value of the bottom gap 132 can be determined based on computer simulations of the plasma chamber. For example, the bottom gap 132 may be 3 mm to 9 mm, such as 4.5 mm.

一般來說,腔室壓力是影響電漿區域形狀的因素。In general, chamber pressure is the factor that affects the shape of the plasma region.

圖5A和5B是腔室內電極組件配置的各種實例的示意圖。參考圖5A和5B,在一些實施中,電極組件106可以包括第一組導體120a和第二組導體120b。至少在電漿腔室104內,第一組和第二組導體120a、120b可以以交替的模式佈置。第一組可以由RF電源122的第一終端122a驅動,第二組可以由RF電源122的第二終端122b驅動。RF電源122可以經配置在終端122a處提供第一RF信號以及在終端122b處提供第二RF信號。第一和第二RF信號可以具有彼此相同的頻率和穩定的相位關係。例如,第一和第二RF信號之間的相位差可以是180度。藉由以具有180度相位差的RF信號驅動導體120a、120b,所得到的電漿分佈可以對電極116的不完美(imperfect)RF接地具有較低的敏感度。不受任何特定理論的限制,這可能是因為由於驅動信號的差分特性,RF電流透過相鄰的電極而返回。在一些實施中,由RF電源供應122提供的第一和第二RF信號之間的相位關係可以在0到360之間可調。5A and 5B are schematic diagrams of various examples of electrode assembly configurations within a chamber. Referring to Figures 5A and 5B, in some implementations, the electrode assembly 106 can include a first set of conductors 120a and a second set of conductors 120b. At least within the plasma chamber 104, the first and second sets of conductors 120a, 120b may be arranged in an alternating pattern. The first group can be driven by the first terminal 122a of the RF power source 122 and the second group can be driven by the second terminal 122b of the RF power source 122 . RF power supply 122 may be configured to provide a first RF signal at terminal 122a and a second RF signal at terminal 122b. The first and second RF signals may have the same frequency and stable phase relationship as each other. For example, the phase difference between the first and second RF signals may be 180 degrees. By driving the conductors 120a, 120b with RF signals having a 180 degree phase difference, the resulting plasma distribution may be less sensitive to imperfect RF grounding of the electrode 116 . Without being bound by any particular theory, this may be because the RF current returns through adjacent electrodes due to the differential nature of the drive signal. In some implementations, the phase relationship between the first and second RF signals provided by the RF power supply 122 can be adjustable between 0 and 360°.

為了產生信號,來自RF電源供應的振盪器的不平衡輸出信號可以耦接到平衡不平衡轉換器(balun,平衡-不平衡轉換器(balance-unbalance transformer))124,平衡不平衡轉換器124在終端122a、122b上輸出平衡信號。或者,RF供應122可以包括彼此相位鎖定的兩個個別的RF電源供應。In order to generate the signal, the unbalanced output signal from the oscillator of the RF power supply may be coupled to a balun (balance-unbalance transformer) 124, the balun 124 in Balanced signals are output on terminals 122a, 122b. Alternatively, RF supply 122 may comprise two individual RF power supplies that are phase locked to each other.

參考圖5A,電極組件120包括第一電極子組件510和第二電極子組件520,第一電極子組件510包括第一組導體120a,第二電極子組件520包括第二組導體120b。第一電極子組件510的導體120a與第二電極子組件520的導體120b是呈叉指的(interdigited)。Referring to FIG. 5A, the electrode assembly 120 includes a first electrode subassembly 510 including a first set of conductors 120a and a second electrode subassembly 520 including a second set of conductors 120b. The conductor 120 a of the first electrode subassembly 510 and the conductor 120 b of the second electrode subassembly 520 are interdigitated.

子組件510、520各自具有多個平行的導體120a、120b,其延伸橫跨過腔室104。每個其他電極120(如電極120a)連接到腔室104的一側上的第一總線530。其餘的(交替的)電極120(即電極120b)各自連接到腔室104的另一側上的第二總線540。未連接到RF電源供應總線的各個導體120的端可以保持不連接,如浮動的。Subassemblies 510 , 520 each have a plurality of parallel conductors 120 a , 120 b extending across chamber 104 . Every other electrode 120 , such as electrode 120 a , is connected to a first bus 530 on one side of the chamber 104 . The remaining (alternating) electrodes 120 , ie electrodes 120 b , are each connected to a second bus 540 on the other side of the chamber 104 . The ends of the respective conductors 120 that are not connected to the RF power supply bus may remain unconnected, eg, floating.

第一總線530可以連接到第一終端122a,及第二總線可以連接到第二終端122b。第一電極子組件510和第二電極子組件520彼此平行定向,使得子組件510和520的導體彼此平行。The first bus 530 may be connected to the first terminal 122a, and the second bus may be connected to the second terminal 122b. The first electrode subassembly 510 and the second electrode subassembly 520 are oriented parallel to each other such that the conductors of the subassemblies 510 and 520 are parallel to each other.

在一些實施中,連接導體120a、120b的總線530、540位於內部空間104的外部。這對於改善腔室104內的均勻性是更好的。然而,在一些實施中,連接導體120a、120b的總線530、540位於內部空間104中。In some implementations, the bus lines 530 , 540 connecting the conductors 120 a , 120 b are located outside the interior space 104 . This is better for improving uniformity within the chamber 104 . However, in some implementations, the bus lines 530 , 540 connecting the conductors 120 a , 120 b are located within the interior space 104 .

圖5B繪示類似於圖5A所示實施的電極組件106,但是沒有連接到RF電源供應總線的各個導體120的端可以接地,例如連接到接地的總線。例如,電極120a可以連接到腔室104作為第二總線540該側的第三總線550,以及電極120b可以連接到腔室104的與第一總線530相同側的第四總線560。各個總線550、560可以透過可調阻抗580(如阻抗匹配網路)接地。 FIG. 5B shows an electrode assembly 106 similar in implementation to that shown in FIG. 5A, but the ends of the respective conductors 120 not connected to the RF power supply bus may be grounded, such as a bus connected to ground. For example, electrode 120a may be connected to third bus 550 on the side of chamber 104 as second bus 540 , and electrode 120b may be connected to fourth bus 560 on the same side of chamber 104 as first bus 530 . Each bus 550, 560 can be grounded through an adjustable impedance 580 (eg, an impedance matching network).

對於圖5A或圖5B,可選地,可以在電極子組件510、520之間施加低頻共模偏壓。這可以可控制地增加電漿電位。 For FIG. 5A or 5B , optionally, a low frequency common mode bias may be applied between the electrode subassemblies 510 , 520 . This can controllably increase the plasma potential.

圖5C繪示腔室內電極組件106,其包括第一電極子組件522和第二電極子組件532,第一電極子組件522和第二電極子組件532經配置使得子組件522和532的絲以彼此成非零角度(如互相垂直)延伸。 5C depicts the electrode assembly 106 within the chamber, which includes a first electrode subassembly 522 and a second electrode subassembly 532 configured such that the wires of the subassemblies 522 and 532 are extend at a non-zero angle to each other (eg, perpendicular to each other).

可以以各種方式用RF信號驅動腔室內電極組件106。在一些實施中,以相對於RF接地的相同RF信號驅動子組件522和子組件532。在一些實施中,用差分RF信號驅動子組件522和子組件532。在一些實施中,用RF信號驅動子組件522,且子組件532連接到RF接地。 The intra-chamber electrode assembly 106 can be driven with the RF signal in various ways. In some implementations, subassembly 522 and subassembly 532 are driven with the same RF signal relative to RF ground. In some implementations, subassembly 522 and subassembly 532 are driven with differential RF signals. In some implementations, subassembly 522 is driven with an RF signal, and subassembly 532 is connected to RF ground.

圖5D繪示腔室內電極組件106,其包括第一電極子組件524和第二電極子組件534,第一電極子組件524與第二電極子組件534重疊(overlaid)。第一電極子組件524和第二電極子組件534各自具有多個平行的絲300,多個平行的絲300通過總線530、540、550與560在相應總線的相應端處連接。第一電極子組件524和第二電極子組件534經配置使得子組件524和534的絲彼此平行,子組件524、534的絲以交替的模式佈置。FIG. 5D shows the electrode assembly 106 in the chamber, which includes a first electrode subassembly 524 and a second electrode subassembly 534 , the first electrode subassembly 524 and the second electrode subassembly 534 overlap. The first electrode subassembly 524 and the second electrode subassembly 534 each have a plurality of parallel wires 300 connected by bus lines 530 , 540 , 550 and 560 at respective ends of the respective bus lines. The first electrode subassembly 524 and the second electrode subassembly 534 are configured such that the filaments of the subassemblies 524 and 534 are parallel to each other, the filaments of the subassemblies 524, 534 being arranged in an alternating pattern.

可以以各種方式用RF信號驅動腔室內電極組件106。在一些實施中,以相對於RF接地的相同RF信號驅動子組件524和子組件534。在一些實施中,用差分RF信號驅動子組件524和子組件534。在一些實施中,用RF信號驅動子組件524,且子組件534連接到RF接地。The intra-chamber electrode assembly 106 can be driven with the RF signal in various ways. In some implementations, subassembly 524 and subassembly 534 are driven with the same RF signal relative to RF ground. In some implementations, subassembly 524 and subassembly 534 are driven with differential RF signals. In some implementations, subassembly 524 is driven with an RF signal, and subassembly 534 is connected to RF ground.

在一些實施中,使用中心饋電590以單端方式用RF信號驅動腔室內電極組件106。中心饋電590在中心處連接到X形電流分離器592。使用垂直饋電結構將子組件524和534的四個角連接到X形電流分離器592。In some implementations, the inner chamber electrode assembly 106 is driven with an RF signal in a single-ended manner using the center feed 590 . The center feed 590 is connected at the center to an X-shaped current splitter 592 . The four corners of subassemblies 524 and 534 are connected to X-shaped current splitter 592 using vertical feed structures.

一般來說,當不能提供足夠的RF接地時(如透過旋轉汞耦接器、電刷或滑環作RF接地),子組件510、522、524和相應的子組件520、532、534的差分驅動可以改善電漿均勻性或製程可重複性。In general, when adequate RF grounding cannot be provided (such as through rotary mercury couplers, brushes, or slip rings), the differential Actuation can improve plasma uniformity or process repeatability.

圖6A是處理工具650的實例的內部區域的示意性頂視圖。在處理工具650中,工件支撐件114繞軸150旋轉,以及工件支撐件114的旋轉使得工件115在電極組件600下方移動,穿過電極組件600產生的電漿區域。除非另有說明之外,否則處理工具650類似於處理工具200,及電極組件600類似於電極組件400。FIG. 6A is a schematic top view of an interior region of an example of a processing tool 650 . In processing tool 650 , workpiece support 114 is rotated about axis 150 , and the rotation of workpiece support 114 causes workpiece 115 to move beneath electrode assembly 600 through a region of plasma generated by electrode assembly 600 . Unless otherwise noted, processing tool 650 is similar to processing tool 200 and electrode assembly 600 is similar to electrode assembly 400 .

當工件115繞軸150旋轉通過電漿區域時,工件的不同表面區域所經歷的速度以它們距軸150的徑向距離之函數而變化。例如,遠離軸150的工件區域比更靠近軸150的區域移動得更快。對於矩形或線性電漿區域,更遠離軸150的工件區域在電漿區域中經歷相應更短的停留時間。停留時間的這種徑向不均勻性導致工件上接收的電漿用量的不均勻性,而引起不必要的製程不均勻性。As workpiece 115 rotates about axis 150 through the plasma region, the velocities experienced by different surface regions of the workpiece vary as a function of their radial distance from axis 150 . For example, regions of the workpiece farther from the axis 150 move faster than regions closer to the axis 150 . For a rectangular or linear plasma zone, regions of the workpiece further away from the axis 150 experience correspondingly shorter residence times in the plasma zone. This radial non-uniformity in dwell time results in non-uniformity in the amount of plasma received on the workpiece, causing unwanted process non-uniformity.

補償前述停留時間不均勻性的一種方法是與晶圓的局部速度成比例地改變電漿區域的局部密度。例如,可以與距軸150的徑向距離成比例地增加局部電漿密度。藉由在局部速度較高區域處增加電漿密度,這些區域在其各自較短的停留時間內接收相等用量的電漿。然而,電漿密度的空間不均勻性可能導致工件表面的不均勻充電,從而在工件表面上產生電位差。根據晶粒尺寸和元件靈敏度,表面上足夠大的電位差(如大於2伏、5伏、10伏、15伏、25伏)可能導致對工件上所製造的元件的損壞,例如薄電晶體閘極介電層的介電崩潰。One way to compensate for the aforementioned dwell time non-uniformity is to vary the local density of the plasma region in proportion to the local velocity of the wafer. For example, the local plasma density may increase in proportion to the radial distance from the axis 150 . By increasing the plasma density at regions of higher local velocity, these regions receive an equal amount of plasma in their respective shorter residence times. However, the spatial inhomogeneity of the plasma density may lead to non-uniform charging of the workpiece surface, thereby generating a potential difference across the workpiece surface. Depending on grain size and component sensitivity, sufficiently large potential differences (e.g. greater than 2 V, 5 V, 10 V, 15 V, 25 V) on the surface may cause damage to components fabricated on the workpiece, e.g. thin transistor gates Dielectric breakdown of the dielectric layer.

補償停留時間不均勻性的另一種方法是藉由改變電漿區域的幾何形狀。可以改變電漿區域的幾何形狀,使得較高局部速度的區域行進通過電漿區域的相應較長部分以均衡(equalize)工件表面的不同區域的停留時間。對於圖6A所示的配置,可以用楔形電漿區域達到停留時間均衡。在這樣的配置中,可以藉由對各個區域上的楔形電漿區域的弧長的成比例增加來抵消通過遠離軸150移動對局部速度的徑向增加。Another way to compensate for residence time non-uniformity is by changing the geometry of the plasma region. The geometry of the plasma zone can be varied such that a zone of higher local velocity travels through a correspondingly longer portion of the plasma zone to equalize the dwell times of different zones of the workpiece surface. For the configuration shown in Figure 6A, residence time equalization can be achieved with wedge-shaped plasma regions. In such a configuration, the radial increase in local velocity by moving away from the axis 150 can be counteracted by a proportional increase in the arc length of the wedge-shaped plasma region over each region.

可以通過以各種方式配置電極組件600的共面絲和開口627來形成前述楔形電漿區域。一種方式是以楔形的方式來配置由電極組件600的絲形成的電極陣列。例如,可以改變電極陣列的個別共面絲的相應長度,使得電極陣列的整體輪廓界定楔形。在一些實施中,支撐件206可在電極陣列的共面絲的相應兩端處提供支撐。The aforementioned wedge-shaped plasma regions may be formed by configuring the coplanar filaments and openings 627 of the electrode assembly 600 in various ways. One way is to configure the electrode array formed by the wires of the electrode assembly 600 in a wedge-shaped fashion. For example, the respective lengths of the individual coplanar filaments of the electrode array can be varied such that the overall profile of the electrode array defines a wedge shape. In some implementations, supports 206 can provide support at respective ends of the coplanar filaments of the electrode array.

另一種形成楔形電漿區域的方式是藉由形成開口627來具有楔形形狀以及使用比開口627(如電極組件400)大的電極組件600的電極陣列來形成大於開口627大小的電漿區域。然後,所產生的電漿區域的一部分可以被楔形開口阻隔,以產生楔形電漿區域。例如,支撐件206可以提供楔形開口627。Another way to form a wedge-shaped plasma region is to form a plasma region larger than the size of opening 627 by forming opening 627 to have a wedge shape and using an electrode array of electrode assembly 600 that is larger than opening 627 (eg, electrode assembly 400 ). A portion of the generated plasma region may then be blocked by the wedge-shaped opening to create a wedge-shaped plasma region. For example, support 206 may provide wedge-shaped opening 627 .

一般來說,各種因素可能影響楔形電漿區域的尺寸。在一些應用中,工件表面上的部分或不完整的電漿覆蓋會導致不利的結果。例如,工件115可以含有對充電損壞敏感的元件,例如具有薄閘極介電層的電晶體。在這種情況下,在暴露於電漿的工件115的區域和未暴露於電漿的區域之間產生的電位可能導致閘極介電層的介電崩壞,而引起敏感元件的永久性損壞。通過將電漿區域的尺寸調整為大於工件,可以減輕這種問題,從而在整個工件表面上達到完整的電漿覆蓋。在一些實施中,調整電漿區域的尺寸使得工件能夠移動通過電漿區域,同時維持完整的電漿覆蓋。In general, various factors may affect the size of the wedge-shaped plasmonic region. In some applications, partial or incomplete plasma coverage on the workpiece surface can lead to unfavorable results. For example, workpiece 115 may contain components that are susceptible to charging damage, such as transistors with thin gate dielectric layers. In this case, the potential generated between the area of the workpiece 115 exposed to the plasma and the area not exposed to the plasma may cause dielectric collapse of the gate dielectric layer, causing permanent damage to the sensitive element . This problem can be mitigated by sizing the plasma region to be larger than the workpiece so that complete plasma coverage is achieved over the entire workpiece surface. In some implementations, adjusting the size of the plasma zone enables the workpiece to move through the plasma zone while maintaining complete plasma coverage.

在一些實施中,例如在電漿區域大於工件的情況下,可以將向電極組件600施加RF功率的時機與工件115的移動協調,以確保工件經歷的整個表面均勻暴露在電漿中。例如,在整個工件在開口627或電極組件600下移動之後可以產生(點燃)電漿,以及在工件離開電漿區域之前關閉(熄滅)電漿。在這種情況下,電漿區域不需要是楔形的。In some implementations, such as where the plasma area is larger than the workpiece, the timing of the application of RF power to the electrode assembly 600 can be coordinated with the movement of the workpiece 115 to ensure uniform exposure to the plasma across the entire surface of the workpiece. For example, the plasma may be generated (ignited) after the entire workpiece moves under the opening 627 or electrode assembly 600, and turned off (extinguished) before the workpiece exits the plasma region. In this case, the plasmonic region need not be wedge-shaped.

然而,在一些情況下,使用電極組件600產生大的電漿區域(如大於300mm×300mm)可能是具有挑戰性的。如果待處理的工件可以承受其表面上不完整的電漿覆蓋,則可以調整電漿區域的尺寸為小於在工件的一個方向上的工件表面。例如,如圖6A所示,楔形電極組件600(以及因此電漿區域)小於在工件115的行進方向上的工件直徑,但是相對於軸150沿徑向方向上則是大於工件直徑,以達到徑向方向上的完整覆蓋。However, generating large plasma regions (eg, greater than 300mm x 300mm) using electrode assembly 600 may be challenging in some circumstances. If the workpiece to be treated can tolerate incomplete plasma coverage on its surface, the size of the plasma zone can be adjusted to be smaller than the surface of the workpiece in one direction of the workpiece. For example, as shown in FIG. 6A , the wedge-shaped electrode assembly 600 (and thus the plasma region) is smaller than the workpiece diameter in the direction of travel of the workpiece 115, but larger in the radial direction relative to the axis 150 to achieve diameter. Complete coverage in all directions.

對於調整電漿區域尺寸的其他考慮因素包括工件移動速度、目標處理速率和目標電漿暴露時間,以達到所期望的處理持續時間或產量。Other considerations for adjusting the size of the plasma zone include workpiece movement speed, target process rate, and target plasma exposure time to achieve a desired process duration or throughput.

在一些實施中,電漿可以與工件的移動協調,以確保在工件進入電漿區域之前建立穩定的電漿。例如,在需要相對短的電漿暴露時間的過程中,撞擊電漿所花費的時間可以是整個電漿暴露時間的重大部分。因為在撞擊階段期間電漿相對不穩定,所以所得到的製程可重複性可能受損。藉由在引入工件之前建立穩定的電漿,可以通過在工件移動通過電漿區域時控制工件的速度來精確地控制電漿暴露時間和用量。對於這樣的實施,無論電漿區域是大於還是小於工件,有利的是電漿區域是楔形的以補償暴露時間的差異。在一些實施中,所產生的電漿保持在多個工件的處理上。In some implementations, the plasma can be coordinated with the movement of the workpiece to ensure that a stable plasma is established before the workpiece enters the plasma region. For example, in processes requiring a relatively short plasma exposure time, the time spent striking the plasma can be a significant portion of the total plasma exposure time. Because the plasma is relatively unstable during the impingement phase, the resulting process repeatability may suffer. By establishing a stable plasma prior to introducing the workpiece, the plasma exposure time and amount can be precisely controlled by controlling the velocity of the workpiece as it moves through the plasma region. For such implementations, regardless of whether the plasma zone is larger or smaller than the workpiece, it is advantageous if the plasma zone is tapered to compensate for differences in exposure time. In some implementations, the generated plasma is maintained over the processing of multiple workpieces.

假設處理工具650具有固定的電漿區域尺寸,可以控制各種製程參數以達到所需的電漿處理特性。可以控制的製程參數的實例包括處理速率、暴露時間、工件移動速度曲線、電漿暴露通過的次數和總電漿暴露用量。例如,工件可多次通過電漿區域,或者可在電漿區域內的位置振盪。Assuming that the processing tool 650 has a fixed plasma zone size, various process parameters can be controlled to achieve desired plasma processing characteristics. Examples of process parameters that may be controlled include process rate, exposure time, workpiece movement speed profile, number of plasma exposure passes, and total plasma exposure dosage. For example, the workpiece may pass through the plasma region multiple times, or may oscillate at a location within the plasma region.

圖6B是用於產生楔形電漿區域的楔形電極組件的實例的示意性頂視圖。楔形電極組件600具有多個共面絲610和框架620。除非另有說明之外,否則電極組件600類似於電極組件120、220和400。框架620具有第一端602、第二端604、中心角θca、內半徑R1 、外半徑R2 和平分線605。第一端602是電極組件600的短端,有時稱為頂點。第二端604是電極組件600的較長端,有時稱為基部。除非另有說明之外,否則多個共面絲610類似於絲300。每個共面絲610具有各自的長度L、相對於平分線605各自的角度θ(theta)。長度L被定義為在與工件支撐表面(如114a)平行且相鄰的區域中的共面絲610的線性部分。每對相鄰的共面絲610分開相應的間隔S,間隔S定義為相鄰絲之間的中心到中心的距離。對於非平行絲,間隔S定義為沿該對相鄰絲的長度的最小中心到中心的間距。6B is a schematic top view of an example of a wedge-shaped electrode assembly for generating a wedge-shaped plasma region. The wedge-shaped electrode assembly 600 has a plurality of coplanar wires 610 and a frame 620 . Electrode assembly 600 is similar to electrode assemblies 120, 220, and 400 unless otherwise noted. The frame 620 has a first end 602 , a second end 604 , a central angle θca, an inner radius R 1 , an outer radius R 2 and a bisector 605 . The first end 602 is the short end of the electrode assembly 600, sometimes referred to as the apex. The second end 604 is the longer end of the electrode assembly 600, sometimes referred to as the base. Unless otherwise noted, coplanar filaments 610 are similar to filaments 300 . Each coplanar filament 610 has a respective length L, a respective angle θ (theta) with respect to the bisector 605 . The length L is defined as the linear portion of the coplanar filament 610 in the region parallel to and adjacent to the workpiece support surface (eg, 114a). Each pair of adjacent coplanar filaments 610 is separated by a corresponding spacing S, defined as the center-to-center distance between adjacent filaments. For non-parallel filaments, the spacing S is defined as the smallest center-to-center spacing along the length of the pair of adjacent filaments.

對於決定絲610的角度theta有各種考慮因素。決定角度theta的一個考慮因素是當工件115在電極組件600下方移動時工件115的軌跡。在一些情況下,電極組件600產生的電漿可以具有沿著絲610的方向延伸的電漿中的不均勻性。例如,在某些操作條件下,在一對絲610之間可存在降低的電漿密度的細長區域。如果工件表面上的點沿著降低的電漿密度的區域行進,則該點將接收減少的電漿暴露用量,而導致製程不均勻。通過將絲佈置成具有適當的theta值(如小於或大於90°,但不包括90°),可以減少沿著降低的電漿密度的區域的這種切向行進,從而改善製程均勻性。例如,通過將theta設定為60°,工件表面上的點在多個絲下方通過,暴露於沿途具有降低的密度和標稱密度的局部電漿區域,而使得有電漿暴露用量的時間平均。在一些實施中,多個共面絲610的各自theta相等,即絲是平行的。There are various considerations in determining the angle theta of wire 610 . One consideration in determining the angle theta is the trajectory of the workpiece 115 as it moves beneath the electrode assembly 600 . In some cases, the plasma generated by electrode assembly 600 may have inhomogeneities in the plasma extending along the direction of filament 610 . For example, under certain operating conditions, there may be an elongated region of reduced plasma density between a pair of filaments 610 . If a spot on the workpiece surface follows a region of reduced plasma density, that spot will receive reduced plasma exposure, resulting in process non-uniformity. By arranging the filaments to have appropriate theta values (eg, less than or greater than 90°, but not including 90°), this tangential travel along regions of reduced plasma density can be reduced, thereby improving process uniformity. For example, by setting theta to 60°, a point on the workpiece surface passes under multiple wires, exposed to localized plasma regions of reduced and nominal density along the way, such that there is time averaging of the plasma exposure dose. In some implementations, the respective thetas of the plurality of coplanar filaments 610 are equal, ie, the filaments are parallel.

在一些實施中,絲610的各自θ基於它們在電極組合件600內的各自位置而不同。例如,對於頂點602附近的絲到組件600的基部604附近的絲,各自的theta單調地增加,以維持絲610橫跨在電極組件600上的長度相等。當組件600作為ICP源操作時,具有相等長度的絲可改善均勻性。In some implementations, the respective θ of the wires 610 differ based on their respective positions within the electrode assembly 600 . For example, for the filaments near the apex 602 to the filaments near the base 604 of the assembly 600 , the respective theta increases monotonically to maintain equal lengths of the filaments 610 across the electrode assembly 600 . Having wires of equal length improves uniformity when the assembly 600 is operated as an ICP source.

一般來說,共面絲610的數量由電漿區域的尺寸、theta及間隔S決定,以達到所需的電漿區域特性,如電漿密度、均勻性。Generally, the number of coplanar filaments 610 is determined by the size, theta, and spacing S of the plasma domain, so as to achieve the required properties of the plasma domain, such as plasma density and uniformity.

一般來說,可以基於圖4中討論關於絲間距410的考慮來決定間隔S。In general, spacing S may be determined based on the considerations discussed in FIG. 4 with respect to wire spacing 410 .

框架620界定電極組件600的形狀和由電極組件600形成的電漿區域的形狀。內半徑、外半徑和中心角決定楔形電極的尺寸,這接著界定了電漿區域的尺寸。可以基於前面關於圖6B的調整電漿區域尺寸的討論來決定框架的尺寸。The frame 620 defines the shape of the electrode assembly 600 and the shape of the plasma region formed by the electrode assembly 600 . The inner radius, outer radius and central angle determine the dimensions of the wedge electrode, which in turn define the dimensions of the plasma region. The size of the frame can be determined based on the previous discussion regarding sizing the plasmonic region of FIG. 6B.

框架620可以由不同的製程兼容材料形成。合適的製程兼容材料包括關於圓柱形殼320所述的材料,如石英。製程兼容材料的其他實例包括陶瓷(如氧化鋁、氮化鋁)和各種矽的氮化物(如SiN、Si3 N4 )。Frame 620 may be formed from different process compatible materials. Suitable process compatible materials include those described with respect to cylindrical shell 320, such as quartz. Other examples of process compatible materials include ceramics (eg alumina, aluminum nitride) and various silicon nitrides (eg SiN, Si 3 N 4 ).

雖然已經就楔形電極組件600描述了框架620,但是絲610可以經形成及佈置而具有所述的楔形形狀而不需框架620來達成類似的結果。Although frame 620 has been described with respect to wedge-shaped electrode assembly 600, wire 610 may be formed and arranged to have the described wedge shape without frame 620 to achieve a similar result.

楔形電極組件的實例具有以下設計特性:R1 =91mm、R2 =427mm、中心角=31°、theta=60°、絲中心到中心間隔=15mm、絲數量=20、框架材料=石英。An example of a wedge-shaped electrode assembly has the following design characteristics: R 1 =91 mm, R 2 =427 mm, center angle = 31°, theta = 60°, wire center to center spacing = 15 mm, number of wires = 20, frame material = quartz.

參考圖6C,在一些實施中,框架620具有切口622。切口622可以經成形而切合楔形頂部電極624。楔形頂部電極624可以接地或經偏置為偏壓電壓。楔形頂部電極624可以由各種製程兼容材料形成,如矽。在一些實施中,楔形電極經成形以插入切口622中以填充(fill)切口622。Referring to FIG. 6C , in some implementations, the frame 620 has a cutout 622 . Cutout 622 may be shaped to fit wedge-shaped top electrode 624 . The wedge-shaped top electrode 624 may be grounded or biased to a bias voltage. The wedge-shaped top electrode 624 can be formed of various process compatible materials, such as silicon. In some implementations, the wedge electrodes are shaped to be inserted into the cutout 622 to fill the cutout 622 .

參考圖6D,繪示框架620的一部分沿著截面線A的橫截面圖。在一些實施中,框架具有上部625、內側壁626和開口627。Referring to FIG. 6D , a cross-sectional view of a part of the frame 620 along section line A is shown. In some implementations, the frame has an upper portion 625 , an inner sidewall 626 and an opening 627 .

一般來說,多個共面絲610的各自長度L經設定以產生所需形狀的電漿區域。框架620可以經成形而為共面絲610提供支撐。在一些實施中,共面絲610的端由框架620的內側壁626支撐,類似於圖6B所示的配置。在一些實施中,共面絲610的端經彎曲(如90°)而由框架620的上部625支撐,如圖2B的電極組件220a所示。在一些實施中,框架620的開口627可以決定電漿區域的形狀。In general, the respective lengths L of the plurality of coplanar filaments 610 are set to create a desired shape of the plasmonic region. Frame 620 may be shaped to provide support for coplanar filaments 610 . In some implementations, the ends of the coplanar filaments 610 are supported by the inner side walls 626 of the frame 620, similar to the configuration shown in Figure 6B. In some implementations, the ends of the coplanar filaments 610 are bent (eg, 90°) to be supported by the upper portion 625 of the frame 620, as shown in the electrode assembly 220a of FIG. 2B. In some implementations, the opening 627 of the frame 620 can determine the shape of the plasma region.

在一些實施中,theta接近0,如<20°。參考圖6E,組件601具有兩個絲,以及以θ=0°佈置絲,即絲平行於平分線605。組件601的框架620具有切口622和楔形電極624。楔形電極624可以接地。在這樣的配置中,電極組件601產生的電漿區域的形狀受到絲610和楔形電極624之間的相互作用的影響,從而產生楔形電漿區域。在theta接近0°的配置中,隨著工件115的行進方向相對於絲610的定向實質接近90°,可以減小平行於絲610的電漿不均勻性的影響。In some implementations, theta is close to 0, such as <20°. Referring to FIG. 6E , the assembly 601 has two wires, and the wires are arranged at θ=0°, ie, the wires are parallel to the bisector 605 . The frame 620 of the assembly 601 has cutouts 622 and wedge electrodes 624 . Wedge electrode 624 may be grounded. In such a configuration, the shape of the plasma field generated by electrode assembly 601 is influenced by the interaction between wire 610 and wedge electrode 624, thereby creating a wedge-shaped plasma field. In configurations where theta approaches 0°, the effect of plasma inhomogeneities parallel to the wire 610 may be reduced as the direction of travel of the workpiece 115 relative to the orientation of the wire 610 is substantially 90°.

圖7A-7D是楔形電極組件的各種電氣配置的概念示意圖。電極組件的絲可以以各種不同的配置作電連接。參考圖7A,電極組件700類似於電極組件600,以及具有第一總線730和第二總線740。第一總線730和第二總線740可以位於腔室主體102的相對側上,如在腔室外部。7A-7D are conceptual schematic diagrams of various electrical configurations of wedge-shaped electrode assemblies. The wires of the electrode assembly can be electrically connected in various configurations. Referring to FIG. 7A , the electrode assembly 700 is similar to the electrode assembly 600 and has a first bus 730 and a second bus 740 . The first bus 730 and the second bus 740 may be located on opposite sides of the chamber body 102, such as outside the chamber.

第一總線730具有第一端750以及與第一端750相對的第二端751。第一總線730和第二總線740電連接到電極組件700的各個絲710的個別的相對端。除非另有說明之外,否則絲710類似於絲300。可以使用一個或多個RF電源以各種方式驅動電極組件700。The first bus 730 has a first end 750 and a second end 751 opposite to the first end 750 . The first bus 730 and the second bus 740 are electrically connected to respective opposite ends of the respective wires 710 of the electrode assembly 700 . Wire 710 is similar to wire 300 unless otherwise noted. Electrode assembly 700 can be driven in various ways using one or more RF power sources.

在一些實施中,第一RF電源驅動第一總線730,且第二總線740連接到RF接地。在這樣的配置中,RF電流流過絲710,以及電極組件可主要用作ICP電漿源。In some implementations, the first RF power source drives the first bus 730 and the second bus 740 is connected to RF ground. In such a configuration, RF current flows through the wire 710, and the electrode assembly can serve primarily as a source of ICP plasma.

在一些實施中,第一RF電源驅動第一總線730,且第二總線740是電浮動的。在這樣的配置中,電極組件可以主要用作CCP電漿源。RF電流返迴路徑可以由腔室主體102、上部電極108、楔形頂部電極624或工件支撐電極116提供。In some implementations, the first RF power source drives the first bus 730 and the second bus 740 is electrically floating. In such a configuration, the electrode assembly can serve primarily as a source of CCP plasma. The RF current return path may be provided by the chamber body 102 , the upper electrode 108 , the wedge-shaped top electrode 624 , or the workpiece support electrode 116 .

在一些實施中,第一RF電源在第一端750處驅動第一總線730,第二RF電源在第二端751處驅動第一總線730,以及第二總線740連接到RF接地。在這樣的配置中,電極組件可主要用作ICP電漿源。In some implementations, a first RF power source drives the first bus 730 at a first terminal 750, a second RF power source drives the first bus 730 at a second terminal 751, and the second bus 740 is connected to RF ground. In such a configuration, the electrode assembly can serve primarily as a source of ICP plasma.

在一些實施中,第一RF電源驅動第一總線730,且第二RF電源驅動第二總線740。In some implementations, the first RF power source drives the first bus 730 and the second RF power source drives the second bus 740 .

一般來說,選擇RF電源連接到總線的RF驅動點,以最佳化所得電漿的均勻性。例如,可以基於最小化個別絲710經歷的RF信號幅度的不均勻性來選擇驅動點位置。In general, the RF drive point at which the RF power supply is connected to the bus is chosen to optimize the uniformity of the resulting plasma. For example, the drive point locations may be selected based on minimizing non-uniformity in RF signal amplitude experienced by individual wires 710 .

在一些實施中,腔室內電極組件可包括第一組和第二組共面絲。第一組和第二組的絲可以沿垂直於其縱軸的方向以交替的模式佈置。如此一來,共面絲在第一組和第二組之間交替。In some implementations, the electrode assembly within the chamber can include first and second sets of coplanar filaments. The filaments of the first and second groups may be arranged in an alternating pattern along a direction perpendicular to their longitudinal axes. In doing so, the coplanar filaments alternate between the first and second sets.

參考圖7B,類似於電極組件600的電極組件702具有第一組與第二組,第一組可以包括共面絲710和714,以及第二組包括共面絲712。第一組電連接到第一總線732,第二組電連接到第二總線742。遠離與其連接的總線的各個絲的一端可以是「浮動的」或接地。如果絲的端是浮動的,則可以認為兩組絲形成叉指陣列。Referring to FIG. 7B , an electrode assembly 702 similar to electrode assembly 600 has a first set and a second set, the first set may include coplanar filaments 710 and 714 , and the second set includes coplanar filaments 712 . The first group is electrically connected to the first bus 732 and the second group is electrically connected to the second bus 742 . One end of each wire remote from the bus to which it is connected may be "floating" or grounded. If the ends of the filaments are floating, the two sets of filaments can be considered to form an interdigitated array.

第一總線732可以具有第一端752以及與第一端752相對的第二端753。在一些實施中,第一RF電源用第一RF信號驅動第一總線732,且第二RF電源用第二RF信號驅動第二總線742。第一和第二RF信號可具有彼此相同的頻率和穩定的相位關係。例如,第一RF信號和第二RF信號之間的相位差可以是0度或180度。在一些實施中,由RF電源供應422提供的第一和第二RF信號之間的相位關係可以在0到360之間是可調整的。在一些實施中,RF供應422可以包括彼此相位鎖定的兩個個別RF電源供應422a和422b。The first bus 732 may have a first end 752 and a second end 753 opposite to the first end 752 . In some implementations, the first RF power supply drives the first bus 732 with the first RF signal, and the second RF power supply drives the second bus 742 with the second RF signal. The first and second RF signals may have the same frequency and stable phase relationship as each other. For example, the phase difference between the first RF signal and the second RF signal may be 0 degrees or 180 degrees. In some implementations, the phase relationship between the first and second RF signals provided by the RF power supply 422 can be adjustable between 0 and 360°. In some implementations, RF supply 422 may include two individual RF power supplies 422a and 422b that are phase locked to each other.

在一些實施中,第一RF電源驅動第一總線732,且第二總線742連接到RF接地。在這種情況下,第二總線742和連接到第二總線742的偶數組絲可以用作RF電流返迴路徑。In some implementations, the first RF power supply drives the first bus 732 and the second bus 742 is connected to RF ground. In this case, the second bus 742 and the even array of wires connected to the second bus 742 may serve as an RF current return path.

在一些實施中,第一RF電源在第一端752處驅動第一總線732,以及第二RF電源在第二端753處驅動第一總線732,以及第二總線742連接到RF接地。In some implementations, a first RF power source drives the first bus 732 at a first terminal 752, and a second RF power source drives the first bus 732 at a second terminal 753, and the second bus 742 is connected to RF ground.

在一些實施中,第一RF電源驅動第一總線732,且第二RF電源驅動第二總線742。在這種情況下,電極組件702可以主要用作CCP電漿源。RF電流返迴路徑可由腔室主體102、頂部電極108、楔形頂部電極624或工件支撐電極116提供。In some implementations, a first RF power source drives the first bus 732 and a second RF power source drives the second bus 742 . In this case, the electrode assembly 702 may serve primarily as a source of CCP plasma. The RF current return path may be provided by the chamber body 102 , the top electrode 108 , the wedge-shaped top electrode 624 , or the workpiece support electrode 116 .

參考圖7C,類似於電極組件600的電極組件704具有單一總線734。總線734電連接到絲710的兩端。Referring to FIG. 7C , an electrode assembly 704 similar to electrode assembly 600 has a single bus 734 . Bus 734 is electrically connected to both ends of wire 710 .

在一些實施中,第一RF電源驅動第一總線734。第一總線734可以具有第一端754和第二端755,以及在一些實施中,第一RF電源在第一端754處驅動第一總線734,及第二RF電源在第二端755處驅動第一總線734。在這樣的配置中,電極組件可以主要用作CCP電漿源。RF電流返迴路徑可由腔室主體102、頂部電極108、楔形頂部電極624或工件支撐電極116提供。In some implementations, the first RF power source drives the first bus 734 . The first bus 734 can have a first end 754 and a second end 755, and in some implementations, a first RF power source drives the first bus 734 at the first end 754 and a second RF power source drives the second end 755. The first bus 734 . In such a configuration, the electrode assembly can serve primarily as a source of CCP plasma. The RF current return path may be provided by the chamber body 102 , the top electrode 108 , the wedge-shaped top electrode 624 , or the workpiece support electrode 116 .

參考圖7D,類似於電極組件600的電極組件706具有第一總線736和第二總線746。第一總線736和第二總線746電連接到電極組件706的絲710的個別的相對端。第一RF電源在驅動點756處驅動第一總線736。第二總線746可以連接到RF接地。Referring to FIG. 7D , an electrode assembly 706 similar to electrode assembly 600 has a first bus 736 and a second bus 746 . The first bus 736 and the second bus 746 are electrically connected to respective opposite ends of the wire 710 of the electrode assembly 706 . The first RF power source drives the first bus 736 at drive point 756 . The second bus 746 may be connected to RF ground.

第一RF電源產生的第一RF信號可通過各種RF損耗源衰減。例如,由於導體的有限導電性或者由於形成傳輸線的介電材料導致的介電損耗正切(loss tangent),形成總線736的RF傳輸線是有損耗的。作為另一個實例,RF傳輸線的電漿負載影響RF損耗。因此,在沿著RF信號的傳播方向的不同位置處連接的絲710可能經歷不同的RF信號幅度。例如,參考圖7A,在第一端750處發射的RF信號將隨著其沿第一總線730的長度向下傳播而衰減。如此一來,第二端751附近的絲710處的RF信號幅度將小於RF信號正在發射的第一端750附近的絲710處的RF信號幅度。The first RF signal generated by the first RF power supply can be attenuated by various sources of RF loss. For example, the RF transmission lines forming the bus 736 are lossy due to the finite conductivity of the conductors or due to a dielectric loss tangent due to the dielectric material forming the transmission lines. As another example, plasmonic loading of RF transmission lines affects RF losses. Accordingly, wires 710 connected at different locations along the direction of propagation of the RF signal may experience different RF signal amplitudes. For example, referring to FIG. 7A , an RF signal transmitted at first end 750 will attenuate as it propagates down the length of first bus 730 . As such, the amplitude of the RF signal at the wire 710 near the second end 751 will be less than the amplitude of the RF signal at the wire 710 near the first end 750 where the RF signal is being transmitted.

由於不完美的RF阻抗匹配/終端而由RF信號的反射產生的駐波也可能沿著第一總線730的長度產生RF信號幅度的不均勻性。例如,一旦到達第二端751時在第一端750處發射的RF信號可能由於缺少阻抗匹配終端而被反射回第一端750,從而沿著第一總線730的長度產生駐波。Standing waves generated by reflections of the RF signal due to imperfect RF impedance matching/termination may also create non-uniformities in RF signal amplitude along the length of the first bus 730 . For example, an RF signal transmitted at the first end 750 once reaching the second end 751 may be reflected back to the first end 750 due to the lack of an impedance matching termination, thereby creating a standing wave along the length of the first bus 730 .

橫跨過第一總線730的長度的RF信號幅度的這種不均勻性可能引起電漿不均勻性。Such non-uniformity in RF signal amplitude across the length of first bus 730 may cause plasma non-uniformity.

藉由使用遞迴式RF饋送結構,可以減小橫跨第一總線730上的RF信號幅度的不均勻性。參考回圖7D,第一總線736經配置形成遞迴式RF饋送結構,以將由第一RF電源產生的第一RF信號傳遞到絲710,使得對於所有的絲710,從驅動點756到各自絲710的信號路徑長度以及RF信號經歷的損耗是近似相等的。這種近似相等的路徑長度可以使在絲710的被驅動端(driven end,即連接到第一總線736的端)處能夠有近似相等的RF信號幅度。在一些實施中,通過配置遞迴式RF饋送結構使得結構的每一分支(bracnch)連接到近似相等的總長度的絲,來進一步減輕RF信號幅度的不均勻性。例如,從左到右分別將7、6、5、4個絲連接到遞迴式RF饋送結構的個別分支。當電極組件706作為ICP源操作時,每個分支的這種近似相等的總長度可助於改善均勻性。在一些實施中,饋電結構的各層級(level)遞迴由相應的接地平面屏蔽,以及穿透接地平面的垂直通孔連接結構的相應層級。By using a recursive RF feed structure, the non-uniformity of the RF signal amplitude across the first bus 730 can be reduced. Referring back to FIG. 7D, the first bus 736 is configured to form a recursive RF feed structure to deliver the first RF signal generated by the first RF power source to the wires 710 such that, for all wires 710, from the drive point 756 to the respective wire The signal path length of 710 and the loss experienced by the RF signal are approximately equal. Such approximately equal path lengths may enable approximately equal RF signal amplitudes at the driven end (ie, the end connected to the first bus 736 ) of the wire 710 . In some implementations, RF signal amplitude non-uniformity is further mitigated by configuring the recursive RF feed structure such that each bracnch of the structure is connected to an approximately equal overall length of wire. For example, from left to right, 7, 6, 5, 4 wires are respectively connected to the individual branches of the recursive RF feed structure. This approximately equal overall length of each branch can help improve uniformity when the electrode assembly 706 is operating as an ICP source. In some implementations, each level of the feed structure is shielded back by a corresponding ground plane, and a corresponding level of vertical via connection structure penetrates the ground plane.

在電極組件由兩個RF信號源驅動的情況下,各種因素影響所產生的電漿區域的形狀。因素的實例包括兩個RF信號的頻率和相位關係。參考圖7B,例如,當驅動第一總線732和第二總線742的第一和第二RF信號的頻率相同且相位差被設定為0度(「單極」或「單端」)時,電漿區域從共面絲710之間的間隙中被推出,而導致不連續或不均勻,例如,在圓柱形殼之間的間距很小的一些情況下。當驅動相鄰共面絲710的RF信號的相位差被設定為180度(『差分(differential)』)時,電漿區域被更強地限制在共面絲710之間。0到360度之間的任何相位差都可以用於影響電漿區域的形狀。In cases where the electrode assembly is driven by two RF signal sources, various factors affect the shape of the resulting plasma region. Examples of factors include the frequency and phase relationship of the two RF signals. Referring to FIG. 7B, for example, when the frequencies of the first and second RF signals driving the first bus 732 and the second bus 742 are the same and the phase difference is set to 0 degrees (“unipolar” or “single-ended”), the electrical Slurry regions are pushed out of the gaps between coplanar filaments 710, resulting in discontinuities or inhomogeneities, eg, in some cases where the spacing between cylindrical shells is small. When the phase difference of the RF signals driving adjacent coplanar filaments 710 is set to 180 degrees (“differential”), the plasmonic region is more strongly confined between the coplanar filaments 710 . Any phase difference between 0 and 360 degrees can be used to affect the shape of the plasma region.

一般來說,工件支撐電極116的接地是影響電漿區域的形狀的因素。電極116的不完美的RF接地結合驅動相鄰的共面絲的RF信號之間的0度相位差將電漿區域推向頂部間隙。然而,如果用具有180度相位差的RF信號驅動相鄰的共面絲(如共面絲),則所得到的電漿分佈對電極116的不完美RF接地的敏感度要小得多。不受任何特定理論的限制,這可能是因為由於驅動信號的差分特性使得RF電流透過相鄰電極返回。In general, the grounding of the workpiece support electrode 116 is a factor that affects the shape of the plasma region. The imperfect RF grounding of electrode 116 combined with the 0 degree phase difference between the RF signals driving adjacent coplanar filaments pushes the plasma region towards the top gap. However, if adjacent coplanar filaments (eg, coplanar filaments) are driven with RF signals having a 180 degree phase difference, the resulting plasma distribution is much less sensitive to imperfect RF grounding of the electrode 116 . Without being bound by any particular theory, this may be due to the RF current returning through adjacent electrodes due to the differential nature of the drive signal.

可以使用以各種配置方式耦接到電極組件的各個位置的RF開關來動態地改變前述電極組件(如400、500、502、504、600、601、700、702和704)的電氣配置和特性。The electrical configuration and characteristics of the aforementioned electrode assemblies (eg, 400, 500, 502, 504, 600, 601, 700, 702, and 704) can be dynamically changed using RF switches coupled to various positions of the electrode assembly in various configurations.

參考圖8A,電極組件800包括絲810、第一總線820和第二總線824。如圖所示,總線820和824可以具有相應的第三端821和相應的第四端822。除非另有說明之外,否則絲810類似於絲610和300。每個絲810具有相應的第一端811和相應的第二端812。第一總線820和第二總線824可以位於腔室主體102的內部、在腔室頂板中或在腔室外部,以及可以在絲810的個別端到沿著總線820和824的(如沿著總線820和824的長度)各個位置處之間形成電連接。Referring to FIG. 8A , an electrode assembly 800 includes a wire 810 , a first bus 820 and a second bus 824 . As shown, the buses 820 and 824 may have a respective third end 821 and a respective fourth end 822 . Wire 810 is similar to wires 610 and 300 unless otherwise noted. Each wire 810 has a respective first end 811 and a respective second end 812 . The first bus 820 and the second bus 824 can be located inside the chamber body 102, in the chamber ceiling, or outside the chamber, and can be between individual ends of the wire 810 and along the buses 820 and 824 (such as along the bus The lengths of 820 and 824) form electrical connections between the various locations.

絲810可分成第一多重(multiplicity)816絲和第二多重817絲。在一些實施中,第一多重816和第二多重817的絲810可以沿垂直於其縱軸的方向以交替的模式佈置,使得共面絲在第一組和第二組之間交替,如圖所示。The wire 810 can be divided into a first multiplicity 816 wire and a second multiplicity 817 wire. In some implementations, the filaments 810 of the first multiplicity 816 and the second multiplicity 817 can be arranged in an alternating pattern along a direction perpendicular to their longitudinal axes such that the coplanar filaments alternate between the first and second groups, as the picture shows.

第一多重816的絲的第一端811可以耦接到第一總線820。第二多重817的絲的第一端811可以耦接到第二總線822。可以使用簡單的導線或金屬帶(如果長度相對於RF頻率的一小部分波長短)、或者通過使用RF傳輸線(如同軸電纜)來實現絲810和總線之間的耦接。A first end 811 of a wire of the first multiplicity 816 may be coupled to a first bus 820 . The first end 811 of the wire of the second multiplicity 817 may be coupled to the second bus 822 . The coupling between the wire 810 and the bus can be achieved using simple wires or metal strips (if the length is short relative to a fraction of the wavelength of the RF frequency), or by using RF transmission lines such as coaxial cables.

在一些實施中,電極組件800另外包括第三總線826和第四總線828。在這樣的實施中,第一多重816的絲的第二端812可以耦接到第三總線824。第二多重817的絲的第二端812可以耦接到第四總線826。In some implementations, the electrode assembly 800 additionally includes a third bus 826 and a fourth bus 828 . In such an implementation, the second end 812 of the wire of the first multiplicity 816 can be coupled to the third bus 824 . The second end 812 of the wire of the second multiplicity 817 may be coupled to a fourth bus 826 .

總線820、824、826和828經配置電耦接到與其耦接的個別絲810。形成總線的RF傳輸線可具有與RF頻率的波長的顯著部分相當或更大的長度(如>1/10波長),以及由於有意的絲陣列的電漿負載而具有損耗,即RF功率的吸收。因此,沿著RF信號的傳播方向在不同位置處連接的絲810可能經歷不同的RF信號幅度。例如,在第一總線820的第三端821處發射的RF信號將隨著其沿第一總線820的長度向下傳播而衰減。如此一來,第二端822附近的絲810處的RF信號幅度將小於RF信號正在發射的第一端821附近的絲810處的RF信號幅度。橫跨過第一總線820或824的長度上的RF信號幅度的這種不均勻性可能引起電漿不均勻性。Buses 820, 824, 826, and 828 are configured to be electrically coupled to the individual wires 810 to which they are coupled. The RF transmission lines forming the bus may have lengths comparable to or greater than a significant fraction of the wavelength of the RF frequency (eg >1/10 wavelength), and have losses, ie absorption of RF power, due to intentional plasmonic loading of the wire array. Accordingly, wires 810 connected at different locations along the direction of propagation of the RF signal may experience different RF signal amplitudes. For example, an RF signal transmitted at the third end 821 of the first bus 820 will attenuate as it propagates down the length of the first bus 820 . As such, the amplitude of the RF signal at the wire 810 near the second end 822 will be less than the amplitude of the RF signal at the wire 810 near the first end 821 where the RF signal is being transmitted. Such non-uniformity in RF signal amplitude across the length of the first bus 820 or 824 may cause plasma non-uniformity.

一般來說,電極組件800在相當大的區域上產生的電漿區域可能包含電漿密度的顯著(substantial)不均勻性。例如,對於40cm長×40cm寬的電漿區域,可在13.56MHz和60MHz的RF信號頻率之間觀察到電漿均勻性的顯著差異。當以較低頻率(如13.56MHz)驅動時,電漿密度可從端811與812遠離而往絲810的中心部分減小。然而,沿垂直於絲縱軸的方向,電漿密度的時間平均保持實質空間均勻。當以更高的頻率(如60MHz)驅動時,電漿密度同時沿著絲以及垂直於絲的縱軸變得更不均勻。例如,可沿兩個方向形成局部最大值和最小值的週期性分佈。不希望受理論束縛,這種不均勻性的圖案可能至少部分地由駐波的存在所引起。In general, the plasma region generated by the electrode assembly 800 may contain substantial inhomogeneities in plasma density over a substantial area. For example, for a plasma region of 40 cm long by 40 cm wide, a significant difference in plasma uniformity can be observed between RF signal frequencies of 13.56 MHz and 60 MHz. When driven at a lower frequency (eg, 13.56 MHz), the plasma density may decrease away from the ends 811 and 812 toward the central portion of the filament 810 . However, along the direction perpendicular to the longitudinal axis of the filament, the temporal average of the plasma density remains substantially spatially uniform. When driven at a higher frequency (eg 60 MHz), the plasma density becomes more non-uniform both along the filament and perpendicular to the longitudinal axis of the filament. For example, a periodic distribution of local maxima and minima can be formed along two directions. Without wishing to be bound by theory, this pattern of non-uniformity may be at least partially caused by the presence of standing waves.

藉由使用RF開關動態地改變電極組件800的電特性,可能能夠減輕這種不均勻性。還可能能夠有意地在電壓信號中引入不均勻性以補償工件中的其他非均勻性源,例如,不均勻的層厚度、或電漿密度(如不均勻的氣體分佈)。This non-uniformity may be able to be mitigated by dynamically changing the electrical characteristics of the electrode assembly 800 using RF switches. It may also be possible to intentionally introduce inhomogeneity in the voltage signal to compensate for other sources of inhomogeneity in the workpiece, eg non-uniform layer thickness, or plasma density (eg non-uniform gas distribution).

參考圖8B,切換式電極系統802包括第一RF開關830、第二RF開關834、第三RF開關836、第四RF開關838、第一分接頭840和第二分接頭842。一般來說,第一和第二分接頭840和842可以連接到各種信號和電位以產生電漿,例如連接到第一和第二RF信號、RF接地。Referring to FIG. 8B , switched electrode system 802 includes a first RF switch 830 , a second RF switch 834 , a third RF switch 836 , a fourth RF switch 838 , a first tap 840 and a second tap 842 . In general, the first and second taps 840 and 842 can be connected to various signals and potentials to generate the plasma, eg, to first and second RF signals, RF ground.

每個RF開關包括第一終端831和第二終端832。一般來說,RF開關830雙向操作,以及第一和第二端831和832不依賴於RF開關的特定物理終端,而是用於代表RF開關的兩個不同終端。可以使用各種RF開關部件來提供RF開關830、834、836和838。RF開關部件的實例包括機械繼電器或開關、PIN二極體、可飽和電感器/反應器、MOSFET、包括這些部件的電子電路,以及當與具有可調RF信號頻率的RF功率產生器組合時的頻率相依的阻抗電路。Each RF switch includes a first terminal 831 and a second terminal 832 . In general, the RF switch 830 operates bi-directionally, and the first and second terminals 831 and 832 are not tied to specific physical terminals of the RF switch, but are used to represent two different terminals of the RF switch. RF switches 830, 834, 836, and 838 may be provided using various RF switch components. Examples of RF switching components include mechanical relays or switches, PIN diodes, saturable inductors/reactors, MOSFETs, electronic circuits that include these components, and when combined with RF power generators with adjustable RF signal frequency Frequency-dependent impedance circuits.

一般來說,第一和第二分接頭840和842可沿著總線820、824、826和828的個別長度定位,例如,在總線的中間。在一些實施中,第一分接頭840位於第一總線820的中間,第二分接頭842位於第四總線828的中間。In general, the first and second taps 840 and 842 may be positioned along respective lengths of the buses 820, 824, 826, and 828, eg, in the middle of the buses. In some implementations, the first tap 840 is located in the middle of the first bus 820 and the second tap 842 is located in the middle of the fourth bus 828 .

在一些實施中,第一和第二分接頭840和842由具有相同頻率(如60MHz)且具有相對相位差為180度的兩個RF信號差分地驅動。In some implementations, the first and second taps 840 and 842 are differentially driven by two RF signals having the same frequency (eg, 60 MHz) and having a relative phase difference of 180 degrees.

一般來說,RF開關的第一和第二終端831和832可以以各種方式耦接到總線以實現各種效果。例如,RF開關830、834、836和838的個別第一終端連接到總線820、824、826和828的端,如圖所示。在這樣的配置中,RF開關830、834、836和838中的任何一個的關閉使總線的相應端(「角落(corner)」)電連接或「短路」。角落的短路可能導致該位置處的RF反射係數變化,使得短路的角落附近的絲810的局部區域處的RF信號幅度和功率耦接減小,從而減少局部電漿的產生。角落的短路亦可能移動和/或改變電漿密度中的最大值和最小值的空間分佈。In general, the first and second terminals 831 and 832 of the RF switch can be coupled to the bus in various ways to achieve various effects. For example, respective first terminals of RF switches 830, 834, 836, and 838 are connected to terminals of buses 820, 824, 826, and 828, as shown. In such a configuration, closing of any of the RF switches 830, 834, 836, and 838 electrically connects or "shorts" the corresponding ends ("corners") of the bus. A short circuit at a corner may cause a change in the RF reflection coefficient at that location such that RF signal amplitude and power coupling at a localized region of wire 810 near the shorted corner is reduced, thereby reducing localized plasma generation. Shorting of the corners may also shift and/or change the spatial distribution of maxima and minima in the plasma density.

一般來說,電連接和耦接可以由導線、同軸電纜、波導或通過物理接觸(如鍛接、焊接、單件製造)提供。In general, electrical connections and couplings can be provided by wires, coaxial cables, waveguides, or by physical contact (eg, swaging, soldering, one-piece fabrication).

一般來說,藉由電漿暴露的時間平均可以改善工件的製程均勻性。實現電漿暴露的時間平均的一種方式是透過移動電漿區域中的不均勻性的空間分佈。例如,藉由開啟和關閉(「調變」)耦接到電極組件的四個角落的RF開關,可以移動電漿密度分佈(非均勻性)。In general, process uniformity of workpieces can be improved by time averaging of plasma exposure. One way to achieve temporal averaging of plasma exposure is through the spatial distribution of inhomogeneities in the moving plasma region. For example, by turning on and off ("modulating") RF switches coupled to the four corners of the electrode assembly, the plasma density distribution (non-uniformity) can be shifted.

可以以各種方式調變RF開關830、834、836和838,以實現所需的時間平均電漿密度。用於調變RF開關的程序的實例是循環地連接不同總線上的點對。例如,系統可以如下操作:(1)關閉RF開關830達第一持續時間然後開啟,(2)關閉RF開關834達第二持續時間,然後開啟,(3)關閉RF開關836達第三持續時間,然後開啟,(4)關閉RF開關838達第四持續時間。可以基於所需的程序重複率來決定第一至第四持續時間。例如,可以將重複率設置為比某些作用(如裝置充電)的時間尺度快得多。例如,在具有4個狀態的程序中,可以將包括空滯時間的各個狀態持續時間設置為50μs,以實現5kHz的重複率。RF switches 830, 834, 836, and 838 can be modulated in various ways to achieve a desired time-averaged plasma density. An example of a procedure for modulating an RF switch is to cyclically connect pairs of points on different buses. For example, the system may operate as follows: (1) close RF switch 830 for a first duration and then open, (2) close RF switch 834 for a second duration and then open, (3) close RF switch 836 for a third duration , then turn on, (4) turn off the RF switch 838 for a fourth duration. The first through fourth durations may be determined based on a desired program repetition rate. For example, the repetition rate can be set to be much faster than the timescale of certain actions, such as device charging. For example, in a program with 4 states, the duration of each state including dead time can be set to 50 µs to achieve a repetition rate of 5 kHz.

在一些實施中,空滯時間插入程序的步驟之間。空滯時間可提供「先切斷後連接(break before make)」的接觸以防止在某些配置中兩個或更多個產生器短路。在一些實施中,開關的關閉可以在時間上重疊。例如,可以同步調變兩個開關,例如成對的對角開關(830-838、834-836),成對的相鄰開關(830-834和836-838、832-836和834-838)。作為另一個實例,可以同步開啟和關閉所有四個開關。In some implementations, dead time is inserted between steps of the procedure. Dead time provides a "break before make" contact to prevent shorting of two or more generators in certain configurations. In some implementations, the closing of the switches may overlap in time. For example, two switches can be modulated simultaneously, such as pairs of diagonal switches (830-838, 834-836), pairs of adjacent switches (830-834 and 836-838, 832-836 and 834-838) . As another example, all four switches can be turned on and off simultaneously.

參考圖8C,表示切換式電極系統804的實例。除非另有說明之外,否則切換式電極系統804類似於系統802。切換式電極系統804包括第一RF開關組850、第二RF開關組854、第三RF開關組856和第四RF開關組858。第一RF開關組850包括子開關860a和860b,第二RF開關組854包括子開關860c和860d,第三RF開關組836包括子開關860e和860f,以及第四RF開關組838包括子開關860g和860h。子開關類似於RF開關830。Referring to Figure 8C, an example of a switched electrode system 804 is shown. Switched electrode system 804 is similar to system 802 unless otherwise noted. The switched electrode system 804 includes a first RF switch set 850 , a second RF switch set 854 , a third RF switch set 856 , and a fourth RF switch set 858 . The first RF switch group 850 includes subswitches 860a and 860b, the second RF switch group 854 includes subswitches 860c and 860d, the third RF switch group 836 includes subswitches 860e and 860f, and the fourth RF switch group 838 includes subswitches 860g. and 860h. The sub-switch is similar to RF switch 830 .

子開關的第一終端831連接到總線820、824、826和828的端。在一些實施中,子開關的第二終端832連接到RF接地。在這樣的配置中,任何一個子開關的關閉將總線的個別端電耦接到RF接地或者使總線的端接地。總線的端的接地可能使得總線的RF接地端附近的絲810的局部區域中的RF信號幅度減小,以及導致該區域中電場的幅度減小或者功率耦接較低。電場的幅度減小可能導致該區域中的電漿產生減少。A first terminal 831 of the sub-switch is connected to terminals of the buses 820 , 824 , 826 and 828 . In some implementations, the second terminal 832 of the sub-switch is connected to RF ground. In such a configuration, closure of any one sub-switch electrically couples the respective end of the bus to RF ground or grounds the end of the bus. Grounding of the ends of the bus may reduce the RF signal amplitude in a localized region of the wire 810 near the RF ground end of the bus, and result in a reduced magnitude of the electric field or lower power coupling in that region. A reduction in the magnitude of the electric field may result in reduced plasma generation in this region.

可以以各種方式調變RF開關組和個別子開關,以提供電漿密度分佈的調變。例如,每個RF開關組可以作為單一單元操作,其中該RF開關組的子開關作為單一單元來開啟和關閉。作為另一個實例,每個RF開關組的子開關可以獨立地開啟和關閉。The bank of RF switches and individual sub-switches can be modulated in various ways to provide modulation of the plasma density distribution. For example, each RF switch bank may operate as a single unit, wherein the sub-switches of the RF switch bank are turned on and off as a single unit. As another example, the sub-switches of each RF switch bank can be turned on and off independently.

可以以與關於圖8B所述的各種程序類似的方式,以各種不同的程序來調變開關。例如,可以藉由以下方式來操作切換式電極系統:一次(可選擇性具有時間延遲)循環地關閉一個開關組,在不同組關閉的時間內循環地關閉開關組,交替開關組,或者同步開啟和關閉所有開關。The switches may be modulated in various different programs in a manner similar to the various programs described with respect to FIG. 8B. For example, a switched electrode system can be operated by cyclically closing one switch group at a time (optionally with a time delay), cyclically closing switch groups at times when different groups are off, alternating switch groups, or simultaneously switching on and turn off all switches.

作為另一實例,系統可以如下操作:(1)關閉第一和第三RF開關組850和856達第一持續時間然後開啟,(2)開啟所有開關,(3)關閉第二和第四RF開關組854和858達第二持續時間然後開啟。As another example, the system may operate as follows: (1) turn off the first and third RF switch sets 850 and 856 for a first duration and then turn on, (2) turn on all switches, (3) turn off the second and fourth RF Switch sets 854 and 858 are turned on for a second duration and then on.

作為又一實例,系統可以如下操作:(1)關閉第一開關組850達第一持續時間然後開啟,(2)關閉第二開關組854達第二持續時間然後開啟,(3)關閉第三開關組856達第三持續時間然後開啟,(4)關閉第四開關組858達第四持續時間然後開啟,(5)開啟所有開關組,(6)關閉所有開關組。As yet another example, the system may operate as follows: (1) close the first switch group 850 for a first duration and then turn on, (2) close the second switch group 854 for a second duration and then turn on, (3) close the third Switch group 856 is on for a third duration and then on, (4) fourth switch group 858 is off for a fourth duration and then on, (5) all switch groups are on, (6) all switch groups are off.

在一些實施中,可以使用RF開關動態地重新配置RF信號到總線上的各個位置的饋送。參考圖8D,表示切換式電極系統806的實例。除非另有說明之外,否則切換式電極系統806類似於系統804,且可以以類似的方式操作。In some implementations, RF switches can be used to dynamically reconfigure the feeding of RF signals to various locations on the bus. Referring to Figure 8D, an example of a switched electrode system 806 is shown. Unless otherwise noted, switched electrode system 806 is similar to system 804 and can operate in a similar manner.

在分接頭844和846處用RF信號驅動第一多重816。驅動分接頭844和846的RF信號可以為相同頻率或不同頻率。對於頻率相同的情況,兩個信號的相位關係可以是0、180或0到360之間的任何值。對於某些實施,可以隨時間調變相位關係。如圖所示,子開關860a、860c、860f和860h的第二終端832連接到相應的分接頭844和846。The first multiplex 816 is driven with an RF signal at taps 844 and 846 . The RF signals driving taps 844 and 846 may be the same frequency or different frequencies. The phase relationship of the two signals can be 0, 180, or any value between 0 and 360 for the case of the same frequency. For some implementations, the phase relationship can be modulated over time. Second terminals 832 of sub-switches 860a, 860c, 860f and 860h are connected to respective taps 844 and 846 as shown.

在這樣的配置中,可以使用相應的子開關來調變第二多重817的接地特性,以及RF信號可以從不同的位置(例如從端821和822)發射到總線820和826。接地特性和RF信號分佈的調變的組合可以用於調變電漿密度,以藉由時間平均來改善處理均勻性。In such a configuration, the ground characteristics of the second multiplex 817 can be modulated using corresponding sub-switches, and RF signals can be transmitted to the buses 820 and 826 from different locations (eg, from terminals 821 and 822 ). A combination of grounding characteristics and modulation of the RF signal distribution can be used to modulate plasma density to improve process uniformity by time averaging.

在這樣的配置中,將子開關860中的至少一個保持在關閉狀態以向組件800提供連續的RF信號供應可能是有利的。In such a configuration, it may be advantageous to maintain at least one of the sub-switches 860 in a closed state to provide a continuous supply of RF signals to the assembly 800 .

參考圖8E,表示切換式電極系統808的實例。除非另有說明之外,否則切換式電極系統808類似於系統804,以及可以以類似的方式操作。子開關的第二終端832連接到單一分接頭848。如圖所示的對稱分配網路可以用於改善傳遞到系統808的四個角落的RF信號的均勻性。可以以先前描述的各種方式調變子開關以改變電漿分佈並改善處理均勻性。Referring to Figure 8E, an example of a switched electrode system 808 is shown. Unless otherwise noted, switched electrode system 808 is similar to system 804 and may operate in a similar manner. The second terminal 832 of the sub-switch is connected to a single tap 848 . A symmetrical distribution network as shown can be used to improve the uniformity of the RF signal delivered to the four corners of the system 808 . The sub-switches can be modulated in various ways previously described to change plasma distribution and improve process uniformity.

在一些實施中,開關可以跨總線分佈,以允許更精細地控制瞬時電漿均勻性,從而改善時間平均電漿均勻性。參考圖8F,表示切換式電極系統801的實例。除非另有說明之外,否則切換式電極系統801類似於系統808,以及可以以類似的方式操作。第一總線820耦接到第一RF開關組870,如三個或更多個子開關。每個RF開關組包括多個子開關860。第一RF開關組870的子開關860的第一終端在橫跨第一總線820的長度上的各個位置處電耦接到第一總線。在一些實施中,耦接點近似等間距,如圖所示。第一RF開關組870的子開關860的第二終端電耦接到分接頭848以接收RF信號。In some implementations, switches can be distributed across the bus to allow finer control of the instantaneous plasma uniformity, thereby improving time-averaged plasma uniformity. Referring to Figure 8F, an example of a switched electrode system 801 is shown. Unless otherwise noted, switched electrode system 801 is similar to system 808 and may operate in a similar manner. The first bus 820 is coupled to a first RF switch group 870, such as three or more sub-switches. Each RF switch bank includes a plurality of sub-switches 860 . The first terminals of the sub-switches 860 of the first RF switch bank 870 are electrically coupled to the first bus at various locations across the length of the first bus 820 . In some implementations, the coupling points are approximately equally spaced, as shown. A second terminal of the sub-switch 860 of the first RF switch bank 870 is electrically coupled to the tap 848 to receive an RF signal.

第二、第三和第四總線824、826和828分別連接到第二、第三和第四RF開關組874、876和878,其各自都以類似於第一總線820和第一RF開關組870的方式連接。The second, third, and fourth buses 824, 826, and 828 are connected to second, third, and fourth RF switch banks 874, 876, and 878, respectively, each in a manner similar to that of the first bus 820 and the first RF switch bank. 870 way to connect.

在這樣的配置中,對沿著總線的長度的RF信號的發射位置的額外層級控制可以使得改善的時間平均電漿均勻性。In such a configuration, the additional level of control over where the RF signals are transmitted along the length of the bus can result in improved time-averaged plasma uniformity.

一般來說,可以基於例如總線的長度、電漿區域的大小、RF信號頻率和功率以及腔室壓力來決定RF開關組中所包含的子開關的數量。In general, the number of sub-switches included in an RF switch bank can be determined based on, for example, the length of the bus, the size of the plasma region, the frequency and power of the RF signal, and the chamber pressure.

在一些實施中,可以使用RF開關動態地重新配置RF信號饋送和接地位置以提供一種模式可選的電漿源,該模式可選的電漿源可以在主要CCP模式與主要ICP模式之間切換。參考圖9A,表示切換式電極系統900的實例。除非另有說明之外,否則切換式電極系統900類似於系統802以及可以以類似的方式操作。RF開關830和834的第一終端831連接到第二總線824的相應第三端821和第四端822,以及RF開關836和838的第一終端831連接到第三總線826的相應第三端821和第四端822,如圖所示。第二終端832連接到RF接地。In some implementations, an RF switch can be used to dynamically reconfigure the RF signal feed and ground locations to provide a mode-selectable plasma source that can be switched between a primary CCP mode and a primary ICP mode . Referring to Figure 9A, an example of a switched electrode system 900 is shown. Unless otherwise noted, switched electrode system 900 is similar to system 802 and may operate in a similar manner. The first terminals 831 of the RF switches 830 and 834 are connected to the corresponding third terminal 821 and the fourth terminal 822 of the second bus 824, and the first terminals 831 of the RF switches 836 and 838 are connected to the corresponding third terminals of the third bus 826 821 and the fourth end 822, as shown in the figure. The second terminal 832 is connected to RF ground.

可以以各種方式控制RF開關830、834、836和838,以通過切換式電極組件900改變電漿產生的主要模式。例如,藉由關閉所有四個RF開關,RF電流沿著絲810的長度流動,產生磁場並產生主要電感耦合的電漿。藉由開啟所有四個開關,RF電流減小,以及組件900產生主要電容耦合的電漿。RF switches 830 , 834 , 836 , and 838 can be controlled in various ways to change the dominant mode of plasma generation by switched electrode assembly 900 . For example, by closing all four RF switches, RF current flows along the length of the wire 810, creating a magnetic field and generating a predominantly inductively coupled plasma. By turning on all four switches, the RF current is reduced and the assembly 900 generates a predominantly capacitively coupled plasma.

在一些實施中,驅動相應的分接頭840和842的第一和第二RF信號具有180度的相位差,即差分驅動。在這種情況下,從具有約180度相位差的RF信號的相對的兩端饋送屬於第一和第二多重816和817的交替絲810,導致產生輔助RF磁場。在一些實施中,驅動相應分接頭840和842的第一和第二RF信號具有約0度的相位差。在這種情況下,從具有約0度相位差的RF信號的相對的兩端饋送屬於第一和第二多重816和817的交替絲810,導致產生相反的RF磁場。In some implementations, the first and second RF signals driving the respective taps 840 and 842 are 180 degrees out of phase, ie, driven differentially. In this case, alternating wires 810 belonging to the first and second multiplexes 816 and 817 are fed from opposite ends of RF signals having a phase difference of about 180 degrees, resulting in the generation of an auxiliary RF magnetic field. In some implementations, the first and second RF signals driving the respective taps 840 and 842 have a phase difference of about 0 degrees. In this case, alternating wires 810 belonging to the first and second multiplexes 816 and 817 are fed from opposite ends of the RF signal with a phase difference of about 0 degrees, resulting in opposite RF magnetic fields.

在一些實施中,開關可以橫跨總線分佈,以允許更精細地控制瞬時電漿均勻性,從而改善時間平均電漿均勻性。參考圖9B,表示切換式電極組件902的實例。除非另有說明之外,否則切換式電極組件902類似於系統801。第一總線820耦接到包括多個子開關860的第一RF開關組870。In some implementations, switches can be distributed across the bus to allow finer control of instantaneous plasma uniformity, thereby improving time-averaged plasma uniformity. Referring to Figure 9B, an example of a switched electrode assembly 902 is shown. Switched electrode assembly 902 is similar to system 801 unless otherwise noted. The first bus 820 is coupled to a first RF switch group 870 including a plurality of sub-switches 860 .

第一RF開關組870的子開關860的第一終端在橫跨第一總線820的長度上的各個位置處電耦接到第一總線。在一些實施中,耦接點近似等間距,如圖所示。第一RF開關組870的子開關860的第二終端電耦接到分接頭940以接收第一RF信號。The first terminals of the sub-switches 860 of the first RF switch bank 870 are electrically coupled to the first bus at various locations across the length of the first bus 820 . In some implementations, the coupling points are approximately equally spaced, as shown. The second terminal of the sub-switch 860 of the first RF switch group 870 is electrically coupled to the tap 940 to receive the first RF signal.

第二總線在子開關的第一終端處連接到第二RF開關組874,以及子開關的第二終端連接到RF接地。The second bus is connected to the second RF switch group 874 at the first terminal of the sub-switch, and the second terminal of the sub-switch is connected to RF ground.

第三總線在子開關860的第一終端處連接到第三RF開關組876,以及第三RF開關組876的子開關860的第二終端832連接到RF接地。The third bus is connected at the first terminal of the sub-switch 860 to the third RF switch group 876, and the second terminal 832 of the sub-switch 860 of the third RF switch group 876 is connected to RF ground.

第四總線在子開關的第一終端處連接到第四RF開關組878,以及子開關的第二終端電耦接到分接頭942以接收第二RF信號。The fourth bus is connected to the fourth RF switch bank 878 at the first terminal of the sub-switch, and the second terminal of the sub-switch is electrically coupled to the tap 942 to receive the second RF signal.

驅動分接頭940和942的第一和第二RF信號可以處於相同頻率或不同頻率。對於頻率相同的情況,兩個信號的相位關係可以是0、180或0到360之間的任何值。對於某些實施,可以隨時間調變相位關係。The first and second RF signals driving taps 940 and 942 may be at the same frequency or different frequencies. The phase relationship of the two signals can be 0, 180, or any value between 0 and 360 for the case of the same frequency. For some implementations, the phase relationship can be modulated over time.

可以以各種方式控制RF開關組870、874、876和878,以通過切換式電極組件902改變電漿產生的主要模式。例如,藉由從第一組870和第四組878中的各者中關閉至少一個子開關,以及開啟第二和第三RF開關組874和876,組件902產生主要電容耦合的電漿。The RF switch sets 870 , 874 , 876 and 878 can be controlled in various ways to change the primary mode of plasma generation by the switched electrode assembly 902 . For example, by closing at least one sub-switch from each of the first set 870 and the fourth set 878, and turning on the second and third RF switch sets 874 and 876, the assembly 902 generates a predominantly capacitively coupled plasma.

作為另一實例,藉由從第一組870和第四組878中的各者中關閉至少一個子開關,以及關閉第二和第三RF開關組874和876的所有子開關,組件902產生主要電感耦合的電漿。在一些實施中,驅動相應分接頭940和942的第一和第二RF信號具有180度的相位差,即差分驅動。在這種情況下,從具有約180度相位差的RF信號的相對的兩端饋送屬於第一和第二多重816和817的交替絲810,導致產生輔助RF磁場。在一些實施中,驅動相應分接頭940和942的第一和第二RF信號具有約0度的相位差。在這種情況下,從具有約0度相位差的RF信號的相對的兩端饋送屬於第一和第二多重816和817的交替絲810,導致產生相反的RF磁場。As another example, the component 902 generates the main Inductively coupled plasma. In some implementations, the first and second RF signals driving the respective taps 940 and 942 are 180 degrees out of phase, ie, driven differentially. In this case, alternating wires 810 belonging to the first and second multiplexes 816 and 817 are fed from opposite ends of RF signals having a phase difference of about 180 degrees, resulting in the generation of an auxiliary RF magnetic field. In some implementations, the first and second RF signals driving respective taps 940 and 942 have a phase difference of about 0 degrees. In this case, alternating wires 810 belonging to the first and second multiplexes 816 and 817 are fed from opposite ends of the RF signal with a phase difference of about 0 degrees, resulting in opposite RF magnetic fields.

在一些處理應用中,使用可以以大致平行於絲的帶的方式將RF功率堆積於電漿中之相反的RF磁場的ICP可提供更均勻的電漿,特別是當工件靠近電漿源(如電極組件)時,即小底部間隙132。因此,具有改變第一和第二RF信號的相位關係的能力可能是有益的。In some processing applications, ICP using opposing RF magnetic fields that can deposit RF power into the plasma in ribbons roughly parallel to the filaments can provide a more uniform plasma, especially when the workpiece is close to the plasma source (such as electrode assembly), that is, a small bottom gap 132 . Therefore, it may be beneficial to have the ability to change the phase relationship of the first and second RF signals.

一般來說,可以調變第一和第四組870和878的個別子開關以改變電漿密度分佈。另外,在切換式電極組件902經配置產生主要電感耦合電漿的情況下,第二和第三組874和876的子開關可以經個別調變以進一步改變電漿密度分佈。In general, individual sub-switches of the first and fourth groups 870 and 878 can be modulated to change the plasma density distribution. Additionally, where the switched electrode assembly 902 is configured to generate primarily inductively coupled plasma, the sub-switches of the second and third sets 874 and 876 can be individually modulated to further alter the plasma density distribution.

一般而言,雖然數字顯示總線在中心附近被驅動以及端浮動或帶有接地終端,但根據應用、RF配置、頻率和工作區域(電漿負載),在其他位置(如被驅動端、端接端或中心)被驅動或端接可能是有利的。In general, while the digital display bus is driven near the center and ends floating or with ground terminations, depending on the application, RF configuration, frequency, and region of operation (plasma loading), there are end or center) may be advantageous to be driven or terminated.

一般來說,在RF開關的第二終端連接到RF接地的情況下,可變阻抗可以串聯放置到RF接地,以提供可變的RF終端阻抗,以進一步控制電漿密度的改變。In general, where the second terminal of the RF switch is connected to RF ground, a variable impedance can be placed in series to RF ground to provide a variable RF termination impedance for further control over changes in plasma density.

一般來說,雖然圖示繪示連接到相應總線的中心的分接頭,但是用於將RF功率施加於電極組件的分接頭可以位於總線上的一個或多個端、中心或其他位置。In general, although the illustrations show taps connected to the center of the respective bus, the taps for applying RF power to the electrode assembly may be located at one or more ends, center, or other locations on the bus.

開關可以用於改善楔形電極組件的時間平均電漿均勻性。參考圖10,表示切換式電極組件1000的實例。切換式電極組件1000包括楔形電極組件1010。除非另有說明之外,否則楔形電極組件1010類似於楔形電極組件704。組件1010包括楔形頂部電極624,楔形頂部電極624可以接地。切換式電極組件1000包括第一RF開關1030、第二RF開關1034、第三RF開關1036、第四RF開關1038和分接頭1040。RF開關類似於RF開關830。RF開關1030和1034的第一終端連接到組件1010的第一端754,以及RF開關1036和1038的第一終端連接到組件1010的第二端755。第一和第四RF開關1030和1038的第二終端彼此連接並連接到分接頭1040,第二和第三RF開關1034和1036的第二終端連接到RF接地。A switch can be used to improve the time-averaged plasma uniformity of the wedge-shaped electrode assembly. Referring to Figure 10, an example of a switched electrode assembly 1000 is shown. Switched electrode assembly 1000 includes a wedge-shaped electrode assembly 1010 . Wedge electrode assembly 1010 is similar to wedge electrode assembly 704 unless otherwise noted. Assembly 1010 includes a wedge-shaped top electrode 624, which may be grounded. The switched electrode assembly 1000 includes a first RF switch 1030 , a second RF switch 1034 , a third RF switch 1036 , a fourth RF switch 1038 and a tap 1040 . The RF switch is similar to RF switch 830 . First terminals of RF switches 1030 and 1034 are connected to first terminal 754 of assembly 1010 , and first terminals of RF switches 1036 and 1038 are connected to second terminal 755 of assembly 1010 . The second terminals of the first and fourth RF switches 1030 and 1038 are connected to each other and to the tap 1040, and the second terminals of the second and third RF switches 1034 and 1036 are connected to RF ground.

可以開啟和關閉第一和第四RF開關1030和1038,以選擇性地將RF信號饋送到組件1010的第一端754、第二端755或兩端。可以開啟和關閉第二和第三RF開關1034和1036,以選擇性地將組件1010的第一端754或第二端755接地。First and fourth RF switches 1030 and 1038 may be turned on and off to selectively feed an RF signal to first end 754 , second end 755 , or both of assembly 1010 . The second and third RF switches 1034 and 1036 can be turned on and off to selectively ground the first end 754 or the second end 755 of the assembly 1010 .

可以以各種方式調變RF開關以改善時間平均的電漿均勻性。以下是程序的實例:(1)關閉RF開關1030達第一持續時間,以及開啟開關1034、1036和1038(如達30微秒),(2)關閉1030、1036,開啟1034、1038(如達40微秒),然後(3)關閉1036,開啟1030、1034和1036(如達30微秒)。可選地,在將RF信號施加於另一端後的短暫延遲之後,無功率(unpowered)端可接地,以及在將RF信號施加於該端之前,該接地端可以不接地。The RF switch can be modulated in various ways to improve time-averaged plasma uniformity. The following is an example of a procedure: (1) close RF switch 1030 for a first duration, and open switches 1034, 1036, and 1038 (eg, for 30 microseconds), (2) close 1030, 1036, open 1034, 1038 (eg, for 40 microseconds), then (3) turn off 1036 and turn on 1030, 1034 and 1036 (for example up to 30 microseconds). Optionally, the unpowered terminal may be grounded after a short delay after the RF signal is applied to the other terminal, and the grounded terminal may be ungrounded before the RF signal is applied to the terminal.

以下是程序的另一個實例:(1)1030=ON,1038、1034、1036=OFF達30微秒,(2)1030、1038=ON,1034、1036=OFF達40微秒,(3)1038=ON,1034、1030、1036=OFF達30微秒,然後重複循環多次,直到製程步驟完成或循環交替反轉。可選地,在向另一端施加功率後的短暫延遲之後,可將無功率端接地,以及在向該端施加功率之前,該接地端可不接地。Here is another example of the program: (1) 1030=ON, 1038, 1034, 1036=OFF for 30 microseconds, (2) 1030, 1038=ON, 1034, 1036=OFF for 40 microseconds, (3) 1038 =ON, 1034, 1030, 1036=OFF for 30 microseconds, and then repeat the cycle several times until the process step is completed or the cycle is reversed alternately. Optionally, the unpowered terminal may be grounded after a short delay after power is applied to the other terminal, and the grounded terminal may be ungrounded before power is applied to that terminal.

一般來說,楔形電極組件1010可以類似於電極。一般來說,開關可以應用於其他電極組件,如600、601、700、702、704。In general, the wedge-shaped electrode assembly 1010 can resemble an electrode. In general, switches can be applied to other electrode assemblies, such as 600, 601, 700, 702, 704.

可以使用各種電路實施來提供適合於切換RF信號以用於電漿生成的RF開關。存在為了實施要在切換式電極系統中使用的RF開關(如RF開關830、子開關860)的各種考慮因素。這些考慮的實例包括RF功率處理能力、開關速度、導通狀態(ON-state)阻抗、斷開狀態(OFF-state)阻抗和雙向性。Various circuit implementations may be used to provide an RF switch suitable for switching RF signals for plasma generation. There are various considerations in order to implement an RF switch (eg, RF switch 830, sub-switch 860) to be used in a switched electrode system. Examples of these considerations include RF power handling capability, switching speed, ON-state impedance, OFF-state impedance, and bidirectionality.

一般來說,當開關的兩個終端之間呈現的阻抗低時,開關被認為處於「導通(ON)」或閉路(closed)狀態,而當阻抗高時,開關被認為處於「斷開(OFF)」或開路(open)狀態。In general, a switch is said to be in the "ON" or closed state when the impedance presented between the two terminals of the switch is low, and in the "OFF" state when the impedance is high. )” or open circuit (open) state.

PIN二極體開關可用於提供合適的RF開關。參考圖11A,PIN二極體開關1100包括PIN二極體1110、具有電容C1的第一電容器1120、具有電容C2的第二電容器1122以及具有電感L1的電感器1140。開關1100具有第一終端1131、第二終端1132和控制終端1134。第一終端1131可以提供第一終端831,而第二終端1132可以提供RF開關830的第二終端832。A PIN diode switch can be used to provide a suitable RF switch. Referring to FIG. 11A , a PIN diode switch 1100 includes a PIN diode 1110 , a first capacitor 1120 having a capacitance C1 , a second capacitor 1122 having a capacitance C2 , and an inductor 1140 having an inductance L1 . The switch 1100 has a first terminal 1131 , a second terminal 1132 and a control terminal 1134 . The first terminal 1131 may provide the first terminal 831 and the second terminal 1132 may provide the second terminal 832 of the RF switch 830 .

第一電容器1120和電感器1150可以並聯連接在第一終端1131和第二電容器1122之間。接著,PIN二極體1110可以與第一電容器1120、電感器1150和第二電容器1122並聯連接在第一終端1131和第二終端1132之間。控制終端1134可以連接在第二電容器和1122與第一電容器1120之間。The first capacitor 1120 and the inductor 1150 may be connected in parallel between the first terminal 1131 and the second capacitor 1122 . Next, the PIN diode 1110 may be connected between the first terminal 1131 and the second terminal 1132 in parallel with the first capacitor 1120 , the inductor 1150 and the second capacitor 1122 . The control terminal 1134 may be connected between the second capacitor sum 1122 and the first capacitor 1120 .

PIN二極體1110是在p型半導體和n型半導體區域之間具有寬的未摻雜的本質半導體區域的二極體,以及可以非常適合於高功率RF信號的快速開關。PIN二極體具有陽極(+)和陰極( - ),以及當在陽極和陰極之間建立正向偏壓時(如> 0.7 V和/或二極體電流>100mA),可以提供用於RF信號的低阻抗傳導路徑,如<1歐姆。PIN diode 1110 is a diode with a wide undoped intrinsic semiconductor region between the p-type semiconductor and n-type semiconductor regions, and can be well suited for fast switching of high power RF signals. A PIN diode has an anode (+) and a cathode (-), and when a forward bias is established between the anode and cathode (eg > 0.7 V and/or diode current > 100mA), it can provide for RF A low-impedance conduction path for signals, such as <1 ohm.

PIN二極體開關1100基於以下工作原理操作。可以藉由向控制終端1134提供控制信號來控制PIN二極體1110的阻抗。控制信號是在第一電平(level,如0.7V)和第二電平(如-2kV)之間切換的準(quasi)靜態電壓。由於控制信號的準靜態特性,控制電壓和任何產生的二極體電流可以通過電感器1140傳導。另外,第二電容器1122阻止控制電壓到達陰極。藉由向陽極提供相對於陰極足夠大的負控制電壓(如-2kV),PIN二極體1110可以被設置為「OFF」狀態,在其陰極和陽極之間呈現高阻抗。當施加足夠大的正控制電壓(如0.7V)時,PIN二極體1110可以被設置為「ON」狀態,為終端1131和1132之間的RF信號呈現低阻抗路徑(如<1歐姆)。。The PIN diode switch 1100 operates based on the following working principle. The impedance of the PIN diode 1110 can be controlled by providing a control signal to the control terminal 1134 . The control signal is a quasi static voltage switched between a first level (eg 0.7V) and a second level (eg -2kV). Due to the quasi-static nature of the control signal, the control voltage and any resulting diode current can be conducted through the inductor 1140 . Additionally, the second capacitor 1122 blocks the control voltage from reaching the cathode. By providing a sufficiently large negative control voltage (eg -2kV) to the anode relative to the cathode, the PIN diode 1110 can be set to an "OFF" state, presenting a high impedance between its cathode and anode. When a sufficiently large positive control voltage (eg, 0.7V) is applied, PIN diode 1110 can be set to an "ON" state, presenting a low impedance path (eg, <1 ohm) for RF signals between terminals 1131 and 1132 . .

如圖所示並聯連接的第一電容器1120和電感器1140形成並聯LC諧振器1150。諧振器1150具有由等式

Figure 02_image001
決定的諧振頻率。在諧振頻率f0 下,諧振器1150呈現接近開路(open circuit,如>1000歐姆)的高阻抗,這取決於諧振器的品質因數。藉由選擇C1和L1的值使得諧振頻率與終端1131或1132處存在的RF信號的頻率對準(align),可以防止RF信號通過諧振器1150。A first capacitor 1120 and an inductor 1140 connected in parallel as shown form a parallel LC resonator 1150 . Resonator 1150 has the equation given by
Figure 02_image001
Determine the resonant frequency. At the resonant frequency f 0 , the resonator 1150 presents a high impedance close to an open circuit (eg, >1000 ohms), which depends on the quality factor of the resonator. By choosing the values of C1 and L1 such that the resonant frequency aligns with the frequency of the RF signal present at terminal 1131 or 1132 , RF signals can be prevented from passing through resonator 1150 .

一般來說,可以設置第二電容器1122的電容C2以在RF信號的頻率下提供低阻抗路徑。In general, the capacitance C2 of the second capacitor 1122 can be set to provide a low impedance path at the frequency of the RF signal.

在一些實施中,第一電容器1120是具有可調電容C1的可變電容器(「電容器」),其可以改變以最佳化第一電容器1120和電感器1140形成的並聯LC電路的諧振,以與RF信號的頻率對準。In some implementations, first capacitor 1120 is a variable capacitor ("capacitor") with an adjustable capacitance C1 that can be changed to optimize the resonance of the parallel LC circuit formed by first capacitor 1120 and inductor 1140 to match Frequency alignment of RF signals.

在一些實施中,可以提供控制信號緩衝放大器1136,以將在控制終端1134處施加的控制信號緩衝和/或放大到PIN二極體1110的陽極。In some implementations, a control signal buffer amplifier 1136 may be provided to buffer and/or amplify the control signal applied at the control terminal 1134 to the anode of the PIN diode 1110 .

一般來說,可組合使用多個PIN二極體開關以在第一和第二終端1131和1132之間達到一範圍的阻抗值。控制信號也可設置在第一和第二電平之間,以提供可變阻抗。In general, multiple PIN diode switches can be used in combination to achieve a range of impedance values between the first and second terminals 1131 and 1132 . The control signal can also be set between the first and second levels to provide variable impedance.

在一些實施中,第一終端1131連接到總線(如總線820),且第二終端1132連接到RF接地,而形成到RF接地的路徑。在一些實施中,第一終端連接到第一總線(如總線820)且第二終端1132連接到第二總線(如總線824),在這種情況下,開關可被視為「浮動的」,第二終端1132的電位由外部因素界定。 In some implementations, the first terminal 1131 is connected to a bus (eg, bus 820 ), and the second terminal 1132 is connected to RF ground, forming a path to RF ground. In some implementations, the first terminal 1132 is connected to a first bus (such as bus 820) and the second terminal 1132 is connected to a second bus (such as bus 824), in which case the switch may be considered "floating," The potential of the second terminal 1132 is defined by external factors.

作為另一實例,可飽和電感器開關可用於提供合適的RF開關。參考圖11B,可飽和電感器開關1102包括可飽和電感器1160、具有電容C1的第一電容器1124和具有電容C2的第二電容器1126。開關1102具有第一終端1131、第二終端1132和控制終端1135。第一終端1131可以提供第一終端831,且第二終端1132可以提供第二終端832。 As another example, a saturable inductor switch can be used to provide a suitable RF switch. Referring to FIG. 11B , the saturable inductor switch 1102 includes a saturable inductor 1160 , a first capacitor 1124 having a capacitance C1 , and a second capacitor 1126 having a capacitance C2 . The switch 1102 has a first terminal 1131 , a second terminal 1132 and a control terminal 1135 . The first terminal 1131 may provide the first terminal 831 , and the second terminal 1132 may provide the second terminal 832 .

可飽和電感器1160具有具電感L1的初級繞組(primary winding)1162和具電感L2的控制繞組1164。在一些文獻中,可飽和電感器也可稱為可飽和感應器或磁放大器。可飽和電感器是一種具有磁芯的電感器,可以使電流流過控制繞組1164而令該磁芯蓄意飽和。一旦飽和,初級繞組1162的電感L1會大幅下降。初級繞組的電感減小使得RF信號的阻抗減小,這可以用於實現開關。 The saturable inductor 1160 has a primary winding 1162 with an inductance L1 and a control winding 1164 with an inductance L2. In some literatures, saturable inductors may also be referred to as saturable inductors or magnetic amplifiers. A saturable inductor is an inductor having a magnetic core that can be intentionally saturated by passing current through the control winding 1164 . Once saturated, the inductance L1 of the primary winding 1162 will drop significantly. The reduced inductance of the primary winding reduces the impedance of the RF signal, which can be used to implement a switch.

電感器1160的初級繞組1162可以與第二電容器1126串聯連接,以及第一電容器1124可以在第一終端1131和第二終端1132之間與初級繞組1162和第二電容器1126並聯連接。控制終端1135連接到控制繞組1164,控制繞組1164可以接著連接到地。Primary winding 1162 of inductor 1160 may be connected in series with second capacitor 1126 , and first capacitor 1124 may be connected in parallel with primary winding 1162 and second capacitor 1126 between first terminal 1131 and second terminal 1132 . Control terminal 1135 is connected to control winding 1164, which may in turn be connected to ground.

可飽和電感器開關1102基於以下工作原理操作。與初級繞組1162和第二電容器1126的串聯組合並聯的第一電容器1124形成並聯的LC諧振器,其與LC諧振器1150類似地操作。例如,可以設定C1、C2和L1的值,使得當控制信號被設置為「OFF」或低狀態時,開關1102的諧振發生在RF信號頻率(如60MHz),在「OFF」或低狀態下沒有電流流過控制繞組1164。在這種狀態下,開關1102處於「開路」狀態,在第一和第二終端1131和1132之間呈現高阻抗。當施加於控制終端1135的控制信號被設置為「ON」或高狀態時,由流過次級繞組1164的電流產生的磁場使可飽和電感器1160的磁芯飽和,從而減小初級繞組1162的電感L1。電感L1的減小改變開關1102的諧振頻率,在相同的RF信號頻率下在第一和第二終端1131和1132之間呈現低阻抗。此低阻抗狀態可以用作開關1102的閉路狀態。The saturable inductor switch 1102 operates based on the following operating principle. First capacitor 1124 in parallel with the series combination of primary winding 1162 and second capacitor 1126 forms a parallel LC resonator that operates similarly to LC resonator 1150 . For example, the values of C1, C2, and L1 can be set so that when the control signal is set to the "OFF" or low state, the resonance of the switch 1102 occurs at the RF signal frequency (such as 60MHz), and in the "OFF" or low state there is no Current flows through the control winding 1164 . In this state, the switch 1102 is in an "open" state, presenting a high impedance between the first and second terminals 1131 and 1132 . When the control signal applied to the control terminal 1135 is set to "ON" or high state, the magnetic field generated by the current flowing through the secondary winding 1164 saturates the magnetic core of the saturable inductor 1160, thereby reducing the primary winding 1162 Inductor L1. The reduction in inductance L1 changes the resonant frequency of the switch 1102, presenting a low impedance between the first and second terminals 1131 and 1132 at the same RF signal frequency. This low impedance state can be used as a closed circuit state for switch 1102 .

在一些實施中,可提供控制信號緩衝放大器1137以放大和/或緩衝控制終端1135處施加的控制信號,使得足以使可飽和電感器1160飽和的電流可以施加於控制繞組1164。In some implementations, a control signal buffer amplifier 1137 may be provided to amplify and/or buffer the control signal applied at the control terminal 1135 so that a current sufficient to saturate the saturable inductor 1160 may be applied to the control winding 1164 .

在一些實施中,可以在控制信號終端1135與控制繞組1164之間提供低通濾波器1138,以減輕來自控制信號和/或RF信號往控制信號終端傳播的噪音耦合。In some implementations, a low pass filter 1138 may be provided between the control signal terminal 1135 and the control winding 1164 to mitigate noise coupling from the control signal and/or RF signal propagating to the control signal terminal.

一般來說,藉由調整控制信號以向控制繞組1164提供一範圍的電流,可以在「ON」狀態和「OFF」狀態之間控制在第一終端1131和第二終端1132之間呈現的開關的阻抗。In general, by adjusting the control signal to provide a range of currents to the control winding 1164, the switch presented between the first terminal 1131 and the second terminal 1132 can be controlled between an "ON" state and an "OFF" state. impedance.

在一些實施中,第一終端1131連接到總線(如總線820),且第二終端1132連接到RF接地。在一些實施中,第一終端連接到第一總線(如總線820)且第二終端1132連接到第二總線(如總線824)。In some implementations, the first terminal 1131 is connected to a bus (eg, bus 820 ), and the second terminal 1132 is connected to RF ground. In some implementations, the first terminal is connected to a first bus (eg, bus 820 ) and the second terminal 1132 is connected to a second bus (eg, bus 824 ).

由前述開關1100和1102呈現的阻抗以及其開關狀態由控制信號的施加來控制。然而,在一些實施中,開關的特性可以保持靜態,而是可以調變RF信號的頻率,使得開關向具有不同頻率的RF信號呈現「開路」或「關路」狀態。例如,電路的頻率相依阻抗可以用於提供這種基於頻率的開關。The impedance presented by the aforementioned switches 1100 and 1102 and their switching states are controlled by the application of control signals. However, in some implementations, the characteristics of the switch may remain static, and instead the frequency of the RF signal may be modulated such that the switch presents an "open circuit" or "closed circuit" state to RF signals having different frequencies. For example, the frequency-dependent impedance of the circuit can be used to provide such frequency-based switching.

參考圖12A,基於頻率的開關1200包括具有電容C1的第一電容器1220、具有電容C2的第二電容器1222、具有電感L1的第一電感器1240,以及具有電感L2的第二電感器1242。開關1200具有第一終端1231和第二終端1232。Referring to FIG. 12A , a frequency-based switch 1200 includes a first capacitor 1220 having a capacitance C1 , a second capacitor 1222 having a capacitance C2 , a first inductor 1240 having an inductance L1 , and a second inductor 1242 having an inductance L2 . The switch 1200 has a first terminal 1231 and a second terminal 1232 .

第一電容器1220和第一電感器1240可以串聯連接,第二電容器1222和第二電感器1242可以串聯連接。這對電路可以在第一終端1231和第二終端1232之間並聯連接。The first capacitor 1220 and the first inductor 1240 may be connected in series, and the second capacitor 1222 and the second inductor 1242 may be connected in series. The pair of circuits may be connected in parallel between the first terminal 1231 and the second terminal 1232 .

可以設置L1、C1、L2和C2的組合,使得在第一頻率(如58MHz)下,在第一和第二終端1231和1232之間呈現低阻抗(如<0.1歐姆),以及在第二頻率(如62MHz)下,呈現高阻抗(如> 100歐姆)。例如,以下L1=L2=0.1μH,C1=75.3pF,C2=58.6pF的值可以在58MHz下提供低阻抗諧振,以及在62MHz下提供高阻抗諧振。The combination of L1, C1, L2 and C2 can be set such that at a first frequency (eg 58MHz) a low impedance (eg <0.1 ohm) is presented between the first and second terminals 1231 and 1232, and at a second frequency (such as 62MHz), showing high impedance (such as> 100 ohms). For example, the following values of L1=L2=0.1μH, C1=75.3pF, C2=58.6pF can provide low impedance resonance at 58MHz and high impedance resonance at 62MHz.

不希望受理論束縛,可以由串聯LC諧振提供低阻抗諧振,以及可以藉由並聯LC諧振提供高阻抗諧振。Without wishing to be bound by theory, a low impedance resonance can be provided by a series LC resonance and a high impedance resonance can be provided by a parallel LC resonance.

可以設置電容和電感以形成基於頻率的開關,其對上面提供的實例具有近似互補的響應。例如,以下值L1=L2 =0.1μH,C1=65.9pF,C2=87.8pF可以在62 MHz下提供低阻抗諧振,在58 MHz下提供高阻抗諧振,相對於第一實例呈現近似互補或相反的響應。這種互補行為可以用於形成各種頻率切換式電極系統。Capacitance and inductance can be arranged to form frequency-based switches with approximately complementary responses to the examples provided above. For example, the following values L1 = L2 = 0.1 μH, C1 = 65.9 pF, C2 = 87.8 pF can provide a low impedance resonance at 62 MHz and a high impedance resonance at 58 MHz, appearing approximately complementary or opposite with respect to the first example response. This complementary behavior can be used to form various frequency-switched electrode systems.

在一些實施中,可以用分佈式電路元件(如傳輸線段、短線)實施分立的電容器和電感器。In some implementations, discrete capacitors and inductors may be implemented with distributed circuit elements (eg, transmission line segments, stubs).

參考圖12B,頻率切換式電極系統1202包括電極組件800、第一基於頻率的開關1200a、第二基於頻率的開關1200b和分接頭1260。可以將不同頻率的RF信號提供給分接頭1260,例如,使用具有匹配網路和串聯的隔離器或循環器的可變頻率RF產生器。Referring to FIG. 12B , a frequency-switched electrode system 1202 includes an electrode assembly 800 , a first frequency-based switch 1200 a , a second frequency-based switch 1200 b , and a tap 1260 . RF signals of different frequencies may be provided to the tap 1260, for example, using a variable frequency RF generator with a matching network and isolators or circulators in series.

在這種配置中,通過分接頭1260供應的RF信號的頻率可以從第一頻率交替到第二頻率,以使更多的RF信號透過開關1200a耦接到電極組件800的左側,或者透過開關1200b耦接到電極組件800的右側。或者,可以如用斜坡函數驅動通過分接頭1260提供的RF信號的頻率,以在第一頻率和第二頻率之間變化。In this configuration, the frequency of the RF signal supplied through tap 1260 may be alternated from a first frequency to a second frequency such that more of the RF signal is coupled to the left side of electrode assembly 800 through switch 1200a, or through switch 1200b. Coupled to the right side of the electrode assembly 800 . Alternatively, the frequency of the RF signal provided through tap 1260 may be driven to vary between a first frequency and a second frequency, such as with a ramp function.

例如,藉由將部件值設置為L1a=L2a =0.1μH,C1a=75.3pF,C2a=58.6pF,第一開關1200a可以在58MHz下提供低阻抗諧振和在62MHz下提供高阻抗諧振。第二開關1200b的部件值可以設置為L1=L2 =0.1μH,C1=65.9pF,C2=87.8pF,以在62MHz下提供低阻抗諧振,以及在58MHz下提供高阻抗諧振。在這樣的配置中,藉由將RF信號的頻率切換到第一頻率(如58MHz),大部分RF信號可以透過第一開關1200a耦接到電極組件800的左側,以及藉由將RF信號的頻率切換到第二頻率(如62MHz),大部分RF信號可以透過第二開關1200b耦接到組件800的右側。當頻率在兩個頻率之間的中間,大致在大約60MHz時,則功率大致類似地耦接於兩端,以及可能導致高中心不均勻性。For example, by setting the component values as L1a=L2a=0.1 μH, C1a=75.3pF, C2a=58.6pF, the first switch 1200a can provide low impedance resonance at 58MHz and high impedance resonance at 62MHz. The component values of the second switch 1200b can be set as L1=L2=0.1 μH, C1=65.9pF, C2=87.8pF to provide low impedance resonance at 62MHz and high impedance resonance at 58MHz. In such a configuration, by switching the frequency of the RF signal to the first frequency (such as 58MHz), most of the RF signal can be coupled to the left side of the electrode assembly 800 through the first switch 1200a, and by switching the frequency of the RF signal to Switching to the second frequency (eg, 62MHz), most of the RF signal can be coupled to the right side of the component 800 through the second switch 1200b. When the frequency is midway between the two frequencies, roughly around 60MHz, then power is roughly similarly coupled at both ends, and high center non-uniformity may result.

在一些實施中,可以使用傳輸線段來改變開關1200的頻率相依阻抗。例如,考慮到傳輸線的速度因子,長度為四分之一波長的傳輸線段可以用於將電極組件800的角落連接到開關1200a和1200b的終端。藉由使用四分之一波長的傳輸線,可交換在第一和第二頻率處呈現的阻抗。例如,串聯諧振的低阻抗可轉換為約1000歐姆的高阻抗,並聯諧振的高阻抗可轉換為約1歐姆的低阻抗。In some implementations, transmission line segments may be used to vary the frequency-dependent impedance of switch 1200 . For example, to account for the velocity factor of the transmission line, quarter wavelength length transmission line segments may be used to connect the corners of the electrode assembly 800 to the terminals of the switches 1200a and 1200b. By using a quarter wavelength transmission line, the impedances presented at the first and second frequencies can be swapped. For example, the low impedance of series resonance can be converted to a high impedance of about 1000 ohms, and the high impedance of parallel resonance can be converted to a low impedance of about 1 ohm.

在一些實施中,基於頻率的開關1200可以用作頻率選擇性終端,以在不同頻率下提供阻抗匹配終端以控制RF信號到電極組件中的耦合。參考圖12C,頻率切換式電極系統1204包括電極組件800、第一頻率選擇性終端1250a、第二頻率選擇性終端1250b和分接頭1260。除非另有說明之外,否則頻率選擇終端1250a和1250b可以由基於頻率的開關1200提供,以及以類似的方式操作。In some implementations, the frequency-based switch 1200 can be used as a frequency-selective termination to provide impedance-matched termination at different frequencies to control the coupling of RF signals into the electrode assembly. Referring to FIG. 12C , a frequency-switched electrode system 1204 includes an electrode assembly 800 , a first frequency-selective terminal 1250 a , a second frequency-selective terminal 1250 b , and a tap 1260 . Unless otherwise stated, frequency selective terminals 1250a and 1250b may be provided by frequency-based switch 1200, and operate in a similar manner.

在一些實施中,可以設置頻率選擇性終端1250a和1250b的部件值,使得在第一頻率下,終端1250a呈現RF產生器和傳輸線的特徵阻抗,而終端1250b呈現高阻抗。在這樣的配置中,終端1250a向RF接地提供阻抗匹配的終端,最小化RF信號反射和耦合到電極組件800的左側的RF信號。同時,終端1200b呈現的高阻抗允許RF信號耦合到電極組件800的右側。In some implementations, the component values of frequency selective terminals 1250a and 1250b can be set such that at a first frequency, terminal 1250a presents a characteristic impedance of an RF generator and transmission line, while terminal 1250b presents a high impedance. In such a configuration, termination 1250a provides an impedance-matched termination to RF ground, minimizing RF signal reflections and RF signal coupling to the left side of electrode assembly 800 . At the same time, the high impedance presented by terminal 1200b allows RF signals to be coupled to the right side of electrode assembly 800 .

在一些實施中,可以設定頻率選擇性終端1250a和1250b的部件值,使得在第一頻率下,終端1250a呈現到RF接地的低阻抗路徑,而終端1250b呈現高阻抗。在這樣的配置中,由終端1250a提供到RF接地的低阻抗路徑使耦合到電極組件800的左側的RF信號最小化。同時,終端1200b呈現的高阻抗允許RF信號耦合到電極組件800的右側。In some implementations, the component values of frequency selective terminals 1250a and 1250b can be set such that at a first frequency, terminal 1250a presents a low impedance path to RF ground, while terminal 1250b presents a high impedance. In such a configuration, the low impedance path provided by terminal 1250a to RF ground minimizes RF signal coupling to the left side of electrode assembly 800 . At the same time, the high impedance presented by terminal 1200b allows RF signals to be coupled to the right side of electrode assembly 800 .

一般來說,基於頻率的開關和頻率選擇性終端可以耦接到沿著總線的各個位置。例如,可在總線的大致中心處提供到分接頭的額外一對耦接點,以及可以在那些耦接點處提供額外的開關或終端。In general, frequency-based switches and frequency-selective terminations can be coupled to various locations along the bus. For example, an additional pair of coupling points to taps may be provided at approximately the center of the bus, and additional switches or terminals may be provided at those coupling points.

一般來說,頻率切換不限於對應於高阻抗狀態和低阻抗狀態的2個狀態,而是可以有利地在第一和第二切換頻率之間或之外連續地操作。In general, frequency switching is not limited to 2 states corresponding to a high impedance state and a low impedance state, but may advantageously operate continuously between or outside the first and second switching frequencies.

一般來說,具有各種諧振頻率的基於頻率的開關的各種組合可以用於將基於頻率的切換擴展到3、4或更多頻率。In general, various combinations of frequency-based switches with various resonant frequencies can be used to extend frequency-based switching to 3, 4 or more frequencies.

在一些電漿腔室中,工件在例如線性或旋轉工件支撐件上移動通過電漿處理區域。在這樣的腔室中,移動的工件支撐件可透過例如旋轉的汞耦接器、電刷或滑環直流接地。然而,移動的工件支撐件可能無法在射頻下充分接地。RF接地路徑應具有比電漿低得多的阻抗,以使其成為足夠的RF接地。缺乏足夠的RF接地路徑可能使得難以控制工件處的離子能量及降低製程的可重複性。In some plasma chambers, the workpiece is moved through the plasma processing region on, for example, a linear or rotating workpiece support. In such a chamber, the moving workpiece support may be DC grounded through, for example, a rotating mercury coupler, brushes or slip rings. However, a moving workpiece support may not be adequately grounded at radio frequencies. The RF ground path should have a much lower impedance than the plasma to make it an adequate RF ground. Lack of adequate RF ground paths can make it difficult to control ion energy at the workpiece and reduce process repeatability.

因此,需要具有以下特性的電漿源:其可以在工件尺寸上有效地產生具有所需特性(電漿密度、電子溫度、離子能量、離解等)的均勻電漿;其可以對操作窗調節均勻性(如壓力、功率、氣體成分);即使工件移動,它也具有穩定和可重複的電氣性能;以及它不會產生過多的金屬污染物或顆粒。Therefore, there is a need for a plasma source that can efficiently generate a uniform plasma with desired properties (plasma density, electron temperature, ion energy, dissociation, etc.) resistance (such as pressure, power, gas composition); it has stable and repeatable electrical performance even when the workpiece moves; and it does not generate excessive metal contamination or particles.

圖13是電漿反應器的另一個實例的示意性側視圖。電漿反應器2100具有腔室主體2102,腔室主體2102包圍用作電漿腔室的內部空間。腔室主體2102可以具有一個或多個側壁2102a,頂板2102b和底板2102c。內部空間2104可以是圓柱形的,如用於處理圓形的半導體晶圓。電漿反應器包括位於電漿反應器2100的頂部的頂部電極陣列組件2106。頂部電極陣列組件2106可以鄰接頂板(如圖13所示),或者懸掛在內部空間2104內並與頂板隔開,或者形成頂板的一部分。腔室主體2102的側壁和底板的某些部分可以單獨接地。Fig. 13 is a schematic side view of another example of a plasma reactor. The plasma reactor 2100 has a chamber body 2102 enclosing an inner space serving as a plasma chamber. The chamber body 2102 may have one or more side walls 2102a, a top plate 2102b, and a bottom plate 2102c. The interior volume 2104 may be cylindrical, such as for processing round semiconductor wafers. The plasma reactor includes a top electrode array assembly 2106 located at the top of the plasma reactor 2100 . The top electrode array assembly 2106 may adjoin the top plate (as shown in FIG. 13 ), or be suspended within the interior space 2104 and spaced from the top plate, or form part of the top plate. Portions of the sidewalls and floor of the chamber body 2102 may be grounded separately.

氣體分配器位於電漿反應器2100的頂板附近。氣體分配器可以包括側壁2102中的一個或多個端口2110,其連接到處理氣體供應2112。或者或甚者,氣體分配器可以與頂部電極組件2106整合為單一部件。例如,連接到處理氣體供應2112的通道可以通過組件2112中的介電板形成,以在電漿腔室的頂板中提供開口。氣體供應2112將一個或多個處理氣體輸送到氣體分配器2110,處理氣體的組成可以取決於待施行的製程,如沉積或蝕刻。A gas distributor is located near the ceiling of the plasma reactor 2100. The gas distributor may include one or more ports 2110 in the sidewall 2102 that connect to a process gas supply 2112 . Alternatively or alternatively, the gas distributor may be integrated with the top electrode assembly 2106 as a single component. For example, passages to process gas supply 2112 may be formed through dielectric plates in assembly 2112 to provide openings in the ceiling of the plasma chamber. The gas supply 2112 delivers one or more process gases to the gas distributor 2110, the composition of which may depend on the process to be performed, such as deposition or etching.

真空泵2113連接到內部空間2104以抽空電漿反應器。對於某些製程,腔室在Torr範圍內操作,及氣體分配器供應氬、氮、氧和/或其他氣體。A vacuum pump 2113 is connected to the interior space 2104 to evacuate the plasma reactor. For some processes, the chamber operates in the Torr range, and gas distributors supply argon, nitrogen, oxygen, and/or other gases.

取決於腔室配置和供應的處理氣體,電漿反應器100可以提供ALD設備、蝕刻設備、電漿處理設備、電漿增強化學氣相沉積設備、電漿摻雜設備或電漿表面清洗設備。Depending on the chamber configuration and the process gases supplied, the plasma reactor 100 may provide an ALD device, an etching device, a plasma processing device, a plasma enhanced chemical vapor deposition device, a plasma doping device, or a plasma surface cleaning device.

電漿反應器2100包括工件支撐件2114(如基座),以用於支撐工件,支撐工件的頂表面暴露於腔室2104中形成的電漿。工件支撐件2114具有面向頂部電極2108的工件支撐表面2114a。在一些實施中,工件支撐件2114包括位於支撐件2114內部的工件支撐電極2116,以及工件偏壓電壓供應2118連接到工件支撐電極2116。電壓供應2118可以施加電壓以將工件2115夾持到支撐件2114和/或供應偏壓電壓以控制所產生的電漿的特性(包括離子能量)。在一些實施中,RF偏壓功率產生器2142透過阻抗匹配2144而AC耦接到工件支撐件2114的工件支撐電極2116。The plasma reactor 2100 includes a workpiece support 2114 , such as a pedestal, for supporting a workpiece with a top surface exposed to the plasma formed in the chamber 2104 . The workpiece support 2114 has a workpiece support surface 2114a facing the top electrode 2108 . In some implementations, the workpiece support 2114 includes a workpiece support electrode 2116 located inside the support 2114 , and a workpiece bias voltage supply 2118 is connected to the workpiece support electrode 2116 . A voltage supply 2118 may apply a voltage to clamp the workpiece 2115 to the support 2114 and/or supply a bias voltage to control properties of the generated plasma, including ion energy. In some implementations, the RF bias power generator 2142 is AC coupled to the workpiece support electrode 2116 of the workpiece support 2114 through an impedance match 2144 .

另外,支撐件2114可以具有用於加熱或冷卻工件2115的內部通道2119,和/或嵌入式電阻加熱器(2119)。Additionally, the support 2114 may have internal channels 2119 for heating or cooling the workpiece 2115, and/or embedded resistive heaters (2119).

電極組件2106定位在腔室2104的頂板處。該電極組件2106包括複數個導體2120,複數個導體2120在工件支撐件2114上方側向地延伸。至少工件在支撐件2114上的預期位置上方的區域中,導體2120是共面的。例如,在此區域中,導體可以平行於支撐表面2114a延伸。複數個導體2120可以佈置為平行線陣列。在一些實施中,導體可以具有「U形」,其兩端連接到腔室2104的同一側上的相應總線。或者,導體可以經佈置成交錯的螺旋(交錯的圓形螺旋或交錯的矩形螺旋)。導體2120的縱軸可以與電極組件2106下方的工件10的運動方向成非零角度(如大於20度的角度)佈置。例如,導體2120的縱軸可以實質垂直於工件10的運動方向。Electrode assembly 2106 is positioned at the ceiling of chamber 2104 . The electrode assembly 2106 includes a plurality of conductors 2120 extending laterally over the workpiece support 2114 . The conductors 2120 are coplanar at least in the region above the intended location of the workpiece on the support 2114 . For example, in this region the conductors may extend parallel to the support surface 2114a. The plurality of conductors 2120 may be arranged in an array of parallel lines. In some implementations, the conductors may have a “U shape” with both ends connected to corresponding busses on the same side of the chamber 2104 . Alternatively, the conductors may be arranged in an interlaced helix (an interleaved circular helix or an interleaved rectangular helix). The longitudinal axis of conductor 2120 may be disposed at a non-zero angle (eg, an angle greater than 20 degrees) to the direction of motion of workpiece 10 beneath electrode assembly 2106 . For example, the longitudinal axis of conductor 2120 may be substantially perpendicular to the direction of motion of workpiece 10 .

在工件支撐件2114和電極組件2106之間形成間隙2132。對於高壓(如1-10Torr),間隙2132可以是2-25mm。固定工件可能需要更大的最小間隙,例如約5mm,這取決於源上的電極到電極的間距和介電蓋的厚度。在較低壓力下(如小於100mTorr),間隙2132可以是1公分至50公分。A gap 2132 is formed between the workpiece support 2114 and the electrode assembly 2106 . For high pressure (eg, 1-10 Torr), the gap 2132 may be 2-25mm. Fixing the workpiece may require a larger minimum clearance, for example about 5 mm, depending on the electrode-to-electrode spacing on the source and the thickness of the dielectric cover. At lower pressures (eg, less than 100 mTorr), the gap 2132 may be 1 cm to 50 cm.

在一些實施中,流體供應2146使流體循環通過電極組件2106。在一些實施中,熱交換器2148耦接到流體供應2146以移除熱或向流體供應熱。In some implementations, the fluid supply 2146 circulates fluid through the electrode assembly 2106 . In some implementations, a heat exchanger 2148 is coupled to the fluid supply 2146 to remove heat or supply heat to the fluid.

電極組件2106由RF電源2122驅動。RF電源2122可以以如1至300MHz的頻率向電極組件2106的導體2120施加功率。對於某些製程,RF電源2122在60MHz頻率下提供大於2kW的總RF功率。The electrode assembly 2106 is powered by an RF power source 2122 . The RF power source 2122 may apply power to the conductor 2120 of the electrode assembly 2106 at a frequency such as 1 to 300 MHz. For some processes, the RF power supply 2122 provides greater than 2kW of total RF power at a frequency of 60MHz.

在一些實施中,散熱器2150(如鋁板)附接到腔室主體2102的頂板2102b。通道2152可以穿過散熱器2150形成,以及冷卻劑可以循環通過通道2152。熱交換器2154可以連接到通道152,以移除熱或向冷卻劑供應熱。In some implementations, a heat sink 2150 , such as an aluminum plate, is attached to the top plate 2102b of the chamber body 2102 . A channel 2152 may be formed through the heat sink 2150 , and coolant may circulate through the channel 2152 . A heat exchanger 2154 may be connected to channel 152 to remove heat or supply heat to the coolant.

圖14A-14C是電漿反應器的另一個實例的示意圖。在此實例中,除非另有說明之外,否則其操作與圖13相同,多腔室處理工具200包括電漿反應器100。14A-14C are schematic diagrams of another example of a plasma reactor. In this example, the multi-chamber processing tool 200 includes the plasma reactor 100 in operation as in FIG. 13 unless otherwise noted.

處理工具2200具有包圍內部空間2204的主體2202。主體2102可以具有一個或多個側壁2202a,頂板2202b和底板2202c。內部空間2204可以是圓柱形的。The treatment tool 2200 has a body 2202 enclosing an interior space 2204 . The body 2102 may have one or more side walls 2202a, a top panel 2202b, and a bottom panel 2202c. Inner space 2204 may be cylindrical.

處理工具2200包括工件支撐件2214(如基座),以用於支撐一個或多個工件10(如複數個工件)。工件支撐件2214具有工件支撐表面2214a。工件支撐件2214可以包括工件支撐電極2116,及工件偏壓電壓源2118可連接到工件支撐電極2116。The processing tool 2200 includes a workpiece support 2214 (eg, a base) for supporting one or more workpieces 10 (eg, a plurality of workpieces). The workpiece support 2214 has a workpiece support surface 2214a. The workpiece support 2214 may include a workpiece support electrode 2116 , and a workpiece bias voltage source 2118 may be connected to the workpiece support electrode 2116 .

工件支撐件2214的頂部和頂板2202b之間的空間可以藉由阻障物2270分成複數個腔室2204a-2204d。阻障物2270可以從工件支撐件2214的中心徑向延伸。儘管繪示四個腔室,但是可以有兩個、三個或多於四個腔室。The space between the top of the workpiece support 2214 and the top plate 2202b may be divided by barriers 2270 into a plurality of chambers 2204a-2204d. The barrier 2270 may extend radially from the center of the workpiece support 2214 . Although four chambers are shown, there may be two, three or more than four chambers.

可以藉由馬達2262使工件繞軸2260旋轉。如此一來,工件支撐件2214上的任何工件10將依順序地承載通過腔室2204a-2204d。The workpiece may be rotated about axis 2260 by motor 2262 . As such, any workpiece 10 on the workpiece support 2214 will be sequentially carried through the chambers 2204a-2204d.

腔室2204a-2204d可以藉由泵-淨化系統2280至少部分地彼此隔離。泵-淨化系統2280可以包括穿過阻障物2210形成的多個通道,其使淨化氣體(如惰性氣體,例如氬氣)流入相鄰腔室之間的空間,和/或將氣體自相鄰腔室之間的空間泵送出。例如,泵-淨化系統2280可以包括第一通道2282,如藉由泵迫使淨化氣體通過該第一通道2282而進入阻障物2272和工件支撐件2214之間的空間2202中。第一通道2282的任一側(相對於工件支撐件2214的運動方向)可以側接第二通道2284和第三通道2286,第二通道2284和第三通道2286連接到泵以抽吸氣體(包括淨化氣體和來自相鄰腔室(如腔室2204a)的任何氣體)。每個通道可以是細長槽,其大致沿徑向延伸。Chambers 2204a-2204d may be at least partially isolated from each other by pump-purge system 2280. The pump-purge system 2280 may include a plurality of channels formed through the barrier 2210, which allow a purge gas (e.g., an inert gas, such as argon) to flow into the space between adjacent chambers, and/or flow gas from adjacent chambers. The space between the chambers is pumped out. For example, pump-purge system 2280 may include first passage 2282 through which purge gas is forced into space 2202 between barrier 2272 and workpiece support 2214 , such as by a pump. Either side of the first channel 2282 (relative to the direction of motion of the workpiece support 2214) may be flanked by a second channel 2284 and a third channel 2286, which are connected to a pump to draw gas (including purge gas and any gas from an adjacent chamber (such as chamber 2204a)). Each channel may be an elongated slot extending generally radially.

腔室2204a-2204中的至少一個提供電漿反應器2100的電漿腔室。電漿反應器包括頂部電極陣列組件2106和RF電源2122,以及亦可以包括流體供應2146和/或熱交換器。處理氣體可以透過沿著一個或兩個阻障物2270定位到腔室2104的端口2210供應。在一些實施中,端口2210僅定位在腔室2104的前側(相對於工件支撐件2214的運動方向)。或者或甚者,可以透過工具主體2202的側壁2202a的端口供應處理氣體。At least one of chambers 2204 a - 2204 provides a plasma chamber of plasma reactor 2100 . The plasma reactor includes a top electrode array assembly 2106 and an RF power supply 2122, and may also include a fluid supply 2146 and/or a heat exchanger. Process gases may be supplied through ports 2210 positioned along one or both barriers 2270 to chamber 2104 . In some implementations, the port 2210 is positioned only on the front side of the chamber 2104 (relative to the direction of motion of the workpiece support 2214). Alternatively, process gas may be supplied through ports in the sidewall 2202 a of the tool body 2202 .

圖15A繪示電極組件2106的實例。電極組件2106包括介電頂板2130、複數個導體2120和介電底板2132。如上所述,導體2120可以經佈置為平行線性帶,其在工件支撐件2114上方側向地延伸。介電頂板2130可以是陶瓷材料。An example of an electrode assembly 2106 is shown in FIG. 15A . The electrode assembly 2106 includes a dielectric top plate 2130 , a plurality of conductors 2120 and a dielectric bottom plate 2132 . As described above, the conductors 2120 may be arranged as parallel linear strips that extend laterally over the workpiece support 2114 . The dielectric top plate 2130 may be a ceramic material.

介電底板2132提供用於RF功率的窗,即,對用於產生電漿的頻率的RF輻射實質透明。例如,底板2132可以是石英或氮化矽。底板可以保護電漿製程和工件環境免受金屬污染或顆粒形成的影響,否則如果導體或陶瓷暴露於電漿則可能發生。底板2132可以是定期更換的消耗性元件。底板可以相對較薄,如0.25mm-2mm,例如0.5mm。The dielectric backplane 2132 provides a window for RF power, ie, is substantially transparent to RF radiation at the frequency used to generate the plasma. For example, base plate 2132 may be quartz or silicon nitride. The base plate protects the plasma process and workpiece environment from metal contamination or particle formation that might otherwise occur if conductors or ceramics are exposed to the plasma. Base plate 2132 may be a consumable component that is replaced periodically. The bottom plate can be relatively thin, such as 0.25mm-2mm, for example 0.5mm.

導體可以具有1-5mm的寬度,以及導體120之間的間隔W可以是0.5至3mm。導體可以比該間隔寬,如寬約兩倍。The conductors may have a width of 1-5 mm, and the interval W between the conductors 120 may be 0.5 to 3 mm. The conductors may be wider than the spacing, such as about twice as wide.

下部介電板2132的厚度T應小於導體2120之間的間隔W的兩倍,例如,小於導體之間的間隔W。在較高壓力下,下部介電板2132和上部介電板2130之間的間隙應該是「小的」,如小於0.5mm,例如小於0.25mm,以避免在板後面發生電漿。The thickness T of the lower dielectric plate 2132 should be less than twice the interval W between the conductors 2120, eg, less than the interval W between the conductors. At higher pressures, the gap between the lower dielectric plate 2132 and the upper dielectric plate 2130 should be "small", such as less than 0.5mm, eg less than 0.25mm, to avoid plasma behind the plates.

導體2120可以在介電頂板表面2130的下表面上直接形成。例如,導體2120可以藉由橫跨底表面上沉積(如電鍍、濺射或CVD)薄層,然後藉由蝕刻進行圖案化來形成導體2120,以形成帶狀線結構。然後導體可以由介電底部介電板2132覆蓋。Conductor 2120 may be formed directly on the lower surface of dielectric top plate surface 2130 . For example, conductor 2120 may be formed by depositing (eg, plating, sputtering, or CVD) a thin layer across the bottom surface and then patterning by etching to form a stripline structure. The conductors may then be covered by a dielectric bottom dielectric plate 2132 .

導體2120也可以嵌入(即埋)在介電頂板的表面下方。例如,頂板2130可以是陶瓷結構,其結構類似於靜電晶圓卡盤。對於埋入的導體,介電底板變為可選的,但仍可用作介電蓋(如石英製的),以保護頂板的底表面。Conductor 2120 may also be embedded (ie, buried) below the surface of the dielectric top plate. For example, the top plate 2130 may be a ceramic structure similar in structure to an electrostatic wafer chuck. For buried conductors, the dielectric bottom plate becomes optional, but can still be used as a dielectric cover (such as made of quartz) to protect the bottom surface of the top plate.

在示範性實施中,45對(總共90個)平行導體2120沉積在方形結構陶瓷頂板2130上。導體2120的線寬各為3mm,其中間隔1.5mm(因此導體以4.5mm的間距(pitch)排列)。導體可以是400mm長,具有穿過陶瓷頂板2130的垂直饋通線,以及在大氣壓下在背面上形成的電連接。每隔一個電極在一側上連接到總線,其餘(交替)電極各自連接到另一側上的總線,從而形成兩個陣列。在60MHz下且180度相位差的RF功率橫跨在兩個陣列上連接。In an exemplary implementation, 45 pairs (90 in total) of parallel conductors 2120 are deposited on a square structured ceramic top plate 2130 . The line width of the conductors 2120 is 3 mm each, with a space of 1.5 mm (therefore, the conductors are arranged at a pitch of 4.5 mm). The conductors may be 400mm long, with vertical feedthroughs through the ceramic top plate 2130, and electrical connections made on the backside at atmospheric pressure. Every other electrode is connected to the bus on one side, and the remaining (alternating) electrodes are each connected to the bus on the other side, forming two arrays. RF power at 60 MHz with a 180 degree phase difference was connected across the two arrays.

參考圖15B,可以在介電頂板2130的底表面2130a中形成複數個槽2136,以及導體2120可以切合於槽中。槽2136可以經佈置為平行的線性條紋(stripe)。Referring to FIG. 15B, a plurality of grooves 2136 may be formed in the bottom surface 2130a of the dielectric top plate 2130, and the conductors 2120 may be cut into the grooves. The grooves 2136 may be arranged as parallel linear stripes.

在一些實施中,每一導體2120是絲2150的一部分。絲2150可以切合於其相應的凹槽2136中。絲2150可以包括圍繞並保護導體2120的殼。絲2150可以由參考圖3A-C所述的各種絲300提供。In some implementations, each conductor 2120 is a portion of a wire 2150 . Wires 2150 may fit into their corresponding grooves 2136 . Wire 2150 may include a sheath surrounding and protecting conductor 2120 . The wire 2150 may be provided by the various wires 300 described with reference to Figures 3A-C.

參考圖15C,在一些實施中,導體2120可以由頂板2130上的導電塗層提供。例如,導體2120可以是鍍在陶瓷頂板2130上的帶狀線電極。每個導體2120可以是個別槽2136的一個或多個內表面上的塗層。導體2120和底板2132之間的空間可以提供導管2450。導管2450可以承載如圖3A所述之流體。Referring to FIG. 15C , in some implementations, the conductors 2120 can be provided by a conductive coating on the top plate 2130 . For example, conductor 2120 may be a stripline electrode plated on ceramic top plate 2130 . Each conductor 2120 may be a coating on one or more interior surfaces of individual slots 2136 . The space between conductors 2120 and base plate 2132 may provide conduits 2450 . Conduit 2450 may carry fluid as described in Figure 3A.

使用2-D模型施行電漿模擬以研究電漿參數對氣體壓力的依賴性。計算域超過兩個半對(two half-pairs)電極。假設製程條件為每個源1450sccm Argon+50sccm N2 ,6Torr,每對半個電極(per pair of half electrode)200W。模擬表示在電極下方的區域中電漿密度通常會更高。Ar+密度和電子密度相似(N2 +密度低得多),主要是由於氬對N2 氣體供應的比例高。Plasma simulations were performed using a 2-D model to study the dependence of plasma parameters on gas pressure. The computational domain extends over two half-pairs of electrodes. Assume that the process conditions are 1450sccm Argon+50sccm N 2 per source, 6Torr, 200W per pair of half electrode. Simulations show that the plasma density is generally higher in the region below the electrodes. Ar+ density and electron density are similar ( N2 + density is much lower), mainly due to the high ratio of argon to N2 gas supply.

已經描述了本發明的特定實施例。雖然本說明書含有許多具體的實施細節,但許多其他變體也是可能的。例如: · 工件可線性地移動通過一系列腔室,例如在帶或線性致動平台上,而不是旋轉平台。另外,工件可以是靜止的,如工件支撐件不會相對於絲移動。 · 將RF功率在總線的中心、端或其他位置或總線上的位置的組合處連接到導體總線。 · 可以在總線的中心、端或其他位置或位置組合處施行電極總線的接地。 · RF電源供應可以施用RF、VHF、UHF或微波範圍內的信號。Certain embodiments of the invention have been described. While this specification contains many specific implementation details, many other variations are possible. For example: • The workpiece can be moved linearly through a series of chambers, eg on a belt or linear actuation stage rather than a rotating stage. Additionally, the workpiece may be stationary, eg, the workpiece support does not move relative to the wire. • Connect RF power to the conductor bus at the center, end, or other location of the bus, or a combination of locations on the bus. • Grounding of the electrode bus may be performed at the center, end, or other location or combination of locations of the bus. · The RF power supply can apply signals in the RF, VHF, UHF or microwave range.

其他實施例係在以下申請專利範圍的範圍內。Other embodiments are within the scope of the following claims.

100‧‧‧處理工具102‧‧‧腔室主體104‧‧‧內部空間106‧‧‧支撐件108‧‧‧頂部電極110‧‧‧氣體分配器100‧‧‧processing tool 102‧‧‧chamber body 104‧‧‧internal space 106‧‧‧support 108‧‧‧top electrode 110‧‧‧gas distributor

112:氣體供應 112: Gas supply

113:真空泵 113: vacuum pump

114:工件支撐件 114: workpiece support

114a:工件支撐表面 114a: workpiece support surface

115:工件 115: Workpiece

116:工件支撐電極 116: workpiece support electrode

118:工件偏壓電壓供應 118: Workpiece bias voltage supply

119:內部通道 119: Internal channel

120:腔室內電極組件 120: Electrode assembly in the chamber

122:RF電源 122: RF power supply

123:開口 123: opening

124:平衡不平衡轉換器 124: Balun

130:頂部間隙 130: top clearance

132:底部間隙 132: Bottom clearance

133:底部內部空間 133: Bottom inner space

140:RF接地 140: RF ground

142:RF偏壓功率產生器 142:RF bias power generator

144:阻抗匹配 144: Impedance matching

146:流體供應 146: Fluid supply

148:熱交換器 148: heat exchanger

150:軸 150: axis

152:致動器 152: Actuator

154:驅動軸 154: drive shaft

200:處理工具 200: Processing Tools

202‧‧‧圓柱形腔室主體204‧‧‧內部空間206‧‧‧支撐件208‧‧‧頂部電極210‧‧‧氣體入口220‧‧‧電極組件220a‧‧‧電極組件220b‧‧‧電極組件221‧‧‧外壁221a‧‧‧頂板260‧‧‧前驅物站260a‧‧‧第一前驅物站270‧‧‧徑向隔件280‧‧‧前驅物處理區域280a‧‧‧前驅物處理區域280b‧‧‧第二前驅物處理區域281‧‧‧氣體隔離區282‧‧‧第一泵送區283‧‧‧淨化區284‧‧‧第二泵送區285a‧‧‧電漿處理區域285b‧‧‧第二電漿處理區域300‧‧‧絲302‧‧‧絲304‧‧‧絲310‧‧‧導體320‧‧‧圓柱形殼330‧‧‧通道340‧‧‧中空通道400‧‧‧腔室內電極組件402‧‧‧支撐件410‧‧‧間距412‧‧‧電漿區域414‧‧‧上部電漿區域416‧‧‧下部電漿區域422‧‧‧RF電源422a‧‧‧第一終端422b‧‧‧第二終端510‧‧‧第一電極子組件520‧‧‧第二電極子組件522‧‧‧第一電極子組件524‧‧‧第一電極子組件530‧‧‧第一總線532‧‧‧第二電極子組件534‧‧‧第二電極子組件540‧‧‧第二總線550‧‧‧第三總線560‧‧‧第四總線580‧‧‧可調阻抗590‧‧‧中心饋電592‧‧‧X形電流分離器600‧‧‧電極組件601‧‧‧電極組件602‧‧‧第一端604‧‧‧第二端605‧‧‧平分線610‧‧‧共面絲620‧‧‧框架622‧‧‧切口624‧‧‧楔形電極625‧‧‧上部626‧‧‧內側壁627‧‧‧開口650‧‧‧處理工具700‧‧‧電極組件702‧‧‧電極組件704‧‧‧電極組件706‧‧‧電極組件710‧‧‧絲712‧‧‧共面絲714‧‧‧共面絲730‧‧‧第一總線732‧‧‧第一總線734‧‧‧第一總線736‧‧‧第一總線740‧‧‧第二總線742‧‧‧第二總線746‧‧‧第二總線751‧‧‧第二端752‧‧‧第一端753‧‧‧第二端754‧‧‧第一端755‧‧‧第二端756‧‧‧驅動點800‧‧‧電極組件801‧‧‧切換式電極系統802‧‧‧切換式電極系統804‧‧‧切換式電極系統806‧‧‧切換式電極系統808‧‧‧切換式電極系統810‧‧‧絲811‧‧‧第一端812‧‧‧第二端816‧‧‧第一多重817‧‧‧第二多重820‧‧‧第一總線821‧‧‧第三端822‧‧‧第二總線824‧‧‧第三總線826‧‧‧第四總線828‧‧‧第四總線830‧‧‧第一RF開關831‧‧‧第一終端832‧‧‧第二終端834‧‧‧第二RF開關836‧‧‧第三RF開關838‧‧‧第四RF開關838840‧‧‧第一分接頭842‧‧‧第二分接頭844‧‧‧分接頭846‧‧‧分接頭848‧‧‧分接頭850‧‧‧第一RF開關組854‧‧‧第二RF開關組856‧‧‧第三RF開關組858‧‧‧第四RF開關組860‧‧‧子開關860a-860h‧‧‧子開關870‧‧‧第一RF開關組874‧‧‧第二RF開關組876‧‧‧第三RF開關組878‧‧‧第四RF開關組900‧‧‧切換式電極系統902‧‧‧切換式電極組件940‧‧‧分接頭942‧‧‧分接頭1000‧‧‧切換式電極組件1010‧‧‧楔形電極組件1030‧‧‧第一RF開關1034‧‧‧第二RF開關1036‧‧‧第三RF開關1038‧‧‧第四RF開關1040‧‧‧分接頭1100‧‧‧PIN二極體開關1102‧‧‧可飽和電感器開關1110‧‧‧PIN二極體1120‧‧‧第一電容器1122‧‧‧第二電容器1124‧‧‧第一電容器1126‧‧‧第二電容器1131‧‧‧第一終端1132‧‧‧第二終端1134‧‧‧控制終端1135‧‧‧控制終端1136‧‧‧控制信號緩衝放大器1137‧‧‧控制信號緩衝放大器1138‧‧‧低通濾波器1140‧‧‧電感器1150‧‧‧電感器1160‧‧‧可飽和電感器1162‧‧‧初級繞組1164‧‧‧控制繞組1200‧‧‧基於頻率的開關1200a‧‧‧第一基於頻率的開關1200b‧‧‧第二基於頻率的開關1204‧‧‧頻率切換式電極系統1220‧‧‧第一電容器1222‧‧‧第二電容器1231‧‧‧第一終端1232‧‧‧第二終端1240‧‧‧第一電感器1242‧‧‧第二電感器1250a‧‧‧第一頻率選擇性終端1250b‧‧‧第二頻率選擇性終端1260‧‧‧分接頭2100‧‧‧電漿反應器2102‧‧‧腔室主體2102a‧‧‧側壁2102b‧‧‧頂板2102c‧‧‧底板2104‧‧‧內部空間2106‧‧‧電極組件2110‧‧‧端口2112‧‧‧氣體供應2113‧‧‧真空泵2114‧‧‧工件支撐件2114a‧‧‧工件支撐表面2116‧‧‧工件支撐電極2118‧‧‧工件偏壓電壓供應2119‧‧‧內部通道2120‧‧‧複數個導體2122‧‧‧RF電源2130‧‧‧介電頂板2130a‧‧‧底表面2132‧‧‧底板2136‧‧‧槽2142‧‧‧RF偏壓功率產生器2144‧‧‧阻抗匹配2146‧‧‧流體供應2148‧‧‧熱交換器2150‧‧‧散熱器2152‧‧‧通道2154‧‧‧熱交換器2200‧‧‧處理工具2202‧‧‧主體2202a‧‧‧側壁2202b‧‧‧頂板2202c‧‧‧底板2204‧‧‧內部空間2204a-2204d‧‧‧腔室2210‧‧‧阻障物2214‧‧‧工件支撐件2214a‧‧‧工件支撐表面2260‧‧‧軸2262‧‧‧馬達2270‧‧‧阻障物2272‧‧‧阻障物2280‧‧‧泵-淨化系統2282‧‧‧第一通道2284‧‧‧第二通道2286‧‧‧第三通道2450‧‧‧導管H1‧‧‧第一間隙高度H2‧‧‧第二間隙高度R1‧‧‧內半徑R2‧‧‧外半徑S‧‧‧間隔θca‧‧‧中心角202‧‧‧Cylindrical chamber body 204‧‧‧inner space 206‧‧‧support 208‧‧‧top electrode 210‧‧‧gas inlet 220‧‧‧electrode assembly 220a‧‧‧electrode assembly 220b‧‧‧electrode Assembly 221‧‧‧outer wall 221a‧‧‧roof 260‧‧‧precursor station 260a‧‧‧first precursor station 270‧‧‧radial spacer 280‧‧‧precursor processing area 280a‧‧‧precursor processing Area 280b‧‧‧second precursor processing area 281‧‧‧gas isolation area 282‧‧‧first pumping area 283‧‧‧cleaning area 284‧‧‧second pumping area 285a‧‧‧plasma processing area 285b‧‧‧second plasma treatment area 300‧‧‧wire 302‧‧‧wire 304‧‧‧wire 310‧‧‧conductor 320‧‧‧cylindrical shell 330‧‧‧channel 340‧‧‧hollow channel 400‧ ‧‧electrode assembly 402 in the chamber‧‧‧support 410‧‧‧spacing 412‧‧‧plasma region 414‧‧‧upper plasma region 416‧‧‧lower plasma region 422‧‧‧RF power supply 422a‧‧‧ First terminal 422b‧‧‧second terminal 510‧‧‧first electrode subassembly 520‧‧‧second electrode subassembly 522‧‧‧first electrode subassembly 524‧‧‧first electrode subassembly 530‧‧‧ First bus 532‧‧‧second electrode subassembly 534‧‧‧second electrode subassembly 540‧‧‧second bus 550‧‧‧third bus 560‧‧‧fourth bus 580‧‧‧adjustable impedance 590 ‧‧‧Central feed 592‧‧‧X-shaped current separator 600‧‧‧Electrode assembly 601‧‧‧Electrode assembly 602‧‧‧First end 604‧‧‧Second end 605‧‧‧Bisector 610‧‧ ‧Coplanar wire 620‧‧‧frame 622‧‧‧notch 624‧‧‧wedge electrode 625‧‧‧upper part 626‧‧‧inside wall 627‧‧‧opening 650‧‧‧handling tool 700‧‧‧electrode assembly 702‧ ‧‧electrode assembly 704‧‧‧electrode assembly 706‧‧‧electrode assembly 710‧‧‧wire 712‧‧‧coplanar wire 714‧‧‧coplanar wire 730‧‧‧first bus 732‧‧‧first bus 734 . ‧‧Second end 754‧‧‧First end 755‧‧‧Second end 756‧‧‧Drive point 800‧‧‧Electrode assembly 801‧‧‧Switchable electrode system 802‧‧‧Switchable electrode system 804‧‧ ‧Switchable electrode system 806‧‧‧Switchable electrode system 808‧‧‧Switchable electrode system 810‧‧‧Wire 811‧‧‧First end 812‧‧‧Second end 816‧‧‧First multiplex 817‧ ‧‧Second multiplex 820‧‧‧First bus 821‧‧‧Third terminal 822‧ ‧‧second bus 824‧‧‧third bus 826‧‧‧fourth bus 828‧‧‧fourth bus 830‧‧‧first RF switch 831‧‧‧first terminal 832‧‧‧second terminal 834‧ ‧‧second RF switch 836‧‧‧third RF switch 838‧‧‧fourth RF switch 838840‧‧‧first tap 842‧‧‧second tap 844‧‧‧tap 846‧‧‧tap 848‧‧‧tap 850‧‧‧first RF switch group 854‧‧‧second RF switch group 856‧‧‧third RF switch group 858‧‧‧fourth RF switch group 860‧‧‧sub-switch 860a- 860h‧‧‧sub switch 870‧‧‧first RF switch group 874‧‧‧second RF switch group 876‧‧‧third RF switch group 878‧‧‧fourth RF switch group 900‧‧‧switchable electrode system 902‧‧‧switchable electrode assembly 940‧‧‧tap 942‧‧‧tap 1000‧‧‧switchable electrode assembly 1010‧‧‧wedge electrode assembly 1030‧‧‧first RF switch 1034‧‧‧second RF Switch 1036‧‧‧Third RF Switch 1038‧‧‧Fourth RF Switch 1040‧‧‧Tap 1100‧‧‧PIN Diode Switch 1102‧‧‧Saturable Inductor Switch 1110‧‧‧PIN Diode 1120 ‧‧‧first capacitor 1122‧‧‧second capacitor 1124‧‧‧first capacitor 1126‧‧‧second capacitor 1131‧‧‧first terminal 1132‧‧‧second terminal 1134‧‧‧control terminal 1135‧‧ ‧Control terminal 1136‧‧‧Control signal buffer amplifier 1137‧‧‧Control signal buffer amplifier 1138‧‧‧Low-pass filter 1140‧‧‧Inductor 1150‧‧‧Inductor 1160‧‧‧Saturable inductor 1162‧‧ ‧Primary winding 1164 ‧‧‧Control winding 1200 ‧‧‧Frequency based switch 1200a‧‧‧First frequency based switch 1200b‧‧‧Second frequency based switch 1204‧‧‧Frequency switched electrode system 1220‧‧‧ First capacitor 1222‧‧‧second capacitor 1231‧‧‧first terminal 1232‧‧‧second terminal 1240‧‧‧first inductor 1242‧‧‧second inductor 1250a‧‧‧first frequency selective terminal 1250b‧‧‧second frequency selective terminal 1260‧‧‧tap 2100‧‧‧plasma reactor 2102‧‧‧chamber body 2102a‧‧‧side walls 2102b‧‧‧top plate 2102c‧‧‧bottom plate 2104‧‧‧ Interior Space 2106‧‧‧Electrode Assembly 2110‧‧‧Port 2112‧‧‧Gas Supply 2113‧‧‧Vacuum Pump 2114‧‧‧Workpiece Support 2114a‧‧‧Workpiece Support Surface 2116‧‧‧Workpiece Support Electrode 2118‧‧‧Workpiece Bias voltage supply 2119‧‧‧internal channel 2 120‧‧‧Plurality of Conductors 2122‧‧‧RF Power Supply 2130‧‧‧Dielectric Top Plate 2130a‧‧‧Bottom Surface 2132‧‧‧Bottom Plate 2136‧‧‧Slot 2142‧‧‧RF Bias Power Generator 2144‧‧‧ Impedance matching 2146‧‧‧fluid supply 2148‧‧‧heat exchanger 2150‧‧‧radiator 2152‧‧‧passage 2154‧‧‧heat exchanger 2200‧‧‧processing tool 2202‧‧‧body 2202a‧‧‧side wall 2202b ‧‧‧top plate 2202c‧‧‧bottom plate 2204‧‧‧internal space 2204a-2204d‧‧‧chamber 2210‧‧‧obstacle 2214‧‧‧workpiece support 2214a‧‧‧workpiece support surface 2260‧‧‧shaft 2262 ‧‧‧Motor 2270‧‧‧obstacle 2272‧‧‧obstacle 2280‧‧‧pump-purification system 2282‧‧‧first channel 2284‧‧‧second channel 2286‧‧‧third channel 2450‧‧ ‧Conduit H 1 ‧‧‧First Gap Height H 2 ‧‧‧Second Gap Height R 1 ‧‧‧Inner Radius R 2 ‧‧Outer Radius S‧‧‧Interval θca‧‧‧Central Angle

在附圖和以下描述中闡述了本發明的一個或多個實施例的細節。本發明的其他特徵、目的和優點將由說明書、圖式以及申請專利範圍得以彰顯。The details of one or more embodiments of the invention are set forth in the accompanying drawings and the description below. Other features, objects and advantages of the present invention will be apparent from the description, drawings and claims.

附圖說明Description of drawings

圖1是包括電漿腔室的處理工具的實例的示意性側視圖。Figure 1 is a schematic side view of an example of a processing tool including a plasma chamber.

圖2A是包括電漿腔室的處理工具的實例的示意性頂視圖。2A is a schematic top view of an example of a processing tool including a plasma chamber.

圖2B-2C是圖2A的處理工具分別沿截面線B-B和C-C的橫截面側視圖。2B-2C are cross-sectional side views of the processing tool of FIG. 2A along section lines B-B and C-C, respectively.

圖3A-3C是腔室內電極組件的絲的各種實例的示意性橫截面透視圖。3A-3C are schematic cross-sectional perspective views of various examples of wires of an electrode assembly within a chamber.

圖4A是腔室內電極組件的一部分的示意性頂視圖。Figure 4A is a schematic top view of a portion of an electrode assembly within a chamber.

圖4B-C是具有不同電漿區域狀態的腔室內電極組件的橫截面示意性側視圖。4B-C are cross-sectional schematic side views of an electrode assembly in a chamber with different states of the plasma domain.

圖5A-D是腔室內電極組件配置的各種實例的示意性頂視圖。5A-D are schematic top views of various examples of electrode assembly configurations within a chamber.

圖6A是處理工具的實例的示意性頂視圖。Figure 6A is a schematic top view of an example of a processing tool.

圖6B是楔形電極組件的實例的示意性頂視圖。6B is a schematic top view of an example of a wedge-shaped electrode assembly.

圖6C是楔形電極組件的框架的實例的示意性頂視圖。6C is a schematic top view of an example of a frame of a wedge-shaped electrode assembly.

圖6D是楔形電極組件的框架的實例的橫截面側視圖。6D is a cross-sectional side view of an example of a frame of a wedge-shaped electrode assembly.

圖6E是楔形電極組件的實例的示意性頂視圖。6E is a schematic top view of an example of a wedge-shaped electrode assembly.

圖7A-7D是楔形電極組件的電氣配置的實例的概念示意圖。7A-7D are conceptual schematic diagrams of examples of electrical configurations of wedge-shaped electrode assemblies.

圖8A是電極組件的實例的示意性頂視圖。8A is a schematic top view of an example of an electrode assembly.

圖8B-8F是切換式電極組件的電氣配置的實例的概念示意圖。8B-8F are conceptual schematic diagrams of examples of electrical configurations of switched electrode assemblies.

圖9A-9B是模式可選的切換式電極系統的實例的概念示意圖。9A-9B are conceptual schematic diagrams of examples of mode-selectable switched electrode systems.

圖10是切換式楔形電極系統的實例的概念示意圖。10 is a conceptual schematic diagram of an example of a switched wedge electrode system.

圖11A是PIN二極體開關的實例的示意圖。11A is a schematic diagram of an example of a PIN diode switch.

圖11B是可飽和電感開關的實例的示意圖。11B is a schematic diagram of an example of a saturable inductive switch.

圖12A是基於頻率的開關的實例的示意圖。12A is a schematic diagram of an example of a frequency-based switch.

圖12B-C是頻率切換式電極系統的電氣配置的實例的概念示意圖。12B-C are conceptual schematic diagrams of examples of electrical configurations of frequency-switched electrode systems.

圖13是電漿反應器的實例的示意性側視圖。Figure 13 is a schematic side view of an example of a plasma reactor.

圖14A是電漿反應器的另一個實例的示意性頂視圖。Figure 14A is a schematic top view of another example of a plasma reactor.

圖14B和14C是圖14A的電漿反應器分別沿線14B-14B和14C-14C的示意性側視圖。14B and 14C are schematic side views of the plasma reactor of FIG. 14A along lines 14B-14B and 14C-14C, respectively.

圖15A-15C是電極組件的示意性橫截面圖。15A-15C are schematic cross-sectional views of electrode assemblies.

在不同圖示中的相同數字編號代表相同的元件。The same numerical designations in different drawings represent the same elements.

國內寄存資訊 (請依寄存機構、日期、號碼順序註記) 無Domestic deposit information (please note in order of depositor, date, and number) None

國外寄存資訊 (請依寄存國家、機構、日期、號碼順序註記) 無Overseas storage information (please note in order of storage country, institution, date, number) None

100:處理工具 100: Handling tools

102:腔室主體 102: Chamber body

104:內部空間 104: Internal space

106:支撐件 106: support

108:頂部電極 108: Top electrode

110:氣體分配器 110: gas distributor

112:氣體供應 112: Gas supply

113:真空泵 113: vacuum pump

114:工件支撐件 114: workpiece support

114a:工件支撐表面 114a: workpiece support surface

115:工件 115: Workpiece

116:工件支撐電極 116: workpiece support electrode

118:工件偏壓電壓供應 118: Workpiece bias voltage supply

119:內部通道 119: Internal channel

120:腔室內電極組件 120: Electrode assembly in the chamber

122:RF電源 122: RF power supply

123:開口 123: opening

124:平衡不平衡轉換器 124: Balun

130:頂部間隙 130: top clearance

132:底部間隙 132: Bottom clearance

133:底部內部空間 133: Bottom internal space

140:RF接地 140: RF ground

142:RF偏壓功率產生器 142:RF bias power generator

144:阻抗匹配 144: Impedance matching

146:流體供應 146: Fluid supply

148:熱交換器 148: heat exchanger

150:軸 150: axis

152:致動器 152: Actuator

154:驅動軸 154: drive shaft

Claims (37)

一種用於一電漿處理的處理工具,該處理工具包括:一腔室主體,該腔室主體具有一內部空間,該內部空間提供一電漿腔室;一框架,該框架具有一上部、從該上部向下延伸的多個側壁和從該等側壁向內延伸的一底板,該底板具有穿過該底板通到該電漿腔室的一開口,其中該開口是楔形的且該開口的一寬度小於在該等側壁之間的該框架的一內部容積的一寬度;一電極組件,該電極組件由該框架保持,該電極組件包含複數個共面絲,該複數個共面絲側向地延伸通過該框架的該內部容積及該等側壁之間和該開口上方,該複數個絲中的每個絲包含一導體,其中該複數個絲相對於該開口的一中線以一不同的角度定向,並且其中該等不同的角度在該楔形開口中從該楔形開口的一頂點到該楔形開口的一基部單調地變化,使得該複數個絲的每個絲越過該楔形開口的長度相等;一工件支撐件,該工件支撐件在該電漿腔室中固持一工件,使得該工件的一前表面的至少一部分面向該框架的該底板中的該開口;一致動器,該致動器在該腔室主體和該工件支撐件 之間產生相對運動,使得該開口側向地(laterally)移動橫越過(across)該工件;一氣體分配器,該氣體分配器將一處理氣體輸送到該電漿腔室;及一第一RF電源,該第一RF電源向該電極組件的該等導體提供一第一RF功率以形成一電漿。 A processing tool for a plasma processing, the processing tool includes: a chamber body, the chamber body has an interior space, the interior space provides a plasma chamber; a frame, the frame has an upper, from Sidewalls extending downwardly from the upper portion and a floor extending inwardly from the sidewalls, the floor having an opening through the floor to the plasma chamber, wherein the opening is wedge-shaped and a portion of the opening a width less than a width of an internal volume of the frame between the side walls; an electrode assembly held by the frame, the electrode assembly comprising a plurality of coplanar wires laterally extending through the interior volume of the frame and between the sidewalls and above the opening, each of the plurality of wires comprising a conductor, wherein the plurality of wires are at a different angle relative to a centerline of the opening oriented, and wherein the different angles vary monotonically in the wedge-shaped opening from an apex of the wedge-shaped opening to a base of the wedge-shaped opening so that each of the plurality of filaments is equal in length across the wedge-shaped opening; a a workpiece support holding a workpiece in the plasma chamber such that at least a portion of a front surface of the workpiece faces the opening in the floor of the frame; an actuator in the Chamber body and the workpiece support Relative motion is generated between, so that the opening laterally (laterally) moves across (across) the workpiece; a gas distributor, the gas distributor delivers a process gas to the plasma chamber; and a first RF A power supply, the first RF power supply provides a first RF power to the conductors of the electrode assembly to form a plasma. 如請求項1所述之處理工具,其中該工件支撐件可繞一旋轉軸旋轉,且該致動器轉動該工件支撐件,使得該支撐件的旋轉承載該工件橫越過該開口。 The processing tool of claim 1, wherein the workpiece support is rotatable about an axis of rotation, and the actuator rotates the workpiece support such that rotation of the support carries the workpiece across the opening. 如請求項2所述之處理工具,其中該複數個共面絲延伸橫越過該楔形開口。 The processing tool of claim 2, wherein the plurality of coplanar filaments extend across the wedge-shaped opening. 如請求項3所述之處理工具,其中該複數個共面絲包括多個線性絲,且不同的絲具有不同的長度,以便界定該楔形開口。 The processing tool of claim 3, wherein the plurality of coplanar filaments comprises a plurality of linear filaments, and different filaments have different lengths to define the wedge-shaped opening. 如請求項4所述之處理工具,其中該複數個共面絲平行延伸。 The processing tool as claimed in claim 4, wherein the plurality of coplanar filaments extend in parallel. 如請求項4所述之處理工具,其中該等不同角度提供該複數個共面絲的一相對定向,使得在該楔形開口中產生的一電漿密度在該楔形開口的該頂點處比在該楔形開口的該基部處低。 The processing tool as claimed in claim 4, wherein the different angles provide a relative orientation of the plurality of coplanar filaments such that a plasma density generated in the wedge-shaped opening is higher at the apex of the wedge-shaped opening than at the apex of the wedge-shaped opening The base of the wedge-shaped opening is low. 如請求項3所述之處理工具,其中該複數個共面絲經定向,以具有相對於該開口下方的該工件的 一運動方向成一非零角度的縱軸。 The processing tool of claim 3, wherein the plurality of coplanar filaments are oriented to have an orientation relative to the workpiece below the opening A longitudinal axis whose direction of motion forms a non-zero angle. 如請求項7所述之處理工具,其中該非零角度大於10°。 The processing tool as claimed in claim 7, wherein the non-zero angle is greater than 10°. 如請求項1所述之處理工具,其中該複數個共面絲的導體的端藉由一遞迴式RF饋送結構連接到該第一RF電源。 The processing tool as claimed in claim 1, wherein the ends of the conductors of the plurality of coplanar filaments are connected to the first RF power source through a recursive RF feed structure. 如請求項1所述之處理工具,其中該複數個共面絲的導體的相對端連接到一共用總線(bus),且該共用總線在兩個相對的位置處連接到該第一RF電源。 The processing tool as claimed in claim 1, wherein opposite ends of the conductors of the plurality of coplanar filaments are connected to a common bus, and the common bus is connected to the first RF power source at two opposite positions. 一種電漿反應器,包括:一腔室主體,該腔室主體具有一內部空間,該內部空間提供一電漿腔室;一氣體分配器,該氣體分配器將一處理氣體輸送到該電漿腔室;一泵,該泵耦接該電漿腔室以抽空該腔室;一工件支撐件,該工件支撐件固持一工件;一腔室內電極組件,該腔室內電極組件包含複數個絲,該複數個絲側向地延伸通過該電漿腔室的一頂板和該工件支撐件之間的該電漿腔室,每個絲包含由一圓柱形絕緣殼包圍的一導體,其中該複數個絲包含第一多重絲和第二多重絲,該第二多重絲與該第一多重 絲以一交替的模式佈置;一第一總線與一第二總線,該第一總線耦接該第一多重絲,該第二總線耦接該第二多重絲;一RF電源,該RF電源將一RF信號施加於該腔室內電極組件;及至少一個RF開關,該至少一個RF開關經配置可控制地將該第一總線與以下各者中的一者電耦接及去耦:i)地、ii)該RF電源或iii)該第二總線。 A plasma reactor comprising: a chamber body having an interior space providing a plasma chamber; a gas distributor delivering a process gas to the plasma a chamber; a pump coupled to the plasma chamber to evacuate the chamber; a workpiece support holding a workpiece; an inner chamber electrode assembly comprising a plurality of wires, The plurality of filaments extend laterally through the plasma chamber between a ceiling of the plasma chamber and the workpiece support, each filament comprising a conductor surrounded by a cylindrical insulating shell, wherein the plurality of The filaments comprise a first multifilament and a second multifilament, the second multifilament and the first multifilament The wires are arranged in an alternating pattern; a first bus and a second bus, the first bus is coupled to the first multifilament, the second bus is coupled to the second multifilament; an RF power supply, the RF a power supply to apply an RF signal to the chamber electrode assembly; and at least one RF switch configured to controllably electrically couple and decouple the first bus to one of: i ) ground, ii) the RF power supply, or iii) the second bus. 如請求項11所述之電漿反應器,其中該至少一個RF開關包括在該第一總線與以下各者中的一者之間並聯連接的複數個RF開關:i)地、ii)該RF電源或iii)該第二總線。 The plasma reactor as claimed in claim 11, wherein the at least one RF switch comprises a plurality of RF switches connected in parallel between the first bus and one of: i) ground, ii) the RF power supply or iii) the second bus. 如請求項11所述之電漿反應器,其中該至少一個RF開關經配置可控制地將該第一總線與該第二總線電耦接及去耦。 The plasma reactor of claim 11, wherein the at least one RF switch is configured to controllably electrically couple and decouple the first bus and the second bus. 如請求項13所述之電漿反應器,其中該至少一個RF開關包括在該第一總線與該第二總線上的不同對位置之間並聯連接的複數個開關,以可控制地將該第一總線與該第二總線電耦接和去耦。 The plasma reactor as claimed in claim 13, wherein the at least one RF switch comprises a plurality of switches connected in parallel between different pairs of positions on the first bus and the second bus to controllably connect the second A bus is electrically coupled and decoupled from the second bus. 如請求項11所述之電漿反應器,其中該至少一個RF開關包括一第一開關,該第一開關經配置可控制地將該第一總線與地電耦接和去耦,且包括至 少一個第二RF開關,該第二RF開關經配置可控制地將該第二總線與地電耦接和去耦。 The plasma reactor as claimed in claim 11, wherein the at least one RF switch comprises a first switch configured to controllably electrically couple and decouple the first bus line to and from ground, and comprises to There is one less second RF switch configured to controllably electrically couple and decouple the second bus from ground. 如請求項15所述之電漿反應器,其中該至少一個RF開關包括在該第一總線上的不同位置與地之間並聯連接的第一複數個開關,且該至少一個第二開關包括在該第二總線上的不同位置與地之間並聯連接的第二複數個開關。 The plasma reactor as claimed in claim 15, wherein the at least one RF switch includes a first plurality of switches connected in parallel between different positions on the first bus and ground, and the at least one second switch is included in A second plurality of switches connected in parallel between different positions on the second bus and ground. 如請求項16所述之電漿反應器,其中該第一總線上的不同位置包括該第一總線的相對端,且該第二總線上的不同位置包括該第二總線的相對端。 The plasma reactor of claim 16, wherein different positions on the first bus comprise opposite ends of the first bus, and different positions on the second bus comprise opposite ends of the second bus. 如請求項11所述之電漿反應器,其中該至少一個RF開關包括一第一開關,該第一開關經配置可控制地將該第一總線與該RF電源電耦接和去耦,且包括至少一個第二RF開關,該第二RF開關經配置可控制地將該第二總線與該RF電源電耦接和去耦。 The plasma reactor of claim 11, wherein the at least one RF switch comprises a first switch configured to controllably electrically couple and decouple the first bus to and from the RF power supply, and At least one second RF switch configured to controllably electrically couple and decouple the second bus to and from the RF power source is included. 如請求項18所述之電漿反應器,其中該至少一個RF開關包括在該第一總線上的不同位置與該RF電源之間並聯連接的第一複數個開關,且該至少一個第二開關包括在該第二總線上的不同位置與該RF電源之間並聯連接的第二複數個開關。 The plasma reactor as claimed in claim 18, wherein the at least one RF switch comprises a first plurality of switches connected in parallel between different positions on the first bus and the RF power supply, and the at least one second switch A second plurality of switches connected in parallel between different locations on the second bus and the RF power supply are included. 如請求項18所述之電漿反應器,其中該至少一個RF開關包括在該第一總線上的不同位置與該 RF電源之間並聯連接的第一複數個開關,且該至少一個第二開關包括在該第二總線上的不同位置與地之間並聯連接的第二複數個開關。 The plasma reactor as recited in claim 18, wherein the at least one RF switch is included at a different location on the first bus from the A first plurality of switches connected in parallel between the RF power supplies, and the at least one second switch includes a second plurality of switches connected in parallel between different locations on the second bus and ground. 如請求項19或20所述之電漿反應器,其中該第一總線上的不同位置包括該第一總線的相對端,且該第二總線上的不同位置包括該第二總線的相對端。 The plasma reactor as claimed in claim 19 or 20, wherein different positions on the first bus comprise opposite ends of the first bus, and different positions on the second bus comprise opposite ends of the second bus. 如請求項11所述之電漿反應器,包括:一第三總線與一第四總線,該第三總線耦接該第一多重絲,該第四總線耦接該第二多重絲,其中該複數個絲具有複數個第一端和複數個第二端,且各個相應絲的第一端比相應絲的第二端更靠近該電漿腔室的一第一側壁,及其中該第一總線耦接至該第一多重絲的該等第一端,該第二總線耦接至該第二多重絲的該等第一端,該第三總線耦接至該第一多重絲的該等第二端,以及該第四總線耦接至該第二多重絲的該等第二端。 The plasma reactor as claimed in claim 11, comprising: a third bus and a fourth bus, the third bus is coupled to the first multifilament, the fourth bus is coupled to the second multifilament, wherein the plurality of filaments have a plurality of first ends and a plurality of second ends, and the first ends of each corresponding filament are closer to a first sidewall of the plasma chamber than the second ends of the corresponding filaments, and wherein the first A bus is coupled to the first ends of the first multiplex, the second bus is coupled to the first ends of the second multiplex, the third bus is coupled to the first multiplex The second ends of the wires, and the fourth bus are coupled to the second ends of the second multi-wire. 如請求項22所述之電漿反應器,其中該至少一個RF開關經配置可控制地將該第一總線與該第二總線電耦接和去耦,且包括至少一個第二RF開關,該第二RF開關經配置可控制地將該第三總線與該第四總線電耦接和去耦。 The plasma reactor of claim 22, wherein the at least one RF switch is configured to controllably electrically couple and decouple the first bus and the second bus, and includes at least one second RF switch, the A second RF switch is configured to controllably electrically couple and decouple the third bus with the fourth bus. 如請求項22所述之電漿反應器,其中該至少一個RF開關包括一第一開關,該第一開關經配置可控制地將該第一總線與地電耦接和去耦,且包括至少一個第二RF開關,該第二RF開關經配置可控制地將該第三總線與地電耦接和去耦。 The plasma reactor of claim 22, wherein the at least one RF switch comprises a first switch configured to controllably electrically couple and decouple the first bus line to and from ground, and comprises at least A second RF switch configured to controllably electrically couple and decouple the third bus to ground. 如請求項22所述之電漿反應器,其中該至少一個RF開關包括一第一開關,該第一開關經配置可控制地將該第一總線與地電耦接和去耦,且包括至少一個第二RF開關、至少一個第三RF開關,該第二RF開關經配置可控制地將該第二總線與該RF電源電耦接和去耦,該第三RF開關經配置可控制地將該第三總線與地電耦接和去耦,且包括至少一個第四RF開關,該第四RF開關經配置可控制地將該第四總線與該RF電源電耦接和去耦。 The plasma reactor of claim 22, wherein the at least one RF switch comprises a first switch configured to controllably electrically couple and decouple the first bus line to and from ground, and comprises at least a second RF switch, at least one third RF switch configured to controllably couple the second bus to and decouple electrically from the RF power supply, the third RF switch configured to controllably couple The third bus is electrically coupled to and decoupled from ground and includes at least one fourth RF switch configured to controllably electrically couple and decouple the fourth bus from the RF power supply. 如請求項22所述之電漿反應器,其中該至少一個RF開關包括一第一開關,該第一開關經配置可控制地將該第一總線與該RF電源電耦接和去耦,且包括至少一個第二RF開關、至少一個第三RF開關,該第二RF開關經配置可控制地將該第二總線與該RF電源電耦接和去耦,該第三RF開關經配置可控制地將該第三總線與RF電源電耦接和去耦,且包括至少一個第四RF開關,該第四RF開關經配置可控制地 將該第四總線與該RF電源電耦接和去耦。 The plasma reactor of claim 22, wherein the at least one RF switch comprises a first switch configured to controllably electrically couple and decouple the first bus to and from the RF power supply, and including at least one second RF switch, at least one third RF switch configured to controllably electrically couple and decouple the second bus with the RF power supply, the third RF switch configured to controllably electrically coupling and decoupling the third bus to an RF power source, and including at least one fourth RF switch configured to controllably The fourth bus is electrically coupled and decoupled from the RF power supply. 一種電漿反應器,包括:一腔室主體,該腔室主體具有一內部空間,該內部空間提供一電漿腔室;一氣體分配器,該氣體分配器將一處理氣體輸送到該電漿腔室;一泵,該泵耦接該電漿腔室以抽空該腔室;一工件支撐件,該工件支撐件固持一工件;一腔室內電極組件,該腔室內電極組件包含複數個絲,該複數個絲側向地延伸通過該電漿腔室的一頂板和該工件支撐件之間的該電漿腔室,每個絲包含由一圓柱形絕緣殼包圍的一導體;一總線,該總線在該腔室外且耦接至複數個絲的相對端;一RF電源,該RF電源將一RF信號施加於該腔室內電極組件;及複數個RF開關,該複數個RF開關經配置可控制地將總線上的複數個不同位置與以下各者中的一者電耦接和去耦:i)地或ii)該RF電源。 A plasma reactor comprising: a chamber body having an interior space providing a plasma chamber; a gas distributor delivering a process gas to the plasma a chamber; a pump coupled to the plasma chamber to evacuate the chamber; a workpiece support holding a workpiece; an inner chamber electrode assembly comprising a plurality of wires, The plurality of wires extend laterally through the plasma chamber between a ceiling of the plasma chamber and the workpiece support, each wire comprising a conductor surrounded by a cylindrical insulating shell; a bus, the a bus outside the chamber and coupled to opposite ends of the plurality of wires; an RF power supply that applies an RF signal to the electrode assembly within the chamber; and a plurality of RF switches configured to control Ground electrically couples and decouples a plurality of different locations on the bus to one of: i) ground or ii) the RF power supply. 一種電漿反應器,包括:一腔室主體,該腔室主體具有一內部空間,該內部空間提供一電漿腔室; 一氣體分配器,該氣體分配器將一處理氣體輸送到該電漿腔室;一工件支撐件,該工件支撐件固持一工件;一電極組件,該電極組件包含複數個導體,該複數個導體以一共面陣列的方式平行側向地延伸橫越過一區域,該區域跨越該工件支撐件上的該工件的一預期位置;一RF電源,該RF電源向該電極組件提供一第一RF功率;及一介電底板,該介電底板在該電極組件和該工件支撐件之間,該介電底板在該電極組件和該電漿腔室之間提供一RF窗;一介電頂板,該介電頂板具有一下表面,該下表面具有複數個平行槽;及複數個絲,該複數個絲在該介電頂板和該RF窗之間的該複數個平行槽中,每個絲包含來自該複數個導體的一單一導體和圍繞該單一導體並且定位在該介電頂板和該RF窗之間的一非金屬殼,其中該殼形成一導管,且該單一導體作為一實心線延伸穿過該導管並且懸置在該導管中,其中一間隙圍繞該單一導體並且將該單一導體與該殼分開,使得該單一導體與該殼的一內底板間隔開。 A plasma reactor comprising: a chamber body having an interior space providing a plasma chamber; A gas distributor that delivers a process gas to the plasma chamber; a workpiece support that holds a workpiece; an electrode assembly that includes a plurality of conductors, the plurality of conductors extending parallel and laterally across a region spanning a desired location of the workpiece on the workpiece support in a coplanar array; an RF power supply providing a first RF power to the electrode assembly; and a dielectric bottom plate between the electrode assembly and the workpiece support, the dielectric bottom plate provides an RF window between the electrode assembly and the plasma chamber; a dielectric top plate, the dielectric The electrical top plate has a lower surface with a plurality of parallel grooves; and a plurality of wires in the plurality of parallel grooves between the dielectric top plate and the RF window, each wire comprising a single conductor of the conductors and a non-metallic shell surrounding the single conductor and positioned between the dielectric top plate and the RF window, wherein the shell forms a conduit and the single conductor extends as a solid wire through the conduit and suspended in the conduit with a gap surrounding the single conductor and separating the single conductor from the shell such that the single conductor is spaced from an inner floor of the shell. 如請求項28所述之電漿反應器,其中該介電頂板是一陶瓷體,及該介電底板是石英或氮化矽。 The plasma reactor as claimed in claim 28, wherein the dielectric top plate is a ceramic body, and the dielectric bottom plate is quartz or silicon nitride. 如請求項28所述之電漿反應器,其中該複數個導體包括第一多重導體和第二多重導體,該第二多重導體以與該第一多重導體交替的模式佈置,且該RF電源經配置將一第一RF輸入信號施加於該第一多重導體,以及將一第二RF輸入信號施加於該第二多重導體。 The plasma reactor of claim 28, wherein the plurality of conductors includes a first plurality of conductors and a second plurality of conductors, the second plurality of conductors being arranged in an alternating pattern with the first plurality of conductors, and The RF power supply is configured to apply a first RF input signal to the first multiple conductor, and apply a second RF input signal to the second multiple conductor. 如請求項30所述之電漿反應器,其中該RF電源經配置以相同的頻率產生該第一RF輸入信號和該第二RF輸入信號。 The plasma reactor of claim 30, wherein the RF power supply is configured to generate the first RF input signal and the second RF input signal at the same frequency. 如請求項31所述之電漿反應器,其中該RF電源經配置在該第一RF輸入信號和該第二RF輸入信號之間提供一可調整的相位差。 The plasma reactor of claim 31, wherein the RF power supply is configured to provide an adjustable phase difference between the first RF input signal and the second RF input signal. 如請求項30所述之電漿反應器,其中該複數個導體在該電漿腔室的一第一側具有複數個第一端,且在該電漿腔室的相對的一第二側具有複數個第二端。 The plasma reactor of claim 30, wherein the plurality of conductors have a plurality of first ends on a first side of the plasma chamber and have a plurality of first ends on an opposite second side of the plasma chamber a plurality of second ends. 如請求項33所述之電漿反應器,其中該RF電源經配置將該第一RF輸入信號施加於該第一多重導體的該第一端,以及將該第二RF輸入信號施加於該第二多重導體的該第二端。 The plasma reactor of claim 33, wherein the RF power supply is configured to apply the first RF input signal to the first end of the first multiconductor, and apply the second RF input signal to the The second end of the second multiple conductor. 如請求項34所述之電漿反應器,其中該第一多重導體的第二端是浮動的,以及該第二多重導體的第一端是浮動的。 The plasma reactor of claim 34, wherein the second end of the first multiconductor is floating, and the first end of the second multiconductor is floating. 如請求項34所述之電漿反應器,其中該第一多重導體的該等第一端連接到一第一共用總線,以及該第二多重導體的該等第二端連接到一第二共用總線。 The plasma reactor as claimed in claim 34, wherein the first ends of the first multiconductor are connected to a first common bus, and the second ends of the second multiconductor are connected to a first Two share the bus. 如請求項34所述之電漿反應器,其中該第一多重導體的該等第二端接地,以及該第二多重導體的該等第一端接地。 The plasma reactor of claim 34, wherein the second ends of the first multiconductor are grounded, and the first ends of the second multiconductor are grounded.
TW107119619A 2017-06-22 2018-06-07 Processing tool for plasma process and plasma reactor TWI794240B (en)

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
US201762523768P 2017-06-22 2017-06-22
US15/630,658 US11114284B2 (en) 2017-06-22 2017-06-22 Plasma reactor with electrode array in ceiling
US15/630,658 2017-06-22
US15/630,828 US11355321B2 (en) 2017-06-22 2017-06-22 Plasma reactor with electrode assembly for moving substrate
US15/630,828 2017-06-22
US62/523,768 2017-06-22

Publications (2)

Publication Number Publication Date
TW201905957A TW201905957A (en) 2019-02-01
TWI794240B true TWI794240B (en) 2023-03-01

Family

ID=64737396

Family Applications (1)

Application Number Title Priority Date Filing Date
TW107119619A TWI794240B (en) 2017-06-22 2018-06-07 Processing tool for plasma process and plasma reactor

Country Status (5)

Country Link
JP (1) JP7198228B2 (en)
KR (1) KR102620096B1 (en)
CN (1) CN110945624B (en)
TW (1) TWI794240B (en)
WO (1) WO2018237113A1 (en)

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2020257965A1 (en) * 2019-06-24 2020-12-30 Trumpf Huettinger (Shanghai) Co., Ltd. Method of adjusting the output power of a power supply supplying electrical power to a plasma, plasma apparatus and power supply
JP7407607B2 (en) 2020-01-31 2024-01-04 株式会社Screenホールディングス Plasma generator and substrate processing equipment
CN114496693A (en) * 2020-11-11 2022-05-13 中微半导体设备(上海)股份有限公司 Multi-zone heating device, lower electrode assembly, plasma processing device and temperature adjusting method
JP2023027962A (en) * 2021-08-18 2023-03-03 株式会社Screenホールディングス Substrate processing apparatus
TWI825651B (en) * 2022-04-01 2023-12-11 韓商細美事有限公司 Substrate processing apparatus and method using the plasma

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW200505293A (en) * 2003-04-24 2005-02-01 Tokyo Electron Ltd Plasma monitoring method, plasma monitor and plasma treatment apparatus
US8436318B2 (en) * 2010-04-05 2013-05-07 Varian Semiconductor Equipment Associates, Inc. Apparatus for controlling the temperature of an RF ion source window
EP2215283B1 (en) * 2007-09-26 2014-04-30 Eastman Kodak Company Process of making an optical film by atomic layer deposition (ald) at atmospheric pressure
TW201428825A (en) * 2010-10-26 2014-07-16 Hitachi Int Electric Inc Substrate processing apparatus, semiconductor device manufacturing method and computer-readable recording medium
US20160024653A1 (en) * 2013-03-15 2016-01-28 Applied Materials, Inc. Plasma Source For Rotating Platen ALD Chambers
TW201712722A (en) * 2015-09-28 2017-04-01 應用材料股份有限公司 A plasma reactor for processing a workpiece with an array of plasma point sources
TW201714493A (en) * 2015-09-11 2017-04-16 應用材料股份有限公司 Plasma module with slotted ground plate

Family Cites Families (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0756309A1 (en) * 1995-07-26 1997-01-29 Applied Materials, Inc. Plasma systems for processing substrates
US6161499A (en) * 1997-07-07 2000-12-19 Cvd Diamond Corporation Apparatus and method for nucleation and deposition of diamond using hot-filament DC plasma
JP3586197B2 (en) 2000-03-23 2004-11-10 シャープ株式会社 Plasma film forming equipment for thin film formation
JP2004128159A (en) * 2002-10-01 2004-04-22 Mitsubishi Heavy Ind Ltd Device and method for producing high frequency plasma
JP4413084B2 (en) 2003-07-30 2010-02-10 シャープ株式会社 Plasma process apparatus and cleaning method thereof
US8293069B2 (en) * 2004-03-15 2012-10-23 Sungkyunkwan University Inductively coupled plasma apparatus
JP4179337B2 (en) * 2006-05-17 2008-11-12 日新イオン機器株式会社 Ion source and operation method thereof
KR101073834B1 (en) * 2009-09-10 2011-10-14 주성엔지니어링(주) Apparatus and method for plasma processing
US8920597B2 (en) * 2010-08-20 2014-12-30 Applied Materials, Inc. Symmetric VHF source for a plasma reactor
US9048518B2 (en) * 2011-06-21 2015-06-02 Applied Materials, Inc. Transmission line RF applicator for plasma chamber
KR20130117994A (en) * 2012-04-19 2013-10-29 주성엔지니어링(주) Apparatus and method for plasma processing
US9373517B2 (en) * 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
JP2014049541A (en) 2012-08-30 2014-03-17 Mitsubishi Heavy Ind Ltd Thin film manufacturing device and electrode voltage regulating method thereof
US9449795B2 (en) * 2013-02-28 2016-09-20 Novellus Systems, Inc. Ceramic showerhead with embedded RF electrode for capacitively coupled plasma reactor
TWI717610B (en) * 2013-08-16 2021-02-01 美商應用材料股份有限公司 Elongated capacitively coupled plasma source for high temperature low pressure environments
EP2849204B1 (en) * 2013-09-12 2017-11-29 Meyer Burger (Germany) AG Plasma generating apparatus
US20150252477A1 (en) 2014-03-06 2015-09-10 Applied Materials, Inc. In-situ carbon and oxide doping of atomic layer deposition silicon nitride films
US9336997B2 (en) * 2014-03-17 2016-05-10 Applied Materials, Inc. RF multi-feed structure to improve plasma uniformity
JP2017033788A (en) 2015-08-03 2017-02-09 日新電機株式会社 Plasma processing apparatus
JP2017107963A (en) * 2015-12-09 2017-06-15 東京エレクトロン株式会社 Plasma processing apparatus and deposition method

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW200505293A (en) * 2003-04-24 2005-02-01 Tokyo Electron Ltd Plasma monitoring method, plasma monitor and plasma treatment apparatus
EP2215283B1 (en) * 2007-09-26 2014-04-30 Eastman Kodak Company Process of making an optical film by atomic layer deposition (ald) at atmospheric pressure
US8436318B2 (en) * 2010-04-05 2013-05-07 Varian Semiconductor Equipment Associates, Inc. Apparatus for controlling the temperature of an RF ion source window
TW201428825A (en) * 2010-10-26 2014-07-16 Hitachi Int Electric Inc Substrate processing apparatus, semiconductor device manufacturing method and computer-readable recording medium
US20160024653A1 (en) * 2013-03-15 2016-01-28 Applied Materials, Inc. Plasma Source For Rotating Platen ALD Chambers
TW201714493A (en) * 2015-09-11 2017-04-16 應用材料股份有限公司 Plasma module with slotted ground plate
TW201712722A (en) * 2015-09-28 2017-04-01 應用材料股份有限公司 A plasma reactor for processing a workpiece with an array of plasma point sources

Also Published As

Publication number Publication date
JP2020524903A (en) 2020-08-20
KR20200011576A (en) 2020-02-03
WO2018237113A1 (en) 2018-12-27
CN110945624A (en) 2020-03-31
TW201905957A (en) 2019-02-01
CN110945624B (en) 2022-11-18
KR102620096B1 (en) 2024-01-03
JP7198228B2 (en) 2022-12-28

Similar Documents

Publication Publication Date Title
TWI794240B (en) Processing tool for plasma process and plasma reactor
US10510515B2 (en) Processing tool with electrically switched electrode assembly
US11114284B2 (en) Plasma reactor with electrode array in ceiling
KR102060223B1 (en) Multi-frequency power modulation for etching high aspect ratio features
KR101094124B1 (en) Antenna for producing uniform process rates
US20180308663A1 (en) Plasma reactor with phase shift applied across electrode array
US7976674B2 (en) Embedded multi-inductive large area plasma source
KR102586592B1 (en) High Temperature RF Heater Pedestals
TWI448215B (en) Apparatus for plasma processing
JP7345600B2 (en) Microwave plasma source for spatial plasma atomic layer deposition (PE-ALD) processing tools
US11355321B2 (en) Plasma reactor with electrode assembly for moving substrate
KR20190134811A (en) Plasma reactor with electrode filaments
JP2003109798A (en) Discharge device, plasma treatment method and solar cell
US20180308664A1 (en) Plasma reactor with filaments and rf power applied at multiple frequencies
KR102501096B1 (en) Applying power to the electrodes of the plasma reactor
TWI578376B (en) Integrated induction coil &amp; microwave antenna as an all-planar source
KR101239776B1 (en) A physical vapor deposition plasma reactor with rf source power applied to the target
US20180308667A1 (en) Plasma reactor with groups of electrodes
KR20180101271A (en) Process for performing self-limited etching of organic materials
WO2021011039A1 (en) Equipment and methods for plasma processing
US20240212995A1 (en) Apparatus for treating substrate
TW202247711A (en) Microwave plasma source for spatial plasma enhanced atomic layer deposition (pe-ald) processing tool
WO1996025834A1 (en) Plasma processing apparatus