TWI792891B - 半導體結構及其形成方法 - Google Patents

半導體結構及其形成方法 Download PDF

Info

Publication number
TWI792891B
TWI792891B TW111103265A TW111103265A TWI792891B TW I792891 B TWI792891 B TW I792891B TW 111103265 A TW111103265 A TW 111103265A TW 111103265 A TW111103265 A TW 111103265A TW I792891 B TWI792891 B TW I792891B
Authority
TW
Taiwan
Prior art keywords
layer
stack
source
drain
fin
Prior art date
Application number
TW111103265A
Other languages
English (en)
Other versions
TW202236433A (zh
Inventor
江國誠
朱熙甯
陳冠霖
鄭嶸健
王志豪
程冠倫
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202236433A publication Critical patent/TW202236433A/zh
Application granted granted Critical
Publication of TWI792891B publication Critical patent/TWI792891B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823412MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823418MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823437MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/0886Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • H01L29/0673Nanowires or nanotubes oriented parallel to a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • H01L29/42392Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor fully surrounding the channel, e.g. gate-all-around
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66439Unipolar field-effect transistors with a one- or zero-dimensional channel, e.g. quantum wire FET, in-plane gate transistor [IPG], single electron transistor [SET], striped channel transistor, Coulomb blockade transistor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/775Field effect transistors with one dimensional charge carrier gas channel, e.g. quantum wire FET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78606Thin film transistors, i.e. transistors with a channel being at least partly a thin film with supplementary region or layer in the thin film or in the insulated bulk substrate supporting it for controlling or increasing the safety of the device
    • H01L29/78618Thin film transistors, i.e. transistors with a channel being at least partly a thin film with supplementary region or layer in the thin film or in the insulated bulk substrate supporting it for controlling or increasing the safety of the device characterised by the drain or the source properties, e.g. the doping structure, the composition, the sectional shape or the contact structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78696Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the structure of the channel, e.g. multichannel, transverse or longitudinal shape, length or width, doping structure, or the overlap or alignment between the channel and the gate, the source or the drain, or the contacting structure of the channel
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • H01L29/165Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Nanotechnology (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Materials Engineering (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Thin Film Transistor (AREA)
  • Semiconductor Integrated Circuits (AREA)

Abstract

半導體結構包含設置在半導體基底上方的主動通道層的第一堆疊和主動通道層的第二堆疊,其中第二堆疊包含虛設通道層並且第一堆疊沒有任何虛設通道層,閘極結構與第一堆疊和第二堆疊接合,以及設置成鄰近第一堆疊的第一源極/汲極部件和設置成鄰近第二堆疊的第二源極/汲極部件,其中第二源極/汲極部件與虛設通道層重疊。

Description

半導體結構及其形成方法
本發明實施例關於半導體製造技術,特別關於半導體結構及其形成方法。
半導體積體電路(integrated circuit,IC)產業已經歷了指數型成長。積體電路材料和設計上的技術進展已產生了數個世代的積體電路,每一世代皆較前一世代具有更小且更複雜的電路。在積體電路演進的歷程中,當可以產生的幾何尺寸(亦即使用生產製程可以產生的最小元件(或線))縮減時,功能密度(亦即單位晶片面積的互連裝置數量)通常也增加。這種尺寸微縮製程通常藉由提高生產效率及降低相關成本而提供一些效益。這樣的尺寸微縮也增加了加工和製造積體電路的複雜度,並且為了實現這些進步,需要在積體電路加工和製造方面有類似的發展。
隨著積體電路技術朝更小的技術節點發展,已經引入三維多閘極裝置,以藉由增加閘極-通道耦合、降低截止狀態電流、及降低短通道效應(short-channel effects,SCEs)來改善閘極控制。多閘極裝置通常是指具有閘極結構或其一部分設置在通道區的多於一側上方的裝置。奈米片場效電晶體 (nanosheet field-effect transistor)(NS FET;也稱為全繞式閘極(gate-all-around)或GAA場效電晶體)是多閘極裝置的範例。奈米片場效電晶體通常包含可以部分地或完全地圍繞通道區延伸的閘極結構,以在兩側或更多側提供到通道區的通路。具有不同配置的奈米片場效電晶體由於其不同的效能特性可能適用於不同的電路功能。雖然現有的奈米片場效電晶體和奈米片場效電晶體的形成方法通常足以滿足其預期目的,但並非在所有面向都令人滿意。
根據一些實施例提供半導體結構。此半導體結構包含設置在半導體基底上方的主動通道層的第一堆疊;設置在半導體基底上方的主動通道層的第二堆疊;設置在第二堆疊內的虛設通道層,其中第一堆疊沒有任何虛設通道層;閘極結構與第一堆疊和第二堆疊接合;以及設置成鄰近第一堆疊的多個第一源極/汲極部件,設置成鄰近第二堆疊的多個第二源極/汲極部件,其中第二源極/汲極部件與虛設通道層重疊。
根據一些實施例提供半導體結構。此半導體結構包含第一鰭片結構,其包含主動通道層的第一堆疊並從基底突出;第二鰭片結構,其包含設置在至少一虛設通道層上方的主動通道層的第二堆疊並從基底突出;閘極結構與第一堆疊與第二堆疊接合;設置在第一鰭片結構中且鄰近第一堆疊的第一源極/汲極部件,其中第一源極/汲極部件包含在未摻雜磊晶層上方的第一摻雜磊晶層;以及設置在第二鰭片結構中且鄰近第二堆疊的第二源極/汲極部件,其中第二源極/汲極部件包含在未摻雜磊晶層上方的第二摻雜磊晶層,並且其中未摻雜磊晶層與至少一虛設通道層重疊。
根據一些實施例提供半導體結構的形成方法。此方法包含提供半導體基底,半導體基底具有第一區域和第二區域;形成從第一區域突出的第一鰭片和從第二區域突出的第二鰭片,其中第一鰭片包含主動通道層的第一堆疊,並且第二鰭片包含設置在至少一虛設通道層上方的主動通道層的第二堆疊,並且其中主動通道層與至少一虛設通道層具有不同的組成;在第一鰭片和第二鰭片上方形成虛設閘極堆疊;在第一鰭片中形成多個第一源極/汲極部件,並在第二鰭片中形成多個第二源極/汲極部件,其中第二源極/汲極部件與至少一虛設通道層重疊;以及用金屬閘極堆疊取代虛設閘極堆疊,其中金屬閘極堆疊形成為鄰近第一源極/汲極部件和第二源極/汲極部件。
100,300,400,500:方法
102,104,106,108,110,112,114,116,118,120,122,124:操作
126,128,130,132,134,136,302,304,306,402,404,406:操作
408,410,502,504,506,508,510:操作
200:結構
200a,200b,200c:奈米結構場效電晶體
202:基底
202a,202b:區域
204a,204b,204c:鰭片
205:非通道層
205a,209,223,225:硬遮罩層
206:通道層
206a:抗衝穿層
207,232,234,236,238:圖案化的遮罩元件
208:隔離結構
210:覆層
211:介電部件
212,213,278:介電層
214:介電帽層
215,259,270:溝槽
220:虛設閘極堆疊
221,262:界面層
222a:頂部閘極間隔物
222b:內部閘極間隔物
230a,230b,230c:源極/汲極凹槽
240:緩衝層
242a,242b,242c,245a,245b,245c,247a,247b,247c:磊晶源極/汲極部件
244a,244b,244c:半導體層
246a,246b,246c:摻雜半導體層
250:蝕刻停止層
252:層間介電層
256:閘極溝槽
258:開口
260:金屬閘極堆疊
264:閘極介電層
266:金屬閘極電極
272,276:金屬層
274:閘極隔離部件
280:源極/汲極接觸件
602:佈植製程
604,608,610,616,618:蝕刻製程
606,614,622:磊晶製程
612,620:沉積製程
AA’,BB’,CC’,DD’,EE’,FF’,GG’,HH’,II’,LL’:線
D1,D1eff,D1’,D2,D2eff,D3,D3eff,D4,D4eff,D5,D5eff:深度
D6,D6eff,D8,D8eff,D9,D9eff,D10,D10eff,Ddiff,D’diff:深度
D7,D11,T1,T2:厚度
ML:多層結構
藉由以下的詳細描述配合所附圖式,可以更加理解本發明實施例的面向。需強調的是,根據產業上的標準慣例,許多部件並未按照比例繪製並僅用於說明的目的。事實上,為了能清楚地討論,各種部件的尺寸可能被任意地增加或減少。
第1A和1B圖根據本發明實施例的各種實施例繪示用於製造半導體裝置之例示性方法的流程圖。
第1C、1D和1E圖各自根據本發明實施例的各種實施例繪示用於製造半導體裝置的一部分之例示性方法的流程圖。
第2圖是根據本發明實施例的各種實施例之例示性半導體裝置的一部分的三維透視圖。
第3、4、5和6A圖是根據本發明實施例的各種實施例之在第1A、1B、 1C、1D及/或1E圖所示之方法的中間階段期間,沿著第2圖所示之線LL’擷取之半導體裝置的剖面圖。
第6B和13A圖是根據本發明實施例的各種實施例之在第1A、1B、1C、1D及/或1E圖所示之方法的中間階段期間,第2圖所示之半導體裝置的平面上視圖。
第7A、8A、9A、10A、11A和12A圖是根據本發明實施例的各種實施例之在第1A、1B、1C、1D及/或1E圖所示之方法的中間階段期間,沿著第6B圖所示之線AA’擷取之半導體裝置的剖面圖。
第7B、8B、9B、10B、11B和12B圖是根據本發明實施例的各種實施例之在第1A、1B、1C、1D及/或1E圖所示之方法的中間階段期間,沿著第6B圖所示之線BB’擷取之半導體裝置的剖面圖。
第7C、8C、9C、10C、11C和12C圖是根據本發明實施例的各種實施例之在第1A、1B、1C、1D及/或1E圖所示之方法的中間階段期間,沿著第6B圖所示之線CC’擷取之半導體裝置的剖面圖。
第13B、37A、39A、41A、43A、45A、46A和47A圖是根據本發明實施例的各種實施例之在第1A、1B、1C、1D及/或1E圖所示之方法的中間階段期間,沿著第13A圖所示之線DD’擷取之半導體裝置的剖面圖。
第13C、37B、39B、41B、43B、45B、46B和47B圖是根據本發明實施例的各種實施例之在第1A、1B、1C、1D及/或1E圖所示之方法的中間階段期間,沿著第13A圖所示之線EE’擷取之半導體裝置的剖面圖。
第13D、37C、39C、41C、43C、45C、46C和47C圖是根據本發明實施例的各種實施例之在第1A、1B、1C、1D及/或1E圖所示之方法的中間階段期間, 沿著第13A圖所示之線FF’擷取之半導體裝置的剖面圖。
第14A、16A、18A、19A、20A、22A、24A、26A、27A、28A、30A、32A、34A、35A、49A、51A和53A圖是根據本發明實施例的各種實施例之在第1A、1B、1C、1D及/或1E圖所示之方法的中間階段期間,沿著第13A圖所示之線AA’擷取之半導體裝置的剖面圖。
第14B、16B、18B、19B、20B、22B、24B、26B、27B、28B、30B、32B、34B、35B、49B、51B和53B圖是根據本發明實施例的各種實施例之在第1A、1B、1C、1D及/或1E圖所示之方法的中間階段期間,沿著第13A圖所示之線BB’擷取之半導體裝置的剖面圖。
第14C、16C、18C、19C、20C、22C、24C、26C、27C、28C、30C、32C、34C、35C、49C、51C和53C圖是根據本發明實施例的各種實施例之在第1A、1B、1C、1D及/或1E圖所示之方法的中間階段期間,沿著第13A圖所示之線CC’擷取之半導體裝置的剖面圖。
第15A、17A、21A、23A、25A、29A、31A、33A、36A、38A、40A、42A、44A、48A、50A、52A和54A圖是根據本發明實施例的各種實施例之在第1A、1B、1C、1D及/或1E圖所示之方法的中間階段期間,沿著第13A圖所示之線GG’擷取之半導體裝置的剖面圖。
第15B、17B、21B、23B、25B、29B、31B、33B、36B、38B、40B、42B、44B、48B、50B、52B和54B圖是根據本發明實施例的各種實施例之在第1A、1B、1C、1D及/或1E圖所示之方法的中間階段期間,沿著第13A圖所示之線HH’擷取之半導體裝置的剖面圖。
第15C、17C、21C、23C、25C、29C、31C、33C、36C、38C、40C、 42C、44C、48C、50C、52C和54C圖是根據本發明實施例的各種實施例之在第1A、1B、1C、1D及/或1E圖所示之方法的中間階段期間,沿著第13A圖所示之線II’擷取之半導體裝置的剖面圖。
以下內容提供許多不同實施例或範例,用於實施本發明實施例的不同部件。組件和配置的具體範例描述如下,以簡化本發明實施例。當然,這些僅僅是範例,並非用於限定本發明實施例。舉例來說,在本發明實施例中,形成一部件於另一部件上、連接至另一部件、及/或耦合至另一部件,可能包含形成這些部件直接接觸的實施例,也可能包含額外的部件形成於這些部件之間,使得這些部件不直接接觸的實施例。另外,本文使用空間相對用語,例如「下的」、「上的」、「水平的」、「垂直的」、「在......之上」、「在......上方」、「在......之下」、「在......下方」、「向上」、「向下」、「頂部」、「底部」等及前述之衍生物(例如「水平地」、「向下地」、「向上地」等),係為了便於描述本發明實施例的一部件與另一部件之間的關係。這些空間相對用語是為了涵蓋與包含這些部件之裝置的不同的方位。
此外,當以「約」、「近似」和類似的用語描述數字或數字範圍時,此用語用於涵蓋包含所述數字之一合理範圍內的數字,例如所述數字的+/-10%內或本技術領域中具有通常知識者所理解的其他數值。舉例來說,用語「約5nm」涵蓋4.5nm至5.5nm的尺寸範圍。另外,本發明實施例在不同範例中可重複使用參考標號及/或字母。此重複是為了簡化和清楚之目的,並非代表所討論的不同實施例及/或組態之間有特定的關係。
本發明實施例總體而言關於半導體裝置,更具體地關於場效電晶體(field-effect transistors,FETs),例如在積體電路(IC)結構的記憶體及/或標準邏輯單元中的三維多閘極奈米結構(nanostructure,NS)場效電晶體(或者稱為全繞式閘極(或GAA)場效電晶體)。通常而言,在奈米結構場效電晶體中配置多個垂直堆疊的片(例如奈米片)、線(例如奈米線)或棒(例如奈米棒)作為與金屬閘極堆疊接合的通道區,藉此允許更好的閘極控制、更低的漏電流、以及改善的各種積體電路應用之微縮能力。本發明實施例包含多個實施例。不同的實施例可以具有不同的優點,並且任何實施例都不一定需要特定的優點。
現在共同參照第1A和1B圖,根據本發明實施例的各個面向繪示形成半導體結構200(以下簡稱為結構200)的方法100的流程圖。第1C~1E圖分別根據本發明實施例的各個面向繪示形成結構200的一部分之方法300、方法400和方法500的流程圖。方法100、300、400和500僅僅是範例,並非用於將本發明實施例限制於申請專利範圍中明確記載的內容之外。可以在方法100、300、400和500之前、期間和之後提供額外的操作,並且對於方法的額外實施例,可以替換、消除或移動所描述的一些操作。方法100、300、400和500結合第2~54C圖描述如下,第2~54C圖是在方法100的中間步驟之結構200的各種剖面圖和上視平面圖。舉例來說,第2圖是結構200的一部分之三維透視圖;第3、4、5和6A圖是沿著第2圖所示之線LL’截取之結構200的剖面圖;以及第6B和13A圖是結構200的平面上視圖。此外,第7A、8A、9A、10A、11A和12A圖是沿著第6B圖所示之線AA’擷取之結構200的剖面圖;第7B、8B、9B、10B、11B和12B圖是沿著第6B圖所示之線BB’擷取之結構200的剖面圖;第7C、8C、 9C、10C、11C和12C圖是沿著第6B圖所示之線CC’擷取之結構200的剖面圖;第14A、16A、18A、19A、20A、22A、24A、26A、27A、28A、30A、32A、34A、35A、49A、51A和53A圖是沿著第13A圖所示之線AA’擷取之結構200的剖面圖;第14B、16B、18B、19B、20B、22B、24B、26B、27B、28B、30B、32B、34B、35B、49B、51B和53B圖是沿著第13A圖所示之線BB’擷取之結構200的剖面圖;第14C、16C、18C、19C、20C、22C、24C、26C、27C、28C、30C、32C、34C、35C、49C、51C和53C圖是沿著第13A圖所示之線CC’擷取之結構200的剖面圖;第13B、37A、39A、41A、43A、45A、46A和47A圖是沿著第13A圖所示之線DD’擷取之結構200的剖面圖;第13C、37B、39B、41B、43B、45B、46B和47B圖是沿著第13A圖所示之線EE’擷取之結構200的剖面圖;第13D、37C、39C、41C、43C、45C、46C和47C圖是沿著第13A圖所示之線FF’擷取之結構200的剖面圖;第15A、17A、21A、23A、25A、29A、31A、33A、36A、38A、40A、42A、44A、48A、50A、52A和54A圖是沿著第13A圖所示之線GG’擷取之結構200的剖面圖;第15B、17B、21B、23B、25B、29B、31B、33B、36B、38B、40B、42B、44B、48B、50B、52B和54B圖是沿著第13A圖所示之線HH’擷取之結構200的剖面圖;以及第15C、17C、21C、23C、25C、29C、31C、33C、36C、38C、40C、42C、44C、48C、50C、52C和54C圖是沿著第13A圖所示之線II’擷取之結構200的剖面圖。
結構200可以是在積體電路或其一部分的製程期間製造的中間裝置,其可以包含靜態隨機存取記憶體(static random-access memory,SRAM)及/或其他邏輯電路、被動元件(例如電阻器、電容器和電感器)、以及主動組件(例如奈米結構場效電晶體、鰭式場效電晶體(FinFET)、金屬氧化物半導 體場效電晶體(metal-oxide semiconductor field effect transistor,MOSFET)、互補式金屬氧化物半導體(complementary metal-oxide semiconductor,CMOS)電晶體、雙極電晶體、高壓電晶體、高頻電晶體、及/或其他電晶體)。在本實施例中,結構200包含一或多個奈米結構場效電晶體。本發明實施例不限於任何特定數量的裝置或裝置區、或任何特定的裝置配置。可以對結構200添加額外的部件,並且在結構200的其他實施例中可以替換、修改或消除以下描述的一些部件。
在操作102,參照第2~3圖,方法100提供基底202並在基底202上方形成非通道層205和通道層206。在本實施例中,非通道層205和通道層206構成多層結構(multi-layer structure,ML)的底部,從中形成多個鰭片主動區(或多個鰭片)。如本文所述,方法100在操作102形成最底部的一對非通道層205和通道層206。
基底202可以包含元素(單一元素)半導體,例如矽(Si)、鍺(Ge)、及/或其他合適的材料;化合物半導體,例如碳化矽、砷化鎵、磷化鎵、磷化銦、砷化銦、銻化銦、及/或其他合適的材料;合金半導體,例如SiGe、GaAsP、AlInAs、AlGaAs、GaInAs、GaInP、GaInAsP、及/或其他合適的材料。基底202可以是具有均勻組成的單層材料。或者,基底202可以包含多個材料層,其具有適用於積體電路裝置製造之相似或不同組成。在本實施例中,基底202(以及隨後在基底202上形成的多層結構)包含兩個區域202a和202b。雖然描繪成彼此相鄰,但區域202a和202b在物理上不一定如此設置並且可以被其他(多個)區域隔開。
在本實施例中,多層結構的非通道層205是配置為在後續製程步 驟中被移除的犧牲層,藉此在通道層206之間提供多個開口,以用於在其中形成金屬閘極堆疊的一部分。每個通道層206可以包含半導體材料,舉例來說,例如Si、Ge、SiC、SiGe、GeSn、SiGeSn、SiGeCSn、其他合適的半導體材料、或前述之組合,而每個非通道層205具有不同於通道層206的組成。在一個這樣的範例中,如在本實施例中,通道層206可以包含元素Si,並且非通道層205可以包含SiGe。在另一範例中,通道層206可以包含元素Si,並且非通道層205可以包含元素Ge。在本實施例中,通道層206不含或大致不含任何摻質種類,因此或被稱為主動通道層。
在本實施例中,形成非通道層205和通道層206包含進行一系列磊晶製程。磊晶製程的實施可以藉由化學氣相沉積(chemical vapor deposition,CVD)技術(例如氣相磊晶(vapor-phase epitaxy,VPE)、超高真空化學氣相沉積(ultra-high vacuum CVD,UHV-CVD)、低壓化學氣相沉積(low-pressure CVD,LP-CVD)、及/或電漿輔助化學氣相沉積(plasma-enhanced CVD,PE-CVD))、分子束磊晶、其他合適的選擇性磊晶成長(selective epitaxial growth,SEG)製程、或前述之組合。磊晶製程可以使用包含合適材料(例如用於非通道層205的Ge)的氣態及/或液態前驅物,其與底下的基底(例如基底202)之組成相互作用。在一些範例中,非通道層205和通道層206可以形成為奈米片、奈米線或奈米棒。
在操作104,參照第4~5圖,方法100相對於區域202a選擇性地對區域202b進行佈植製程(例如離子佈植製程)602。參照第4圖,方法100先在區域202a上方形成圖案化的遮罩元件207以暴露出區域202b。圖案化的遮罩元件207相對於通道層206具備蝕刻選擇性,並且可以藉由一系列光學微影和蝕 刻製程形成。圖案化的遮罩元件207可以是三層結構,其包含光阻層、中間層(包含金屬、聚合物、及/或其他合適的材料)、底部抗反射塗(bottom anti-reflective coating,BARC)層。圖案化的遮罩元件207的形成可以包含暴露出光阻層、顯影光阻層、以及使用圖案化的光阻層作為蝕刻遮罩來蝕刻遮罩元件的剩餘部分。
隨後,參照第5圖,方法100對由圖案化的遮罩元件207暴露出的區域202b進行佈植製程602。佈植製程602被配置為在區域202b中的非通道層205上方形成抗衝穿(anti-punch-through,APT)層(或者稱為虛設通道層)206a。換言之,佈植製程602將摻雜物質佈植至區域202b中的通道層206的一部分中,而區域202a中的通道層206的多個部分保持不被摻雜或大致不被摻雜。
在一些實施例中,以約2keV至約100keV的離子束能量、約1×1013離子/cm2至約1×1015離子/cm2的離子劑量、以及約600℃至約1100℃的溫度實施佈植製程。當然,本實施例不限於這些佈植條件。抗衝穿層206a的厚度由具有1×1018離子/cm2以上的最小活化濃度之區域的深度定義。在一些情況下,抗衝穿層206a的厚度可以是約10nm至約30nm,並且可以使用例如能量色散X射線光譜法(energy-dispersive X-ray spectroscopy,EDS、EDX、EDXS或XEDS)的技術來檢測。取決於要在區域202a和202b上方製造之裝置的導電類型,佈植製程602可以佈植不同的摻質種類。舉例來說,為了形成n型裝置(例如n型奈米結構場效電晶體),抗衝穿層206a被佈植p型摻質,例如硼(B)及/或二氟化硼(BF2)。反之,為了形成p型裝置(例如p型奈米結構裝置),抗衝穿層206a被佈植n型摻質,例如磷(P)或砷(As)。在進行佈植製程602之後,藉由合適的方法(例如電漿灰化(ashing)及/或光阻剝除(resist stripping))從結構200移除圖案化的遮罩元件207。
雖然如本文所述之結構200僅包含形成在區域202b中的一個抗衝穿層206a,但應注意的是,本實施例不限於這樣的配置。舉例來說,在如上所述形成抗衝穿層206a之後,方法100可以藉由在抗衝穿層206a上方形成一或多對額外的非通道層205和通道層206來重複操作102和104,在其上形成圖案化的遮罩元件(類似於圖案化的遮罩元件207),並在進行操作106之前實施佈植製程(類似於佈植製程602)以在區域202b中形成另一抗衝穿層(類似於抗衝穿層206a)。就此點而言,形成在基底202上方並作為多層結構的一部分之抗衝穿層的數量M在區域202b中可以是至少1(並且在區域202a中沒有),或者在區域202b中1
Figure 111103265-A0305-02-0014-1
M,其中M是自然數並在下方進一步定義。無論存在於結構200中的抗衝穿層的總數是多少,本實施例提供用抗衝穿層206a取代至少區域202b中的最底部的通道層206。
在操作106,參照第6A~6B圖,方法100完成在抗衝穿層206a上方形成多層結構。多層結構包含在基底202上方垂直堆疊之交替的非通道層205和通道層206(其包含區域202b中的抗衝穿層206a)。在本實施例中,方法100在操作106在抗衝穿層206a上方形成至少一對交替的非通道層205和通道層206。換言之,多層結構中的非通道層205與通道層206(包含抗衝穿層206a)對的總數N至少為M+1或(M+1)
Figure 111103265-A0305-02-0014-3
N。換句話說,1
Figure 111103265-A0305-02-0014-4
M
Figure 111103265-A0305-02-0014-6
(N-1)。在一些實施例中,如本文所述,多層結構包含比抗衝穿層206a更多的通道層206。在一些實施例中,多層結構包含比通道層206更多的抗衝穿層206a。在一些實施例中,N不超過六;當然,本實施例不限於這種配置,只要在抗衝穿層206a上方形成至少一對即可。舉例來說,如本文所述,多層結構包含一個抗衝穿層206a和總共三對 交替的非通道層205和通道層206/抗衝穿層206a,或者M=1和N=3。在本實施例中,形成多層結構的剩餘部分包含在一系列磊晶製程中交替成長非通道層205和通道層206,如以上在操作102詳細討論的。
在本實施例中,方法100在操作106進一步在多層結構上方形成硬遮罩層205a,並在硬遮罩層205a上方形成硬遮罩層209。硬遮罩層205a是犧牲層,被配置為促進在隨後形成的鰭片之間形成隔離部件。在一些實施例中,硬遮罩層205a可以形成為厚度T1,厚度T1大於非通道層205和通道層206的厚度。硬遮罩層205a可以包含任何合適的材料,例如半導體材料,只要其組成不同於隔離部件和設置在其下方的通道層206的組成,以允許藉由蝕刻製程選擇性地移除。在一些實施例中,硬遮罩層205a的組成與非通道層205的組成相似或相同並且包含例如SiGe。對於硬遮罩層205a具有與非通道層205相同組成的實施例,硬遮罩層205a的成長也藉由類似於以上討論的磊晶製程。
另一方面,硬遮罩層209被配置為在隨後的製造製程期間保護底下的硬遮罩層205a和多層結構,並且可以包含任何合適的介電材料,例如氧化矽(SiO及/或SiO2)、氮化矽(SiN)、碳化矽(SiC)、含氧的氮化矽(SiON)、含氧的碳化矽(SiOC)、含碳的氮化矽(SiCN)、氧化鋁(Al2O3)、其他合適的材料、或前述之組合。硬遮罩層209的形成可以藉由任何合適的方法,例如原子層沉積(atomic layer deposition,ALD)、化學氣相沉積、其他合適的方法、或前述之組合。
在操作108,參照第6B和7A~7C圖,方法100使用一系列光學微影和蝕刻製程從多層結構形成鰭片204a~204c,類似於以上關於形成圖案化的遮罩元件207討論的那些製程。在本實施例中,鰭片204a和204b從區域202a突 出,而鰭狀物204c從區域202b突出。舉例來說,光學微影製程可以包含在多層結構上方形成遮罩元件、暴露出遮罩元件、以及顯影暴露出的遮罩元件以形成圖案化的遮罩元件(未繪示)。然後,使用圖案化的遮罩元件作為蝕刻遮罩來蝕刻硬遮罩層209,接著蝕刻硬遮罩層205a和多層結構以形成從基底202突出的鰭片204a~204c。蝕刻製程可以包含乾式蝕刻、濕式蝕刻、反應離子蝕刻(reactive ion etching,RIE)、其他合適的製程、或前述之組合。隨後使用任何合適的製程(例如灰化及/或光阻剝除)從多層結構移除圖案化的遮罩元件。
在操作110,參照第8A~8C圖,方法100在分隔鰭片204a~204c的多個溝槽中形成多個隔離結構208。隔離結構208可以包含氧化矽(SiO及/或SiO2)、四乙氧基矽烷(tetraethylorthosilicate,TEOS)、摻雜的氧化矽(例如硼磷矽酸鹽玻璃(borophosphosilicate glass,BPSG)、摻雜氟化物的矽酸鹽玻璃(fluoride-doped silicate glass,FSG)、磷矽酸鹽玻璃(phosphosilicate glass,PSG)、摻雜硼的矽酸鹽玻璃(boron-doped silicate glass,BSG)等)、低介電常數介電材料(介電常數小於氧化矽的介電常數,其為約3.9)、其他合適的材料、或前述之組合。隔離結構208可以包含淺溝槽隔離(shallow trench isolation,STI)部件。在一實施例中,隔離結構208的形成藉由用任何合適的方法(例如化學氣相沉積、可流動式化學氣相沉積(flowable CVD,FCVD)、旋轉塗佈玻璃(spin-on-glass,SOG)、其他合適的方法、或前述之組合),以上述介電材料填充分隔鰭片204a~204c的溝槽。隨後可以藉由化學機械平坦化/研磨(chemical-mechanical planarization/polishing,CMP)製程平坦化介電材料並選擇性回蝕刻以形成隔離結構208。隔離結構208可以包含單層結構或多層結構。在一些實施例中,化學機械平坦化/研磨製程也從結構200移除 硬遮罩層209。在一些實施例中,在形成隔離結構208之後,藉由蝕刻製程單獨移除硬遮罩層209。
在操作112,參照第9A~9C圖,方法100在鰭片204a~204c和隔離結構208上方形成覆層(cladding layer)210。在本實施例中,覆層210和非通道層205是被配置為在每個鰭片204a~204c的通道區中用金屬閘極堆疊取代的犧牲層。就此點而言,覆層210的組成與非通道層205的組成大致相同,使得它們可以被共同的蝕刻製程移除。在本實施例中,覆層210包含SiGe。在一些實施例中,藉由以上關於形成多層結構討論的合適方法磊晶沉積覆層210。在一些實施例中,如第9A~9C圖所示,覆層210順應性地(conformally)沉積而不是磊晶成長在結構200的表面上方作為非晶層,使得覆層210也形成在隔離結構208上方。在一些範例中,覆層210可以形成為約5nm至約10nm的厚度。隨後,方法100進行定向(或非等向性)蝕刻製程以選擇性地移除覆層210的一部分,藉此暴露出硬遮罩層205a的頂表面和隔離結構208的一部分。蝕刻製程可以包含乾式蝕刻製程、濕式蝕刻製程、反應離子蝕刻製程、或前述之組合。蝕刻製程可以實施蝕刻劑,其選擇性地移除覆層210的水平部分而不移除或大致上不移除覆層210的垂直部分或隔離結構208。
在操作114,參照第10A~10C圖,方法100在結構200上方形成介電部件211,藉此完全填充相鄰鰭片204a~204c之間的溝槽。介電部件211被配置為隔離相鄰鰭片204a~204c並提供隨後可以在其上方形成多個閘極隔離部件的基底。介電部件211可以包含單層結構或多層結構。如本文所述,介電部件211藉由覆層210的一部分與鰭片204a~204c的每個側壁隔開。
在本實施例中,介電部件211包含兩層,在介電層212上方設置 介電層213。介電層212和213可以各自包含氧化矽(SiO及/或SiO2)、氮化矽(SiN)、碳化矽(SiC)、含氧的氮化矽(SiON)、含氧的碳化矽(SiOC)、含碳的氮化矽(SiCN)、氧化鋁(Al2O3)、四乙氧基矽烷(TEOS)、摻雜的氧化矽(例如硼磷矽酸鹽玻璃(BPSG)、摻雜氟的矽酸鹽玻璃(FSG)、磷矽酸鹽玻璃(PSG)、摻雜硼的矽酸鹽玻璃(BSG)等)、高介電常數介電材料(介電常數值大於氧化矽,其為約3.9)、其他合適的材料、或前述之組合。高介電常數介電材料可以包含氧、鑭、鋁、鈦、鋯、鉭、其他合適的材料、或前述之組合。舉例來說,高介電常數介電材料可以包含氧化鉿(HfO2)、氧化鑭(La2O3)、其他高介電常數氧化物材料、或前述之組合。在本實施例中,介電層212的組成不同於介電層213的組成。在一些實施例中,介電層213的介電常數大於介電層212的介電常數。在一範例中,介電層212可以包含SiN,並且介電層213可以包含HfO2。在另一範例中,介電層212可以包含氧化矽,並且介電層213可以包含SiN。在一些實施例中,介電部件211的組成與隔離結構208的組成相似或相同。當然,本實施例不限於這些組成。介電部件211的各個層可以藉由任何合適的方法沉積,例如化學氣相沉積、可流動式化學氣相沉積、旋轉塗佈玻璃、其他合適的方法、或前述之組合,並且隨後藉由一或多個化學機械平坦化/研磨製程平坦化以暴露出硬遮罩層205a的頂表面。
在操作116,參照第11A~11C圖,方法100在介電部件211上方形成介電帽層(dielectric helmet)214。在一些實施例中,介電帽層214提供一或多個閘極隔離部件配置為隔開(或切割)鰭片204a~204c上方之隨後形成的金屬閘極堆疊。在本實施例中,為了提高蝕刻選擇性,介電帽層214配置有不同 於介電層212和213的組成,並且可以包含氧化矽、SiN、SiC、SiON、SiOC、SiCN、Al2O3、高介電常數介電材料、其他合適的材料、或前述之組合。在一些實施例中,介電帽層214包含介電常數高於介電層212和213的介電材料。舉例來說,介電帽層214可以包含高介電常數介電材料,例如HfO2,介電層212可以包含SiN,並且介電層213可以包含氧化矽。
在一些實施例中,方法100形成介電帽層214,藉由先凹蝕介電部件211以形成多個溝槽(未繪示)、藉由合適的方法(例如化學氣相沉積及/或原子層沉積)在溝槽中沉積介電材料、以及藉由化學機械平坦化/研磨製程平坦化介電材料以形成介電帽層214。在一些實施例中,介電帽層214形成為厚度T2,厚度T2不大於硬遮罩層205a的厚度T1。在一些範例中,厚度T2是厚度T1的至少約一半。
現在參照第12A~13D圖,方法100在操作118在鰭片204a~204c的通道區上方形成虛設閘極堆疊220。在本實施例中,參照第12A~12C圖,方法100先移除硬遮罩層205a以形成溝槽215,藉此暴露出多層結構的最頂部通道層206。在本實施例中,方法100選擇性地移除硬遮罩層205a而不移除或大致不移除多層結構的最頂部的通道層206或介電帽層214。
隨後,參照第13A~13D圖,方法100在鰭片204a~204c的通道區上方形成虛設閘極堆疊220,藉此填充溝槽215。在本實施例中,在介電帽層214上方形成一或多個虛設閘極堆疊220。每個虛設閘極堆疊220可以包含設置在可選的虛設閘極介電層上方的虛設閘極電極(未單獨繪示)。在一些實施例中,每個虛設閘極堆疊220的至少一部分將被取代為金屬閘極堆疊,金屬閘極堆疊可以被介電帽層214隔開(或切割)。
虛設閘極堆疊220的形成可以藉由一系列沉積和圖案化製程。舉例來說,虛設閘極堆疊220的形成可以藉由在由介電帽層214隔開的鰭片204a~204c上方沉積多晶矽(poly-Si)層,然後藉由一系列光學微影和蝕刻製程(例如非等向性乾式蝕刻製程)將多晶矽層圖案化。在一些實施例中,在形成虛設閘極堆疊220之前,在鰭片204a~204c上方形成界面層221。界面層221可以包含氧化矽並且可以藉由任何合適的方法形成,例如熱氧化、化學氧化、其他合適的方法、或前述之組合。在描繪的實施例中,為了在後續製造製程期間適應圖案化製程並保護虛設閘極堆疊220,在虛設閘極堆疊220上方形成硬遮罩層223和225。硬遮罩層223和225可以包含任何合適的介電材料,包含氧化矽、SiN、SiC、SiON、SiOC、SiCN、Al2O3、高介電常數介電材料(例如氧化鉿(HfO2)、氧化鑭(La2O3)等)、其他合適的材料、或前述之組合,並且可以藉由任何合適的方法形成,例如化學氣相沉積及/或原子層沉積。
參照第13A圖,方法100在操作118隨後在虛設閘極堆疊220的多個側壁上形成多個頂部閘極間隔物222a。頂部閘極間隔物222a可以是單層結構或多層結構,並且可以包含氧化矽、SiN、SiC、SiON、SiOC、SiCN、空氣、低介電常數介電材料、高介電常數介電材料(例如氧化鉿(HfO2)、氧化鑭(La2O3)等)、其他合適的材料、或前述之組合。頂部閘極間隔物222a的每個間隔物的形成可以藉由先經由合適的沉積方法(例如化學氣相沉積及/或原子層沉積)在虛設閘極堆疊220上方沉積介電層,然後以非等向性(或定向)蝕刻製程(例如乾式蝕刻製程)移除介電層的一部分,在虛設閘極堆疊220的側壁上留下頂部閘極間隔物222a。
在操作120,方法100在鄰近虛設閘極堆疊220的鰭片204a~204c 的一部分中形成磊晶源極/汲極部件。在本實施例中,形成磊晶源極/汲極部件通常包含在鰭片204a~204c(即多層結構)的源極/汲極區中形成多個源極/汲極凹槽,在源極/汲極凹槽中暴露出的非通道層205的側壁上形成多個內部閘極間隔物,並在源極/汲極凹槽中形成磊晶源極/汲極部件。在本實施例中,藉由如第1C、1D和1E圖中分別描繪的方法300、400和500中的任一個來實施操作120。應注意的是,方法300、400和500是相互獨立和可替代的,因此同樣適用於本文揭示的實施例。為了清楚起見,以下分開討論方法300、400和500。舉例來說,基於第14A~17C圖討論方法300;基於第18A~25C圖討論方法400;以及基於第26A~33A圖討論方法500。
參照第1D圖和第14A~15C圖,方法300在操作302進行蝕刻製程604以從每個鰭片204a、204b和204c移除源極/汲極區的一部分,以分別形成源極/汲極凹槽230a、230b和230c。在本實施例中,蝕刻製程604選擇性地移除和覆層210和鰭片204a~204c的一部分而不移除或大致不移除虛設閘極堆疊220、介電部件211、介電帽層214和隔離結構208。在一些實施例中,蝕刻製程604是乾式蝕刻製程,其採用能夠移除多層結構的Si(即通道層206)和SiGe(即非通道層205)之合適的蝕刻劑,多層結構包含抗衝穿層206a作為鰭片204c的一部分。在一些非限制性範例中,乾式蝕刻劑可以是含氯蝕刻劑,其包含Cl2、SiCl4、BCl3、其他含氯氣體、或前述之組合。隨後可以進行清潔製程以用氫氟酸(HF)溶液或其他合適的溶液清潔源極/汲極凹槽230a~230c。
參照第15A~15C圖,從每個源極/汲極凹槽的底表面到每個鰭片的頂部測量每個源極/汲極凹槽230a、230b和230c的深度,並分別定義為深度D1、D2和D3,藉由調整蝕刻製程604的持續時間來控制深度D1、D2和D3,並 且在本實施例中,深度D1、D2和D3大致相同,因為蝕刻製程604普遍應用於區域202a和202b。此外,控制深度D1~D3使得源極/汲極凹槽230a~230c中的每一個延伸到多層結構的最底部片(即最底部非通道層205)下方。在一些範例中,這樣的延伸定義為深度D1’,深度D1’與深度D1(或D2和D3中的任一個)的比例可以是約0.1至約0.4。第15A和15B圖中描繪的實施例大致相同並且各自與第15C圖中描繪的實施例不同,不同之處在於源極/汲極凹槽230c暴露出抗衝穿層206a的一部分。
繼續參照第15A~15C圖,方法300在操作304在源極/汲極凹槽230a~230c中暴露出的非通道層205的多個側壁上形成多個內部閘極間隔物222b。內部閘極間隔物222b可以是單層結構或多層結構,並且可以包含氧化矽、SiN、SiCN、SiOC、SiON、SiOCN、低介電常數介電材料、空氣、高介電常數介電材料(例如氧化鉿(HfO2)、氧化鑭(La2O3))、其他合適的介電材料、或前述之組合。在一些實施例中,內部閘極間隔物222b的組成不同於頂部閘極間隔物222a的組成。內部閘極間隔物222b的形成包含進行一系列蝕刻和沉積製程。舉例來說,內部閘極間隔物222b的形成可以從選擇性地移除非通道層205的一部分而不移除或大致不移除通道層206的一部分以形成溝槽(未繪示)開始。可以藉由乾式蝕刻製程蝕刻非通道層205。隨後,在溝槽中形成一或多個介電層,接著是一或多個蝕刻製程以移除(即回蝕刻)沉積在通道層206的表面上的多餘介電層,這些介電層在源極/汲極凹槽中暴露出來,藉此形成如第15A~15C圖所示之內部閘極間隔物222b。可以藉由任何合適的方法沉積一或多個介電層,例如原子層沉積、化學氣相沉積、物理氣相沉積(physical vapor deposition,PVD)、其他合適的方法、或前述之組合。
隨後,參照第16A~17C圖,方法300在操作306在磊晶製程606中分別在源極/汲極凹槽230a、230b和230c中形成磊晶源極/汲極部件242a、242b和242c。每個磊晶源極/汲極部件242a~242c可以適合形成p型裝置(即包含p型磊晶材料)或者n型場效電晶體裝置(即包含n型磊晶材料)。p型磊晶材料可以包含一或多個矽鍺的磊晶層(epi SiGe),用p型摻質摻雜每個磊晶層,例如B、BF2、其他p型摻質、或前述之組合。n型磊晶材料可以包含一或多個矽的磊晶層(epi Si)或矽碳的磊晶層(epi SiC),用n型摻質摻雜每個磊晶層,例如As、P、其他n型摻質、或前述之組合。在本實施例中,磊晶源極/汲極部件242c中的摻質的導電類型不同於抗衝穿層206a中包含的摻質的導電類型。舉例來說,如果抗衝穿層206a包含p型摻質,則磊晶源極/汲極部件242c包含n型摻質以提供n型裝置。反之,如果抗衝穿層206a包含n型摻質,則磊晶源極/汲極部件242c包含p型摻質以提供p型裝置。
在本實施例中,進行磊晶製程606包含在與以上關於形成多層結構討論的製程類似的製程中,在每個源極/汲極凹槽230a~230c中及在內部閘極間隔物222b上方成長磊晶半導體材料。在一些實施例中,藉由在磊晶製程606期間對源材料添加前述摻質種類來原位(in-situ)摻雜磊晶半導體材料。在一些實施例中,在進行沉積製程之後,藉由離子佈植製程摻雜磊晶半導體材料。在一些實施例中,進行退火製程以活化磊晶源極/汲極部件242a~242c中的摻雜種類。
因為源極/汲極凹槽230a~230c形成為大致相同的深度,所以磊晶源極/汲極部件242a~242c也形成為大致相同的尺寸。然而,由於鰭片204c中存在抗衝穿層206a,如第17C圖所示,磊晶源極/汲極部件242c和鰭片204c的通 道區之間的有效重疊區由深度D3eff定義,其小於第15C圖中描繪的源極/汲極凹槽230c的深度D3。換言之,相較於磊晶源極/汲極部件242a和242b中的每一個,磊晶源極/汲極部件242c與較少數量的通道層206重疊。在裝置功能方面,雖然磊晶源極/汲極部件242c延伸至接觸源極/汲極凹槽230c的底表面,但抗衝穿層206a與磊晶源極/汲極部件242c形成虛設(或被動)奈米結構場效電晶體。就此點而言,重疊區的減少降低了奈米結構場效電晶體的寄生閘極-汲極電容(parasitic gate-drain capacitance,Cgd),藉此在應用於交流(AC)設定時增加奈米結構場效電晶體處理速度(例如藉由降低電阻電容延遲(RC delay))。另一方面,在沒有抗衝穿層206a的情況下,磊晶源極/汲極部件242a和242b與其各自的通道區之間的有效重疊區分別由D1eff和D2eff定義,D1eff和D2eff等於深度D1和D2並且都大於深度D3eff。換言之,一額外的通道層206參與由磊晶源極/汲極部件242a和242b提供之每個奈米結構場效電晶體中的導通狀態電流的傳導,使得它們比磊晶源極/汲極部件242c提供的奈米結構場效電晶體更適合於高電流(high-current,HC)或高效能計算(high-performance computing,HPC)應用。如果鰭片204c包含多於一個抗衝穿層206a,則會進一步降低Cgd
因此,本發明實施例設想了一種半導體裝置(即結構200),其包含至少兩個不同的奈米結構場效電晶體,在它們各自的磊晶源極/汲極部件和通道層之間具有不同的重疊區,使得可以在同一半導體裝置中實現增加的電流傳導和降低的Cgd兩個優點。對於第14A~17C圖中描繪的實施例,實現這樣的優點藉由在區域202b中的多層結構的底部提供抗衝穿層206a,藉此有效地「關閉」底部通道層,同時保持磊晶源極/汲極部件242a~242c的尺寸大致相同。
現在參照第1D圖和第18A~18C圖,方法400在操作402進行蝕刻 製程608以選擇性地移除區域202b中的鰭片204c的一部分以形成源極/汲極凹槽230c。在本實施例中,參照第18A和18B圖,方法400在區域202a上方實施圖案化的遮罩元件232以保護鰭片204a和204b不被蝕刻。圖案化的遮罩元件232可以類似於以上詳細討論之圖案化的遮罩元件207。蝕刻製程608類似於蝕刻製程604,因為蝕刻製程608被配置為選擇性地移除多層結構和覆層210而不移除或大致不移除周圍的介電組件。在本實施例中,源極/汲極凹槽230c由深度D6定義,如第21C圖所示。在形成源極/汲極凹槽230c之後,方法400藉由任何合適的方法(例如電漿灰化及/或光阻剝除)來移除圖案化的遮罩元件232。
參照第19A~19C圖,方法400在操作404進行蝕刻製程610以選擇性地移除區域202a中的鰭片204a和204b的一部分以形成源極/汲極凹槽230a和230b。在本實施例中,參照第19C圖,方法400在區域202b上方實施圖案化的遮罩元件234,其可類似於圖案化的遮罩元件207以保護源極/汲極凹槽230c不被進一步蝕刻。蝕刻製程610類似於蝕刻製程608,因為蝕刻製程610被配置為選擇性地移除多層結構和覆層210而不移除或大致不移除周圍的介電組件。在本實施例中,源極/汲極凹槽230a由與源極/汲極凹槽230b的深度(如第21B圖描繪的D5)大致相同的深度(如第21A圖描繪的D4)定義,其為兩者都大於源極/汲極凹槽230c的深度D6。在形成源極/汲極凹槽230a和230b之後,參照第20A~20C圖,方法400藉由任何合適的方法(例如電漿灰化及/或光阻剝除)來移除圖案化遮罩元件234。
在本實施例中,用與蝕刻製程608的蝕刻參數不同的蝕刻參數實施蝕刻製程610,以確保深度D4和D5大於深度D6。在一些實施例中,蝕刻製程610的持續時間小於蝕刻製程608的持續時間,而所有其他參數保持不變。在一 些實施例中,在所有其他參數保持不變的情況下,在蝕刻製程610期間施加的偏壓小於在蝕刻製程608期間施加的偏壓。雖然沒有具體討論,也可以調整其他蝕刻參數以確保源極/汲極凹槽230c比源極/汲極凹槽230a和230b淺。
在本實施例中,參照第20A~21C圖,深度D5(或D4)和D6之間的差Ddiff是在源極/汲極凹槽230b(或源極/汲極凹槽203a)的底表面和源極/汲極凹槽230c的底表面之間測量。在一些實施例中,深度Ddiff與深度D5(或D4)之比為約0.1至約0.4,並且深度Ddiff與深度D6之比為約0.1至約0.5。在一些範例中,深度Ddiff可以是約10nm至約30nm。
參照第21A~21C圖,方法400在操作406以與以上關於操作304討論的製程類似的一系列製程在源極/汲極凹槽230a~230c中形成內部閘極間隔物222b。
隨後,參照第22A~23C圖,方法400在操作408在沉積製程612中形成緩衝層240以部分地填充源極/汲極凹槽230a~230c。在本實施例中,緩衝層240包含元素Si並且不含任何摻質種類。就此點而言,沉積製程612類似於為形成多層結構而實施的磊晶製程,其中沉積製程612包含在源極/汲極凹槽230a~230c中磊晶形成未摻雜的半導體層,即不含任何摻雜種類。在本實施例中,控制沉積製程612使得緩衝層240形成的厚度D7不大於深度D6,即緩衝層240不完全填滿任何源極/汲極凹槽230a~230c。對於抗衝穿層206a的數量M小於形成於其上的未摻雜通道層(或者稱為主動通道層)206的數量(N-M)的實施例,例如本文所描繪的,厚度D7小於深度D6的約一半。或者,對於抗衝穿層206a的數量M大於形成於其上的未摻雜通道層206的數量(N-M)的實施例,厚度D7大於深度D6的約一半。在一些實施例中,厚度D7不大於深度Ddiff。在一些 範例中,厚度D7可以是約5nm至約30nm。
應注意的是,本實施例不將緩衝層240的組成限制為元素的、磊晶成長的Si,並且可以實施包含不同磊晶成長的半導體材料及/或介電材料(例如SiN、SiCN、SiO2、含氧和碳的氮化矽(SiOCN)等),只要不含任何摻雜種類,即任何n型和p型摻雜種類。對於緩衝層240包含介電材料的實施例,可以使用化學氣相沉積、原子層沉積、其他合適的製程、或前述之組合來實施沉積製程612。
參照第24A~25C圖,方法400在操作410在磊晶製程614中在緩衝層240上方形成半導體層244a、244b和244c,分別產生磊晶源極/汲極部件245a、245b和245c。在本實施例中,磊晶製程614類似於以上關於操作306討論的磊晶製程606,其中磊晶製程614包含形成半導體層244a~244c以分別在源極/汲極凹槽230a~230c中的緩衝層240上方包含一或多個摻雜種類。舉例來說,摻雜的半導體層244a~244c中的每一個可以適合於形成p型裝置(即包含p型磊晶材料)或者n型場效電晶體裝置(即包含n型磊晶材料),以上關於磊晶源極/汲極部件242a~242c詳細討論了這兩者。類似於上述討論,半導體層244c中的摻質的導電類型不同於抗衝穿層206a中包含的摻質的導電類型。舉例來說,如果抗衝穿層206a包含p型摻質,則半導體層244c包含n型摻質以提供n型裝置。反之,如果抗衝穿層206a包含n型摻質,則半導體層244c包含p型摻質以提供p型裝置。
相較於在第24A~25C圖中描繪的實施例,在第17A~17C圖中描繪的磊晶源極/汲極部件242a~242c均不含任何未摻雜的緩衝層。就此點而言,磊晶源極/汲極部件245a、245b和245c中的有效重疊區分別由深度D4eff、D5eff和 D6eff定義,其中深度D6eff小於深度D4eff和D5eff。如本文所描繪的,深度D4eff、D5eff和D6eff也分別定義半導體層244a、244b和244c的厚度。在本實施例中,半導體層244c不會或至少大致不會與抗衝穿層206a重疊。相較之下,第17C圖中描繪的每個磊晶源極/汲極部件242c與抗衝穿層206a重疊,雖然其由深度D3eff定義的有效重疊區小於磊晶源極/汲極部件242c的總深度D3。
現在參照第1E圖和第26A~26C圖,方法500在操作502進行蝕刻製程616以選擇性地移除鰭片204b和204c的一部分以分別形成源極/汲極凹槽230b和230c。在本實施例中,參照第26A圖,方法500在區域202a的一部分上方實施圖案化的遮罩元件236以保護鰭片204a不被蝕刻。圖案化遮罩元件236可以類似於以上詳細討論的圖案化遮罩元件207。蝕刻製程616類似於蝕刻製程604,因為蝕刻製程616被配置為選擇性地移除多層結構和覆層210而不移除或大致不移除周圍的介電組件。在本實施例中,源極/汲極凹槽230b由與源極/汲極凹槽230c的深度(如第29C圖描繪的D10)大致相同的深度(如第29B圖描繪的D9)定義。在形成源極/汲極凹槽230b和230c之後,方法100藉由任何合適的方法(例如電漿灰化及/或光阻剝除)來移除圖案化的遮罩元件236。
參照第27A~27C圖,方法500在操作504進行蝕刻製程618以選擇性地移除區域202a中的鰭片204a的一部分以形成源極/汲極凹槽230a。在本實施例中,參照第27B和27C圖,方法100在區域202b和區域202a的一部分上方實施圖案化的遮罩元件238,其可以類似於圖案化的遮罩元件207,以保護源極/汲極凹槽230b和230c不被進一步蝕刻。蝕刻製程618類似於蝕刻製程608,因為蝕刻製程618被配置為選擇性地移除多層結構和覆層210而不移除或大致不移除周圍的介電組件。在本實施例中,源極/汲極凹槽230a由深度D8定義,如第29A圖所 示,其中深度D8大於深度D9和D10。在形成源極/汲極凹槽230a之後,參照第28A~28C圖,方法500藉由任何合適的方法(例如電漿灰化及/或光阻剝除)來移除圖案化的遮罩元件238。
類似於上述方法400的討論,採用與蝕刻製程616不同的蝕刻參數來實施蝕刻製程618,以確保所得源極/汲極凹槽230a的深度大於源極/汲極凹槽230b和230c的深度。在一些實施例中,蝕刻製程618的持續時間大於蝕刻製程616的持續時間,而所有其他參數保持不變。在一些實施例中,在所有其他參數保持不變的情況下,在蝕刻製程618期間施加的偏壓大於在蝕刻製程616期間施加的偏壓。雖然沒有具體討論,也可以調整其他蝕刻參數以確保源極/汲極凹槽230a比源極/汲極凹槽230b和230c更深。
在本實施例中,參照第28A~29C圖,在源極/汲極凹槽230a的底表面和源極/汲極凹槽230b(或230c)的底表面之間測量源極/汲極凹槽230a和230b(或230c)的深度差D’diff。在一些實施例中,深度D’diff與深度D8之比為約0.1至約0.4,並且深度D’diff與深度D9之比為約0.1至約0.5。在一些範例中,深度D’diff可以為約10nm至約30nm。
參照第29A~29C圖,方法500在操作506以與以上關於操作304討論的製程類似的一系列製程在源極/汲極凹槽230a~230c中形成內部閘極間隔物222b。
隨後,參照第30A~31C圖,方法500在操作508在沉積製程620中形成緩衝層240以部分地填充源極/汲極凹槽230a~230c。緩衝層240的細節及其形成方法已經在以上關於操作408討論。在本實施例中,控制沉積製程620使得緩衝層240不完全填充源極/汲極凹槽230a~230c,即厚度D11小於深度D8、D9 和D10。對於其中抗衝穿層206a的數量M小於形成於其上的未摻雜通道層206的數量(N-M)的實施例,例如本文所描繪的,厚度D11小於深度D10的約一半。或者,對於抗衝穿層206a的數量M大於形成於其上的未摻雜通道層206的數量(N-M)的實施例,厚度D11大於深度D10的約一半。在一些實施例中,厚度D11不大於深度D’diff。在一些範例中,厚度D11可以是約5nm至約30nm。
參照第32A~33C圖,方法500在操作510在磊晶製程622中在緩衝層240上方形成摻雜半導體層246a、246b和246c,分別產生磊晶源極/汲極部件247a、247b和247c。摻雜半導體層246a~246c的細節及其形成方法與摻雜半導體層244a~244c的細節相似,並且已經在前文關於操作410討論。
相較於第33A~33C圖描繪實施例,第17A~17C圖描繪的磊晶源極/汲極部件242a~242c均不含任何未摻雜的緩衝層。就此點而言,磊晶源極/汲極部件247b和247c中的有效重疊區分別由深度D9eff和D10eff定義,並且磊晶源極/汲極部件247a中的有效重疊區由深度D8eff定義,其大於深度D9eff和D10eff。如本文所描繪的,深度D8eff、D9eff和D10eff也分別定義半導體層246a、246b和246c的厚度。類似於第25C圖描繪的實施例,半導體層246c不會或至少大致不會與抗衝穿層206a重疊。此外,參照第33A和33B圖,雖然磊晶源極/汲極部件247a和247b與相同數量的通道層206接合,但它們的有效重疊區不同,由於源極/汲極凹槽的深度不同以及未摻雜緩衝層240的存在,這些因素一起導致深度D8eff和D9eff之間的差異。
在鰭片204a~204c中形成磊晶源極/汲極部件(242a~242c、245a~245c或247a~247c)之後,方法100進行到操作122,如第1B圖所示。為了簡化的目的,方法100的後續操作,即操作122至136,使用第16A~17C圖描 繪的實施例作為範例來討論。當然,這些操作同樣適用於第24A~25C圖和第32A~33C圖所示的實施例。
現在參照第1B圖和第34A~34C圖,方法100在操作122移除在鰭片204a~204c的源極/汲極區中暴露出的介電帽層214的一部分。在本實施例中,方法100實施選擇性蝕刻製程(例如乾式蝕刻製程)以移除介電帽層214的一部分而不移除或大致不移除磊晶源極/汲極部件242a~242c。
此後,參照第35A~36C圖,方法100在操作124從結構200移除虛設閘極堆疊220(和界面層221)。參照第35A~35C圖,方法100先在結構200上方形成蝕刻停止層(etch-stop layer,ESL)250以在隨後的製造製程期間保護底下的組件,例如磊晶源極/汲極部件242a~242c。蝕刻停止層250可以包含任何合適的介電材料,例如SiN、SiCN、SiON、Al2O3、其他合適的材料、或前述之組合,並且可以藉由化學氣相沉積、原子層沉積、物理氣相沉積、其他合適的方法、或前述之組合形成。在本實施例中,蝕刻停止層250相對於其周圍的介電組件提供蝕刻選擇性以確保防止意外損壞。
隨後,方法100在蝕刻停止層250上方形成層間介電(interlayer dielectric,ILD)層252,藉此填充相鄰的虛設閘極堆疊220之間的空間。層間介電層252可以包含氧化矽、低介電常數介電材料、四乙氧基矽烷(TEOS)、摻雜的氧化矽(例如硼磷矽酸鹽玻璃(BPSG)、摻雜氟的矽酸鹽玻璃(FSG)、磷矽酸鹽玻璃(PSG)、摻雜硼的矽酸鹽玻璃(BSG)等)、其他合適的介電材料、或前述之組合,並且可以藉由任何合適的方法形成,例如化學氣相沉積、可流動式化學氣相沉積、旋轉塗佈玻璃、其他合適的方法、或前述之組合。方法100隨後進行一或多個化學機械平坦化/研磨製程以暴露出虛設閘極堆 疊220的頂表面。
參照第36A~36C圖,方法100然後從結構200移除虛設閘極堆疊220以在蝕刻製程中形成閘極溝槽256。在本實施例中,方法100選擇性地移除虛設閘極堆疊220(包含界面層221)而不移除或大致不移除通道層206和周圍的介電組件。蝕刻製程可包含任何合適的製程,例如乾式蝕刻製程、濕式蝕刻製程、反應離子蝕刻、或前述之組合。
在一些實施例中,雖然在此未繪示,方法100可選地將留在鰭片204a~204c的通道區中的介電帽層214圖案化以形成用於隔開隨後形成的金屬閘極堆疊的閘極隔離部件。圖案化製程可以包含在結構200上方形成圖案化的遮罩元件以暴露出虛設閘極堆疊220的一部分,移除虛設閘極堆疊220的露出部分,藉此暴露出底下的介電帽層214的一部分,以及移除介電帽層214的露出部分。在移除圖案化的遮罩元件之後,介電帽層214的剩餘部分成為隨後形成的金屬閘極堆疊的閘極隔離部件。在替代實施例中,如下文將詳細討論的,在隨後的操作中完全移除介電帽層214,並且在形成金屬閘極堆疊之後單獨形成閘極隔離部件。
在操作126,參照第37A~38C圖,方法100從多層結構移除非通道層205以在片材形成製程或片材釋放製程中在通道層206之間形成開口258。在本實施例中,片材形成製程進一步移除與非通道層205的組成相似或相同之剩餘覆層210,以沿著鰭片204a~204c的側壁形成溝槽259。片材形成製程被配置為選擇性地移除非通道層205和覆層210而不移除或大致不移除結構200的通道層206或任何其他周圍組件。換言之,開口258與通道層206交錯。在一些實施例中,在一系列蝕刻和修整製程中實施片材形成製程。在一範例中,可以進 行濕式蝕刻製程,其使用氧化劑(oxidant或oxidizer),例如臭氧(O3;溶於水)、硝酸(HNO3)、過氧化氫(H2O2)、其他合適的氧化劑、和以氟為主的蝕刻劑,例如氫氟酸(HF)、氟化銨(NH4F)、其他合適的蝕刻劑、或前述之組合,以選擇性地移除非通道層205。
在操作128,參照第39A~40C圖,方法100在閘極溝槽256、開口258和溝槽259中形成金屬閘極堆疊260,使得金屬閘極堆疊260接觸鰭片204a~204c的側壁並包覆環繞(或交錯)每個通道層206。如本文所描繪的,金屬閘極堆疊260與鰭片204a、204b和204c接合以分別形成奈米結構場效電晶體200a、200b和220c。
在本實施例中,金屬閘極堆疊260包含界面層262、界面層262上方的閘極介電層264、以及閘極介電層264上方的金屬閘極電極266。界面層262的組成可以類似於界面層221的組成。在本實施例中,閘極介電層264包含高介電常數介電材料,例如HfO2、La2O3、其他合適的材料、或前述之組合,並且金屬閘極電極266包含至少一功函數金屬層(未單獨描繪)和設置在其上的塊體導電層(未單獨描繪)。功函數金屬層可以是p型或n型功函數金屬層。例示性功函數金屬包含TiN、TaN、WN、ZrSi2、MoSi2、TaSi2、NiSi2、Ti、TaAl、TaAlC、TiAlN、TaC、TaCN、TaSiN、Mn、Zr、其他合適的功函數金屬、或前述之組合。塊體導電層可以包含銅(Cu)、鎢(W)、鋁(Al)、鈷(Co)、釕(Ru)、其他合適的材料、或前述之組合。金屬閘極堆疊260也可以包含其他材料層(未繪示),例如覆層、阻障層、其他合適的層、或前述之組合。可以藉由各種方法形成金屬閘極堆疊260的各種層,包含化學氧化、熱氧化、原子層沉積、化學氣相沉積、物理氣相沉積、電鍍、其他合適的方法、 或前述之組合。在形成塊體導電層之後,進行一或多個化學機械平坦化/研磨製程以移除形成在層間介電層252的頂表面上的多餘材料,藉此平坦化結構200。
在操作130,參照第41A~42C圖,方法100在蝕刻製程中凹蝕金屬閘極堆疊260以形成溝槽270。在本實施例中,蝕刻製程選擇性地移除金屬閘極堆疊260的頂部,包含閘極介電層264的至少一部分和金屬閘極電極266,而不移除或大致不移除附近的介電帽層214和其他介電元件。蝕刻製程的實施可以藉由任何合適的方法,包含乾式蝕刻製程、濕式蝕刻製程、反應離子蝕刻、其他合適的方法、或前述之組合,利用一或多種配置為蝕刻金屬閘極堆疊260的組件的蝕刻劑。在本實施例中,控制蝕刻製程以凹蝕金屬閘極堆疊260,使得凹蝕的金屬閘極堆疊260的頂表面低於介電帽層214的頂表面。換言之,介電帽層214從凹蝕的金屬閘極堆疊260的頂表面突出。
在操作132,共同參照第43A~48C圖,方法100形成被配置為隔開金屬閘極堆疊260的閘極隔離部件274。參照第43A~44C圖,方法100在凹蝕的金屬閘極堆疊260和介電帽層214上方形成金屬層272。在一些實施例中,金屬層272包含鈦(Ti)、氮化鈦(TiN)、氮化鉭(TaN)、鎳(Ni)、W、Ru、Al、Co、其他合適的金屬、或前述之組合。金屬層272的沉積可以使用化學氣相沉積或金屬有機化學氣相沉積(metal organic chemical vapor deposition,MOCVD)。在一實施例中,金屬層272包含無氟W並且可以使用金屬有機化學氣相沉積來沉積。在一些實施例中,金屬層272的形成藉由從下而上的方案。隨後,方法100在選擇性蝕刻製程(例如乾式蝕刻製程或濕式蝕刻製程)中從結構200移除介電帽層214,選擇性蝕刻製程被配置為不移除或大致不移除介電部件211或金屬層272。
參照第45A~45C圖,方法100在介電部件211的至少一些部分上方形成閘極隔離部件274。閘極隔離部件274可以包含任何合適的材料,例如SiN、SiCN、SiON、Al2O3、其他合適的材料、或前述之組合,並且可以藉由一系列沉積和圖案化製程形成。舉例來說,形成閘極隔離部件274可以包含在金屬層272上方沉積犧牲層(未繪示)、圖案化犧牲層以形成被配置為閘極隔離部件274的開口、在圖案化的犧牲層上方沉積介電層以填充開口、平坦化介電層以形成閘極隔離部件274、以及相對於閘極隔離部件274選擇性地移除犧牲層。犧牲層可以包含任何合適的材料,例如光阻層、底部抗反射塗層、矽層、或其他合適的材料,只要其組成不同於閘極隔離部件274的組成以確保兩者之間有足夠的蝕刻選擇性。
隨後,參照第46A~46C圖,方法100在金屬層272上方和相鄰的閘極隔離部件274之間沉積另一金屬層276。在一些實施例中,金屬層276包含Ti、TiN、Ta、Ni、W、Ru、Al、Co、其他合適的金屬、或前述之組合。在一些實施例中,金屬層272和276具有相同的組成。在一些實施例中,金屬層276具有多層結構。金屬層276可以用類似於金屬層272的方式形成。在一些實施例中,金屬層276包含無氟W並且可以使用金屬有機化學氣相沉積來沉積。在一些實施例中,金屬層272作為以從下而上的方案形成金屬層276的晶種層。在本實施例中,金屬層276被配置為電連接兩個閘極隔離部件274之間的金屬閘極堆疊260的一部分。如此一來,金屬層276的厚度形成為小於閘極隔離部件274的厚度,使得閘極隔離部件274從金屬層276突出。
現在參照第47A~48C圖,方法100在金屬層276上方並鄰近閘極隔離部件274形成介電層278。在一些實施例中,介電層278包含SiN、SiCN、 SiOC、SiON、SiOCN、其他合適的材料、或前述之組合。介電層278的沉積可以藉由任何合適的方法,例如原子層沉積、化學氣相沉積、物理氣相沉積、其他合適的方法、或前述之組合。隨後,方法100在一或多個化學機械平坦化/研磨製程中移除形成在閘極隔離部件274上方的介電層278的一部分,藉此平坦化結構200的頂表面。
參照第49A~50C圖,方法100在操作134在一或多個磊晶源極/汲極部件242a~242c上方形成源極/汲極接觸件280。在一些實施例中,源極/汲極接觸件280包含Co、W、Ru、Cu、Al、Ti、Ni、Au、Pt、Pd、其他合適的金屬、或前述之組合。在一些實施例中,形成源極/汲極接觸件280包含圖案化層間介電層252和蝕刻停止層250以形成多個接觸件開口(未繪示)、在接觸件開口中的磊晶源極/汲極部件242a~242c上方形成金屬矽化物層、在接觸件開口中和金屬矽化物層上方沉積金屬層、以及對金屬層進行化學機械平坦化/研磨製程以形成源極/汲極接觸件280。沉積金屬層的實施可以藉由任何合適的方法,例如化學氣相沉積、物理氣相沉積、電鍍、其他方法、或前述之組合。金屬矽化物層的形成可以藉由在磊晶源極/汲極部件242a~242c上方沉積金屬層、處理金屬層以使其與磊晶源極/汲極部件242a~242c反應以形成金屬矽化物層、以及進行蝕刻製程以移除金屬層的未反應頂部並暴露出底下的金屬矽化物層。在一些實施例中,可以在形成源極/汲極接觸件280之前,在金屬矽化物層上方形成阻障層,其中阻障層可以包含Ti、TiN、Ta、TaN、WN、其他合適的材料、或前述之組合。
第51A~52C圖和第53A~54C圖描繪奈米結構場效電晶體200a~200c的實施例,以替代上文詳細討論的第49A~50C圖的實施例。舉例來說,第 51A~52C圖的實施例描繪分別包含磊晶源極/汲極部件245a、245b和245c的奈米結構場效電晶體200a、200b和200c,其各自包含在未摻雜緩衝層240上方之摻雜磊晶層,並且其中磊晶源極/汲極部件245c的有效重疊區小於磊晶源極/汲極部件245a和245b中的每一個的有效重疊區。就此點而言,奈米結構場效電晶體200c的Cgd小於奈米結構場效電晶體200a和200b中的每一個的Cgd,而奈米結構場效電晶體200a和200b被配置為提供比奈米結構場效電晶體200c更大的電流傳導。另外,第53A~54C圖的實施例描繪分別包含磊晶源極/汲極部件247a、247b和247c的奈米結構場效電晶體200a、200b和200c,其各自包含在未摻雜緩衝層240上方的摻雜磊晶層,並且其中磊晶源極/汲極部件247b和247c中的每一個的有效重疊區小於磊晶源極/汲極部件247a的有效重疊區。就此點而言,奈米結構場效電晶體200b和200c的Cgd均小於奈米結構場效電晶體200a的Cgd,而奈米結構場效電晶體200a被配置為提供比奈米結構場效電晶體200b和200c更大的電流傳導。應注意的是,對於第54A和54B圖中描繪的奈米結構場效電晶體200a和200b的實施例,雖然在任一裝置中均不含抗衝穿層206a,但藉由調整它們各自的源極/汲極凹槽的深度以及未摻雜緩衝層240的存在來調整有效重疊區。
此後,方法100在操作136對結構200進行額外的製造製程,例如在其上形成多層互連(multi-layer interconnect,MLI)結構(未繪示)。多層互連可以包含設置在介電層(例如蝕刻停止層和層間介電層)中的各種互連部件,例如導孔(vias)和導線。在一些實施例中,導孔是垂直互連部件,其被配置為將裝置級接觸件(例如源極/汲極接觸件280或閘極接觸件(未繪示))與導線互連或將不同的導線(其為水平互連部件)互連。多層互連的蝕刻停止層和層間介電層可以分別具有與上文分別關於蝕刻停止層250和層間介電層252 討論的那些組成大致相同的組成。導孔和導線可以各自包含任何合適的導電材料,例如Co、W、Ru、Cu、Al、Ti、Ni、Au、Pt、Pd、金屬矽化物、其他合適的導電材料、或前述之組合,並由一系列圖案化和沉積製程形成。另外,每個導孔和導線可以另外包含阻障層,阻障層包含TiN及/或TaN。
雖然並非用於限制,但本發明實施例中的一或多個實施例為半導體裝置及其形成提供許多益處。舉例來說,本發明實施例提供不同的(即混合的)奈米結構場效電晶體,每個都配置有通道層堆疊,其與金屬閘極堆疊接合並形成在相同基底的不同區域上方。在本實施例中,奈米結構場效電晶體的不同之處在於它們各自的磊晶源極/汲極部件的配置。在一些實施例中,奈米結構場效電晶體之一包含在其通道層堆疊中的一或多個摻雜的、抗衝穿(APT)層,使得在堆疊和磊晶源極/汲極部件之間的重疊區(由垂直延伸或深度定義)相較於通道層堆疊沒有任何抗衝穿層的另一奈米結構場效電晶體有所減少。在一些實施例中,藉由控制源極/汲極凹槽的深度及/或藉由在摻雜磊晶層下方形成未摻雜的緩衝層來調整一或多個奈米結構場效電晶體中的重疊區,其中存在或不存在任何抗衝穿層。換言之,在同一基底上方形成奈米結構場效電晶體,奈米結構場效電晶體在其各自的磊晶源極/汲極部件和通道區之間具有不同重疊區,這提供具有相對較小重疊區的裝置的好處,這產生降低的寄生電容(Cgd),以及具有相對更多重疊區的裝置,藉此為高電流(HC)或高效能計算(HPC)應用帶來更大的電流傳導。揭示之方法的實施例可以容易地整合到用於製造奈米結構場效電晶體、鰭式場效電晶體及/或其他合適的裝置的現有製程和技術中。
在一面向,本實施例提供半導體結構,其包含設置在半導體基 底上方的主動通道層的第一堆疊和主動通道層的第二堆疊,其中第二堆疊包含虛設通道層並且第一堆疊沒有任何虛設通道層,閘極結構與第一堆疊和第二堆疊接合,以及設置成鄰近第一堆疊的多個第一源極/汲極部件和設置成鄰近第二堆疊的多個第二源極/汲極部件,其中第二源極/汲極部件與虛設通道層重疊。
在一些實施例中,第一堆疊包含第一數量的主動通道層,第二堆疊包含第二數量的主動通道層,並且第二堆疊包含第三數量的虛設通道層,並且其中第二數量和第三數量的總合等於第一數量。
在一些實施例中,第三數量的範圍為1至比第一數量少1。
在一些實施例中,虛設通道層包含第一摻質種類,並且第二源極/汲極部件包含第二摻質種類,第二摻質種類的導電類型不同於第一摻質種類的導電類型。
在一些實施例中,虛設通道層包含用p型摻質或n型摻質摻雜的半導體材料,並且其中第一堆疊和第二堆疊中的每個主動通道層不含任何摻質。
在一些實施例中,第一源極/汲極部件和第二源極/汲極部件各自包含摻雜半導體層並具有相同的深度。
在一些實施例中,第二源極/汲極部件的摻雜半導體層與虛設通道層重疊。
在一些實施例中,第一源極/汲極部件包含設置在未摻雜半導體層上方的第一摻雜半導體層,並且第二源極/汲極部件包含設置在未摻雜半導體層上方的第二摻雜半導體層,並且其中第一摻雜半導體層延伸至第二摻雜半導體層下方。
在一些實施例中,第二源極/汲極部件中的未摻雜半導體層與虛設通道層重疊。
在另一面向,本實施例提供半導體結構,其包含第一鰭片結構和第二鰭片結構,第一鰭片結構包含主動通道層的第一堆疊,第二鰭片結構包含設置在至少一虛設通道層上方的主動通道層的第二堆疊,第一鰭片結構與第二鰭片結構從基底突出,閘極結構與第一堆疊與第二堆疊接合,第一源極/汲極部件設置在第一鰭片結構中且鄰近第一堆疊,其中第一源極/汲極部件包含在未摻雜磊晶層上方的第一摻雜磊晶層,第二源極/汲極部件設置在第二鰭片結構中且鄰近第二堆疊,其中第二源極/汲極部件包含在未摻雜磊晶層上方的第二摻雜磊晶層,並且未摻雜磊晶層與至少一虛設通道層重疊。
在一些實施例中,至少一虛設通道層包含第一摻質,並且第二源極/汲極部件包含第二摻質,並且其中第一摻質與第二摻質具有不同的導電類型。
在一些實施例中,至少一虛設通道層和第二堆疊中的主動通道層的總數與第一堆疊中的主動通道層的總數相同。
在一些實施例中,此半導體結構更包含第三鰭片結構,其包含主動通道層的第三堆疊並從基底突出,其中第一堆疊和第三堆疊包含相同數量的主動通道層;以及設置在第三鰭片結構中且鄰近第一堆疊的第三源極/汲極部件,其中第三源極/汲極部件包含在未摻雜磊晶層上方的第三摻雜磊晶層,並且其中第一源極/汲極部件延伸至第三源極/汲極部件下方。
在一些實施例中,與第一源極/汲極部件重疊之第一堆疊中的主動通道層的第一數量大於與第三源極/汲極部件重疊之第三堆疊中的主動通道層 的第二數量。
在一些實施例中,第三源極/汲極部件和第一源極/汲極部件的底表面之間的偏移大於該未摻雜磊晶層的厚度。
在又另一面向,本實施例提供半導體結構的形成方法,其中此方法包含提供具有第一區域和第二區域的半導體基底,形成從第一區域突出的第一鰭片和從第二區域突出的第二鰭片,其中第一鰭片包含主動通道層的第一堆疊,並且第二鰭片包含設置在至少一虛設通道層上方的主動通道層的第二堆疊,並且其中主動通道層與至少一虛設通道層具有不同的組成,在第一鰭片和第二鰭片上方形成虛設閘極堆疊,在第一鰭片中形成多個第一源極/汲極部件並在第二鰭片中形成多個第二源極/汲極部件,其中第二源極/汲極部件與至少一虛設通道層重疊,以及用金屬閘極堆疊取代虛設閘極堆疊,其中金屬閘極堆疊形成為鄰近第一源極/汲極部件和第二源極/汲極部件。
在一些實施例中,第一鰭片和第二鰭片的形成包含:在半導體基底上方形成犧牲層;在犧牲層上方形成最底主動通道層;相對於設置在第一區域中的最底主動通道層,選擇性地對設置在第二區域中的最底主動通道層進行佈植製程;此後,在最底主動通道層上方交替地形成犧牲層和主動通道層;以及將堆疊圖案化以形成第一鰭片和第二鰭片。
在一些實施例中,第二堆疊中的至少一虛設通道層和主動通道層的總數與第一堆疊中的主動通道層的總數相同。
在一些實施例中,第一源極/汲極部件和第二源極/汲極部件的形成包含:在第一鰭片中形成第一源極/汲極凹槽,並在第二鰭片中形成第二源極/汲極凹槽;在第一源極/汲極凹槽和第二源極/汲極凹槽中磊晶成長第一半導體 層,其中第一半導體層不含任何摻質種類,並且其中第一半導體層與至少一虛設通道層重疊;以及在第一半導體層上方磊晶成長第二半導體層,其中第二半導體層包含摻質種類。
在一些實施例中,主動通道層不含任何摻質種類,至少一虛設通道層包含第一摻質種類,並且第二源極/汲極部件包含第二摻質種類,並且其中第一摻質種類和第二摻質種類具有不同的導電類型。
以上概述數個實施例的部件,使得本技術領域中具有通常知識者可以更加理解本發明實施例的多個面向。本技術領域中具有通常知識者應該理解,他們能輕易地以本發明實施例為基礎,設計或修改其他製程和結構,以達到與本文介紹的實施例相同的目的及/或優點。本技術領域中具有通常知識者也應該理解,此類等效的結構並未悖離本發明實施例的精神與範圍,並且他們能在不違背本發明實施例的精神和範圍下,做各式各樣的改變、取代和調整。
200:結構 202:基底 205:非通道層 206:通道層 206a:抗衝穿層 220:虛設閘極堆疊 221:界面層 222a:頂部閘極間隔物 222b:內部閘極間隔物 223,225:硬遮罩層 242c:磊晶源極/汲極部件 D3,D3 eff:深度

Claims (13)

  1. 一種半導體結構,包括:主動通道層的一第一堆疊,設置在一半導體基底上方;主動通道層的一第二堆疊,設置在該半導體基底上方;一虛設通道層,設置在該第二堆疊內,其中該第一堆疊沒有任何虛設通道層;一閘極結構,與該第一堆疊和該第二堆疊接合;以及複數個第一源極/汲極部件和複數個第二源極/汲極部件,該些第一源極/汲極部件設置成鄰近該第一堆疊,該些第二源極/汲極部件設置成鄰近該第二堆疊,其中該些第二源極/汲極部件與該虛設通道層重疊。
  2. 如請求項1之半導體結構,其中該第一堆疊包含一第一數量的主動通道層,該第二堆疊包含一第二數量的主動通道層,並且該第二堆疊包含一第三數量的該些虛設通道層,並且其中該第二數量和該第三數量的總合等於該第一數量。
  3. 如請求項1或2之半導體結構,其中該虛設通道層包含一第一摻質種類,並且該些第二源極/汲極部件包含一第二摻質種類,該第二摻質種類的導電類型不同於該第一摻質種類的導電類型。
  4. 如請求項1或2之半導體結構,其中該虛設通道層包含用p型摻質或n型摻質摻雜的一半導體材料,並且其中該第一堆疊和該第二堆疊中的每個主動通道層不含任何摻質。
  5. 如請求項1或2之半導體結構,其中該些第一源極/汲極部件和該些第二源極/汲極部件各自包含一摻雜半導體層並具有相同的深度。
  6. 如請求項5之半導體結構,其中該些第二源極/汲極部件的該摻雜半導體層與該虛設通道層重疊。
  7. 如請求項1或2之半導體結構,其中該些第一源極/汲極部件包含設置在一未摻雜半導體層上方的一第一摻雜半導體層,並且該些第二源極/汲極部件包含設置在該未摻雜半導體層上方的一第二摻雜半導體層,並且其中該第一摻雜半導體層延伸至該第二摻雜半導體層下方。
  8. 一種半導體結構,包括:一第一鰭片結構,包含主動通道層的一第一堆疊並從一基底突出;一第二鰭片結構,包含主動通道層的一第二堆疊並從該基底突出,其中該第二堆疊包含至少一虛設通道層;一閘極結構,與該第一堆疊與該第二堆疊接合;一第一源極/汲極部件,設置在該第一鰭片結構中且鄰近該第一堆疊,其中該第一源極/汲極部件包含在一未摻雜磊晶層上方的一第一摻雜磊晶層;以及一第二源極/汲極部件,設置在該第二鰭片結構中且鄰近該第二堆疊,其中該第二源極/汲極部件包含在該未摻雜磊晶層上方的一第二摻雜磊晶層,並且其中該未摻雜磊晶層與該至少一虛設通道層重疊。
  9. 如請求項8之半導體結構,更包括:一第三鰭片結構,包含主動通道層的一第三堆疊並從該基底突出,其中該第一堆疊和該第三堆疊包含相同數量的該些主動通道層;以及一第三源極/汲極部件,設置在該第三鰭片結構中且鄰近該第一堆疊,其中該第三源極/汲極部件包含在該未摻雜磊晶層上方的一第三摻雜磊晶層,並且其中該第一源極/汲極部件延伸至該第三源極/汲極部件下方。
  10. 如請求項9之半導體結構,其中與該第一源極/汲極部件重疊之該第一堆疊中的該些主動通道層的一第一數量大於與該第三源極/汲極部件重疊之該第三堆疊中的該些主動通道層的一第二數量。
  11. 如請求項9或10之半導體結構,其中該第三源極/汲極部件和該第一源極/汲極部件的底表面之間的偏移大於該未摻雜磊晶層的厚度。
  12. 一種半導體結構的形成方法,包括:提供一半導體基底,該半導體基底具有一第一區域和一第二區域;形成從該第一區域突出的一第一鰭片和從該第二區域突出的一第二鰭片,其中該第一鰭片包含主動通道層的一第一堆疊,並且該第二鰭片包含主動通道層的一第二堆疊,其中該第二堆疊包括至少一虛設通道層,並且其中該些主動通道層與該至少一虛設通道層具有不同的組成;在該第一鰭片和該第二鰭片上方形成一虛設閘極堆疊;在該第一鰭片中形成複數個第一源極/汲極部件,並在該第二鰭片中形成複數個第二源極/汲極部件,其中該些第二源極/汲極部件與該至少一虛設通道層重疊;以及用一金屬閘極堆疊取代該虛設閘極堆疊,其中該金屬閘極堆疊形成為鄰近該些第一源極/汲極部件和該些第二源極/汲極部件。
  13. 如請求項12之半導體結構的形成方法,其中該第一鰭片和該第二鰭片的形成包含:在該半導體基底上方形成一犧牲層;在該犧牲層上方形成一最底主動通道層;相對於設置在該第一區域中的該最底主動通道層,選擇性地對設置在該第 二區域中的該最底主動通道層進行一佈植製程;此後,在該最底主動通道層上方交替地形成該些犧牲層和該些主動通道層;以及將該堆疊圖案化以形成該第一鰭片和該第二鰭片。
TW111103265A 2021-02-05 2022-01-26 半導體結構及其形成方法 TWI792891B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US202163146149P 2021-02-05 2021-02-05
US63/146,149 2021-02-05
US17/520,385 2021-11-05
US17/520,385 US12009261B2 (en) 2021-02-05 2021-11-05 Nanosheet devices with hybrid structures and methods of fabricating the same

Publications (2)

Publication Number Publication Date
TW202236433A TW202236433A (zh) 2022-09-16
TWI792891B true TWI792891B (zh) 2023-02-11

Family

ID=81897713

Family Applications (1)

Application Number Title Priority Date Filing Date
TW111103265A TWI792891B (zh) 2021-02-05 2022-01-26 半導體結構及其形成方法

Country Status (3)

Country Link
US (1) US12009261B2 (zh)
CN (1) CN114628329A (zh)
TW (1) TWI792891B (zh)

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20170229476A1 (en) * 2015-09-25 2017-08-10 Jong-won Kim Non-volatile memory device
US20190057867A1 (en) * 2017-08-16 2019-02-21 Tokyo Electron Limited Method and device for incorporating single diffusion break into nanochannel structures of fet devices
US20210005747A1 (en) * 2016-02-25 2021-01-07 Taiwan Semiconductor Manufacturing Company, Ltd. Ldmos transistor

Family Cites Families (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20190378915A1 (en) * 2018-06-12 2019-12-12 International Business Machines Corporation Forming nanosheet transistor with inner spacers at highly scaled gate pitch

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20170229476A1 (en) * 2015-09-25 2017-08-10 Jong-won Kim Non-volatile memory device
US20210005747A1 (en) * 2016-02-25 2021-01-07 Taiwan Semiconductor Manufacturing Company, Ltd. Ldmos transistor
US20190057867A1 (en) * 2017-08-16 2019-02-21 Tokyo Electron Limited Method and device for incorporating single diffusion break into nanochannel structures of fet devices

Also Published As

Publication number Publication date
US12009261B2 (en) 2024-06-11
TW202236433A (zh) 2022-09-16
US20220254882A1 (en) 2022-08-11
CN114628329A (zh) 2022-06-14

Similar Documents

Publication Publication Date Title
KR101334465B1 (ko) 개선된 실리사이드 형성 및 연관된 장치
KR102270970B1 (ko) 게이트-올-어라운드 전계 효과 트랜지스터 디바이스
CN111092122A (zh) 半导体结构的形成方法
TW202205533A (zh) 半導體裝置及其製造方法
TW202113974A (zh) 半導體裝置
US20230387228A1 (en) Contact plug structure of semiconductor device and method of forming same
TWI762191B (zh) 半導體裝置的製造方法
US11935754B2 (en) Transistor gate structure and method of forming
US20220359654A1 (en) Methods of Forming Semiconductor Devices Including Gate Barrier Layers
TWI780799B (zh) 半導體裝置及其製造方法
US11532502B2 (en) Reducing parasitic capacitance in field-effect transistors
TWI811615B (zh) 電晶體及其製造方法
TWI770748B (zh) 半導體裝置及其製造方法
TWI792891B (zh) 半導體結構及其形成方法
US11302793B2 (en) Transistor gates and method of forming
TW202143300A (zh) 半導體裝置及其製造方法
US20240047553A1 (en) Gate-all-around field-effect transistor device
US20220344484A1 (en) Tuning Gate Lengths In Multi-Gate Field Effect Transistors
KR102527015B1 (ko) 반도체 디바이스에서의 기생 커패시턴스 감소
US11942479B2 (en) Semiconductor device and manufacturing method thereof
US11996320B2 (en) Reducing parasitic capacitance in field-effect transistors
US20230068568A1 (en) Gate Isolation Features and Methods of Fabricating the Same in Semiconductor Devices
TWI795774B (zh) 填充結構及其製造方法
US20230042196A1 (en) Semiconductor device and method of manufacture
TW202416361A (zh) 半導體裝置及其形成方法