TWI789675B - 半導體元件及其製造方法 - Google Patents
半導體元件及其製造方法 Download PDFInfo
- Publication number
- TWI789675B TWI789675B TW110101020A TW110101020A TWI789675B TW I789675 B TWI789675 B TW I789675B TW 110101020 A TW110101020 A TW 110101020A TW 110101020 A TW110101020 A TW 110101020A TW I789675 B TWI789675 B TW I789675B
- Authority
- TW
- Taiwan
- Prior art keywords
- layer
- work function
- metal
- layers
- function metal
- Prior art date
Links
- 239000004065 semiconductor Substances 0.000 title claims abstract description 90
- 238000004519 manufacturing process Methods 0.000 title claims abstract description 23
- 229910052751 metal Inorganic materials 0.000 claims abstract description 429
- 239000002184 metal Substances 0.000 claims abstract description 429
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical compound [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 claims abstract description 170
- 229910052760 oxygen Inorganic materials 0.000 claims abstract description 170
- 239000001301 oxygen Substances 0.000 claims abstract description 169
- 239000000463 material Substances 0.000 claims abstract description 53
- 150000004767 nitrides Chemical class 0.000 claims description 137
- 238000000034 method Methods 0.000 claims description 88
- 239000000758 substrate Substances 0.000 claims description 44
- 150000002739 metals Chemical class 0.000 claims description 6
- 238000010521 absorption reaction Methods 0.000 claims description 5
- 239000010410 layer Substances 0.000 description 767
- 230000008569 process Effects 0.000 description 48
- 238000002955 isolation Methods 0.000 description 28
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 description 23
- ATJFFYVFTNAWJD-UHFFFAOYSA-N Tin Chemical compound [Sn] ATJFFYVFTNAWJD-UHFFFAOYSA-N 0.000 description 20
- 238000000151 deposition Methods 0.000 description 20
- 239000003989 dielectric material Substances 0.000 description 20
- 230000008021 deposition Effects 0.000 description 18
- 125000006850 spacer group Chemical group 0.000 description 18
- 229910052710 silicon Inorganic materials 0.000 description 17
- 229910052782 aluminium Inorganic materials 0.000 description 16
- 239000010936 titanium Substances 0.000 description 16
- 229910052814 silicon oxide Inorganic materials 0.000 description 15
- 229910010038 TiAl Inorganic materials 0.000 description 14
- 238000005229 chemical vapour deposition Methods 0.000 description 14
- 238000005530 etching Methods 0.000 description 13
- 229910052719 titanium Inorganic materials 0.000 description 13
- 229910052581 Si3N4 Inorganic materials 0.000 description 12
- 229910004490 TaAl Inorganic materials 0.000 description 12
- 238000000231 atomic layer deposition Methods 0.000 description 12
- HQVNEWCFYHHQES-UHFFFAOYSA-N silicon nitride Chemical compound N12[Si]34N5[Si]62N3[Si]51N64 HQVNEWCFYHHQES-UHFFFAOYSA-N 0.000 description 12
- 230000009969 flowable effect Effects 0.000 description 11
- 229910052715 tantalum Inorganic materials 0.000 description 11
- 229910004200 TaSiN Inorganic materials 0.000 description 10
- 229910010041 TiAlC Inorganic materials 0.000 description 9
- 229910010037 TiAlN Inorganic materials 0.000 description 9
- 238000000059 patterning Methods 0.000 description 9
- 239000000376 reactant Substances 0.000 description 9
- 238000006243 chemical reaction Methods 0.000 description 8
- 150000001875 compounds Chemical class 0.000 description 8
- 239000000126 substance Substances 0.000 description 8
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 description 7
- 229910000577 Silicon-germanium Inorganic materials 0.000 description 7
- 229910045601 alloy Inorganic materials 0.000 description 7
- 239000000956 alloy Substances 0.000 description 7
- 239000004020 conductor Substances 0.000 description 7
- 229910052749 magnesium Inorganic materials 0.000 description 7
- 230000003647 oxidation Effects 0.000 description 7
- 238000007254 oxidation reaction Methods 0.000 description 7
- 238000005240 physical vapour deposition Methods 0.000 description 7
- 239000010703 silicon Substances 0.000 description 7
- 229910052727 yttrium Inorganic materials 0.000 description 7
- IJGRMHOSHXDMSA-UHFFFAOYSA-N Atomic nitrogen Chemical compound N#N IJGRMHOSHXDMSA-UHFFFAOYSA-N 0.000 description 6
- XAGFODPZIPBFFR-UHFFFAOYSA-N aluminium Chemical compound [Al] XAGFODPZIPBFFR-UHFFFAOYSA-N 0.000 description 6
- 238000005498 polishing Methods 0.000 description 6
- 229910021420 polycrystalline silicon Inorganic materials 0.000 description 6
- 229910052732 germanium Inorganic materials 0.000 description 5
- 239000011810 insulating material Substances 0.000 description 5
- 238000005389 semiconductor device fabrication Methods 0.000 description 5
- GWEVSGVZZGPLCZ-UHFFFAOYSA-N Titan oxide Chemical compound O=[Ti]=O GWEVSGVZZGPLCZ-UHFFFAOYSA-N 0.000 description 4
- RTAQQCXQSZGOHL-UHFFFAOYSA-N Titanium Chemical compound [Ti] RTAQQCXQSZGOHL-UHFFFAOYSA-N 0.000 description 4
- MCMNRKCIXSYSNV-UHFFFAOYSA-N Zirconium dioxide Chemical compound O=[Zr]=O MCMNRKCIXSYSNV-UHFFFAOYSA-N 0.000 description 4
- LEVVHYCKPQWKOP-UHFFFAOYSA-N [Si].[Ge] Chemical compound [Si].[Ge] LEVVHYCKPQWKOP-UHFFFAOYSA-N 0.000 description 4
- PNEYBMLMFCGWSK-UHFFFAOYSA-N aluminium oxide Inorganic materials [O-2].[O-2].[O-2].[Al+3].[Al+3] PNEYBMLMFCGWSK-UHFFFAOYSA-N 0.000 description 4
- 230000008901 benefit Effects 0.000 description 4
- 229910017052 cobalt Inorganic materials 0.000 description 4
- 239000010941 cobalt Substances 0.000 description 4
- GUTLYIVDDKVIGB-UHFFFAOYSA-N cobalt atom Chemical compound [Co] GUTLYIVDDKVIGB-UHFFFAOYSA-N 0.000 description 4
- 230000005669 field effect Effects 0.000 description 4
- GNPVGFCGXDBREM-UHFFFAOYSA-N germanium atom Chemical compound [Ge] GNPVGFCGXDBREM-UHFFFAOYSA-N 0.000 description 4
- 239000012535 impurity Substances 0.000 description 4
- 239000011295 pitch Substances 0.000 description 4
- 229920005591 polysilicon Polymers 0.000 description 4
- 239000000377 silicon dioxide Substances 0.000 description 4
- -1 siloxanes Chemical class 0.000 description 4
- WGTYBPLFGIVFAS-UHFFFAOYSA-M tetramethylammonium hydroxide Chemical compound [OH-].C[N+](C)(C)C WGTYBPLFGIVFAS-UHFFFAOYSA-M 0.000 description 4
- 229910052721 tungsten Inorganic materials 0.000 description 4
- ZOXJGFHDIHLPTG-UHFFFAOYSA-N Boron Chemical compound [B] ZOXJGFHDIHLPTG-UHFFFAOYSA-N 0.000 description 3
- RYGMFSIKBFXOCR-UHFFFAOYSA-N Copper Chemical compound [Cu] RYGMFSIKBFXOCR-UHFFFAOYSA-N 0.000 description 3
- KRHYYFGTRYWZRS-UHFFFAOYSA-N Fluorane Chemical compound F KRHYYFGTRYWZRS-UHFFFAOYSA-N 0.000 description 3
- NRTOMJZYCJJWKI-UHFFFAOYSA-N Titanium nitride Chemical group [Ti]#N NRTOMJZYCJJWKI-UHFFFAOYSA-N 0.000 description 3
- 239000006096 absorbing agent Substances 0.000 description 3
- 238000000137 annealing Methods 0.000 description 3
- 230000015572 biosynthetic process Effects 0.000 description 3
- 229910052796 boron Inorganic materials 0.000 description 3
- 239000010949 copper Substances 0.000 description 3
- 229910052802 copper Inorganic materials 0.000 description 3
- 238000010586 diagram Methods 0.000 description 3
- 239000002019 doping agent Substances 0.000 description 3
- 238000001312 dry etching Methods 0.000 description 3
- 239000007789 gas Substances 0.000 description 3
- 238000003780 insertion Methods 0.000 description 3
- 230000037431 insertion Effects 0.000 description 3
- PXHVJJICTQNCMI-UHFFFAOYSA-N nickel Substances [Ni] PXHVJJICTQNCMI-UHFFFAOYSA-N 0.000 description 3
- 229910052757 nitrogen Inorganic materials 0.000 description 3
- 230000000149 penetrating effect Effects 0.000 description 3
- 229920003209 poly(hydridosilsesquioxane) Polymers 0.000 description 3
- 239000002243 precursor Substances 0.000 description 3
- 238000012545 processing Methods 0.000 description 3
- 229910021332 silicide Inorganic materials 0.000 description 3
- 235000012239 silicon dioxide Nutrition 0.000 description 3
- 230000008719 thickening Effects 0.000 description 3
- WFKWXMTUELFFGS-UHFFFAOYSA-N tungsten Chemical compound [W] WFKWXMTUELFFGS-UHFFFAOYSA-N 0.000 description 3
- 239000010937 tungsten Substances 0.000 description 3
- 238000001039 wet etching Methods 0.000 description 3
- ITWBWJFEJCHKSN-UHFFFAOYSA-N 1,4,7-triazonane Chemical compound C1CNCCNCCN1 ITWBWJFEJCHKSN-UHFFFAOYSA-N 0.000 description 2
- 229910018072 Al 2 O 3 Inorganic materials 0.000 description 2
- JBRZTFJDHDCESZ-UHFFFAOYSA-N AsGa Chemical compound [As]#[Ga] JBRZTFJDHDCESZ-UHFFFAOYSA-N 0.000 description 2
- 229910000530 Gallium indium arsenide Inorganic materials 0.000 description 2
- 229910004129 HfSiO Inorganic materials 0.000 description 2
- 229910021193 La 2 O 3 Inorganic materials 0.000 description 2
- ZOKXTWBITQBERF-UHFFFAOYSA-N Molybdenum Chemical compound [Mo] ZOKXTWBITQBERF-UHFFFAOYSA-N 0.000 description 2
- BOTDANWDWHJENH-UHFFFAOYSA-N Tetraethyl orthosilicate Chemical compound CCO[Si](OCC)(OCC)OCC BOTDANWDWHJENH-UHFFFAOYSA-N 0.000 description 2
- 229910010052 TiAlO Inorganic materials 0.000 description 2
- KXNLCSXBJCPWGL-UHFFFAOYSA-N [Ga].[As].[In] Chemical compound [Ga].[As].[In] KXNLCSXBJCPWGL-UHFFFAOYSA-N 0.000 description 2
- 229910021417 amorphous silicon Inorganic materials 0.000 description 2
- 229910052799 carbon Inorganic materials 0.000 description 2
- 229910052735 hafnium Inorganic materials 0.000 description 2
- VBJZVLUMGGDVMO-UHFFFAOYSA-N hafnium atom Chemical compound [Hf] VBJZVLUMGGDVMO-UHFFFAOYSA-N 0.000 description 2
- 239000012212 insulator Substances 0.000 description 2
- 238000004518 low pressure chemical vapour deposition Methods 0.000 description 2
- 239000012528 membrane Substances 0.000 description 2
- 229910001092 metal group alloy Inorganic materials 0.000 description 2
- 229910044991 metal oxide Inorganic materials 0.000 description 2
- 150000004706 metal oxides Chemical class 0.000 description 2
- 239000000203 mixture Substances 0.000 description 2
- 229910052750 molybdenum Inorganic materials 0.000 description 2
- 239000011733 molybdenum Substances 0.000 description 2
- 229910052759 nickel Inorganic materials 0.000 description 2
- RUFLMLWJRZAWLJ-UHFFFAOYSA-N nickel silicide Chemical compound [Ni]=[Si]=[Ni] RUFLMLWJRZAWLJ-UHFFFAOYSA-N 0.000 description 2
- 229910021334 nickel silicide Inorganic materials 0.000 description 2
- TWNQGVIAIRXVLR-UHFFFAOYSA-N oxo(oxoalumanyloxy)alumane Chemical compound O=[Al]O[Al]=O TWNQGVIAIRXVLR-UHFFFAOYSA-N 0.000 description 2
- 238000000206 photolithography Methods 0.000 description 2
- 229920000642 polymer Polymers 0.000 description 2
- 238000004151 rapid thermal annealing Methods 0.000 description 2
- 239000005368 silicate glass Substances 0.000 description 2
- FVBUAEGBCNSCDD-UHFFFAOYSA-N silicide(4-) Chemical compound [Si-4] FVBUAEGBCNSCDD-UHFFFAOYSA-N 0.000 description 2
- HBMJWWWQQXIZIP-UHFFFAOYSA-N silicon carbide Chemical compound [Si+]#[C-] HBMJWWWQQXIZIP-UHFFFAOYSA-N 0.000 description 2
- 239000002356 single layer Substances 0.000 description 2
- 238000004544 sputter deposition Methods 0.000 description 2
- 229910003468 tantalcarbide Inorganic materials 0.000 description 2
- GUVRBAGPIYLISA-UHFFFAOYSA-N tantalum atom Chemical compound [Ta] GUVRBAGPIYLISA-UHFFFAOYSA-N 0.000 description 2
- MZLGASXMSKOWSE-UHFFFAOYSA-N tantalum nitride Chemical compound [Ta]#N MZLGASXMSKOWSE-UHFFFAOYSA-N 0.000 description 2
- OKTJSMMVPCPJKN-UHFFFAOYSA-N Carbon Chemical compound [C] OKTJSMMVPCPJKN-UHFFFAOYSA-N 0.000 description 1
- 229910005540 GaP Inorganic materials 0.000 description 1
- 229910001218 Gallium arsenide Inorganic materials 0.000 description 1
- 229910005898 GeSn Inorganic materials 0.000 description 1
- 229910004140 HfO Inorganic materials 0.000 description 1
- 229910000673 Indium arsenide Inorganic materials 0.000 description 1
- GPXJNWSHGFTCBW-UHFFFAOYSA-N Indium phosphide Chemical compound [In]#P GPXJNWSHGFTCBW-UHFFFAOYSA-N 0.000 description 1
- OAICVXFJPJFONN-UHFFFAOYSA-N Phosphorus Chemical compound [P] OAICVXFJPJFONN-UHFFFAOYSA-N 0.000 description 1
- 239000004642 Polyimide Substances 0.000 description 1
- 229910004298 SiO 2 Inorganic materials 0.000 description 1
- 229910020328 SiSn Inorganic materials 0.000 description 1
- 229910003074 TiCl4 Inorganic materials 0.000 description 1
- OQNXPQOQCWVVHP-UHFFFAOYSA-N [Si].O=[Ge] Chemical compound [Si].O=[Ge] OQNXPQOQCWVVHP-UHFFFAOYSA-N 0.000 description 1
- 239000002250 absorbent Substances 0.000 description 1
- 230000002745 absorbent Effects 0.000 description 1
- 239000000654 additive Substances 0.000 description 1
- 230000000996 additive effect Effects 0.000 description 1
- 239000012080 ambient air Substances 0.000 description 1
- 239000003125 aqueous solvent Substances 0.000 description 1
- 229910052785 arsenic Inorganic materials 0.000 description 1
- RQNWIZPPADIBDY-UHFFFAOYSA-N arsenic atom Chemical compound [As] RQNWIZPPADIBDY-UHFFFAOYSA-N 0.000 description 1
- 230000004888 barrier function Effects 0.000 description 1
- 230000015556 catabolic process Effects 0.000 description 1
- 238000003486 chemical etching Methods 0.000 description 1
- 238000004140 cleaning Methods 0.000 description 1
- 229910052681 coesite Inorganic materials 0.000 description 1
- 229910052906 cristobalite Inorganic materials 0.000 description 1
- 229910021419 crystalline silicon Inorganic materials 0.000 description 1
- 238000006731 degradation reaction Methods 0.000 description 1
- 238000005137 deposition process Methods 0.000 description 1
- 238000013461 design Methods 0.000 description 1
- 229910003460 diamond Inorganic materials 0.000 description 1
- 239000010432 diamond Substances 0.000 description 1
- 238000009792 diffusion process Methods 0.000 description 1
- 230000000694 effects Effects 0.000 description 1
- 238000009713 electroplating Methods 0.000 description 1
- 230000005284 excitation Effects 0.000 description 1
- HZXMRANICFIONG-UHFFFAOYSA-N gallium phosphide Chemical compound [Ga]#P HZXMRANICFIONG-UHFFFAOYSA-N 0.000 description 1
- 239000011521 glass Substances 0.000 description 1
- BHEPBYXIRTUNPN-UHFFFAOYSA-N hydridophosphorus(.) (triplet) Chemical compound [PH] BHEPBYXIRTUNPN-UHFFFAOYSA-N 0.000 description 1
- 229910052738 indium Inorganic materials 0.000 description 1
- WPYVAWXEWQSOGY-UHFFFAOYSA-N indium antimonide Chemical compound [Sb]#[In] WPYVAWXEWQSOGY-UHFFFAOYSA-N 0.000 description 1
- RPQDHPTXJYYUPQ-UHFFFAOYSA-N indium arsenide Chemical compound [In]#[As] RPQDHPTXJYYUPQ-UHFFFAOYSA-N 0.000 description 1
- APFVFJFRJDLVQX-UHFFFAOYSA-N indium atom Chemical compound [In] APFVFJFRJDLVQX-UHFFFAOYSA-N 0.000 description 1
- 239000011261 inert gas Substances 0.000 description 1
- 239000011229 interlayer Substances 0.000 description 1
- 238000012986 modification Methods 0.000 description 1
- 230000004048 modification Effects 0.000 description 1
- 238000001451 molecular beam epitaxy Methods 0.000 description 1
- QPJSUIGXIBEQAC-UHFFFAOYSA-N n-(2,4-dichloro-5-propan-2-yloxyphenyl)acetamide Chemical compound CC(C)OC1=CC(NC(C)=O)=C(Cl)C=C1Cl QPJSUIGXIBEQAC-UHFFFAOYSA-N 0.000 description 1
- 239000002070 nanowire Substances 0.000 description 1
- 239000011368 organic material Substances 0.000 description 1
- 230000001590 oxidative effect Effects 0.000 description 1
- 229910052698 phosphorus Inorganic materials 0.000 description 1
- 239000011574 phosphorus Substances 0.000 description 1
- 238000005268 plasma chemical vapour deposition Methods 0.000 description 1
- 229920001721 polyimide Polymers 0.000 description 1
- 229920001709 polysilazane Polymers 0.000 description 1
- 239000012495 reaction gas Substances 0.000 description 1
- FZHAPNGMFPVSLP-UHFFFAOYSA-N silanamine Chemical class [SiH3]N FZHAPNGMFPVSLP-UHFFFAOYSA-N 0.000 description 1
- 150000004760 silicates Chemical class 0.000 description 1
- 229910010271 silicon carbide Inorganic materials 0.000 description 1
- LIVNPJMFVYWSIS-UHFFFAOYSA-N silicon monoxide Chemical compound [Si-]#[O+] LIVNPJMFVYWSIS-UHFFFAOYSA-N 0.000 description 1
- 238000002791 soaking Methods 0.000 description 1
- 239000007787 solid Substances 0.000 description 1
- 229910052682 stishovite Inorganic materials 0.000 description 1
- 238000006467 substitution reaction Methods 0.000 description 1
- XJDNKRIXUMDJCW-UHFFFAOYSA-J titanium tetrachloride Chemical compound Cl[Ti](Cl)(Cl)Cl XJDNKRIXUMDJCW-UHFFFAOYSA-J 0.000 description 1
- 229910052905 tridymite Inorganic materials 0.000 description 1
- 125000000026 trimethylsilyl group Chemical group [H]C([H])([H])[Si]([*])(C([H])([H])[H])C([H])([H])[H] 0.000 description 1
Images
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/66—Types of semiconductor device ; Multistep manufacturing processes therefor
- H01L29/66007—Multistep manufacturing processes
- H01L29/66075—Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
- H01L29/66227—Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
- H01L29/66409—Unipolar field-effect transistors
- H01L29/66477—Unipolar field-effect transistors with an insulated gate, i.e. MISFET
- H01L29/66787—Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
- H01L29/66795—Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02109—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
- H01L21/02112—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
- H01L21/02172—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
- H01L21/02175—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
- H01L21/02183—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing tantalum, e.g. Ta2O5
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02109—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
- H01L21/02112—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
- H01L21/02172—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
- H01L21/02175—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
- H01L21/02186—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing titanium, e.g. TiO2
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02109—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
- H01L21/02112—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
- H01L21/02172—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
- H01L21/02175—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
- H01L21/02194—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing more than one metal element
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/28—Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
- H01L21/28008—Making conductor-insulator-semiconductor electrodes
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/28—Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
- H01L21/28008—Making conductor-insulator-semiconductor electrodes
- H01L21/28017—Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
- H01L21/28026—Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
- H01L21/28088—Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being a composite, e.g. TiN
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/77—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
- H01L21/78—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
- H01L21/82—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
- H01L21/822—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
- H01L21/8232—Field-effect technology
- H01L21/8234—MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
- H01L21/823431—MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/77—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
- H01L21/78—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
- H01L21/82—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
- H01L21/822—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
- H01L21/8232—Field-effect technology
- H01L21/8234—MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
- H01L21/823437—MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/77—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
- H01L21/78—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
- H01L21/82—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
- H01L21/822—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
- H01L21/8232—Field-effect technology
- H01L21/8234—MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
- H01L21/823437—MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
- H01L21/82345—MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes gate conductors with different gate conductor materials or different gate conductor implants, e.g. dual gate structures
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/77—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
- H01L21/78—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
- H01L21/82—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
- H01L21/822—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
- H01L21/8232—Field-effect technology
- H01L21/8234—MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
- H01L21/8238—Complementary field-effect transistors, e.g. CMOS
- H01L21/823821—Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/77—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
- H01L21/78—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
- H01L21/82—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
- H01L21/822—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
- H01L21/8232—Field-effect technology
- H01L21/8234—MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
- H01L21/8238—Complementary field-effect transistors, e.g. CMOS
- H01L21/823828—Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L27/00—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
- H01L27/02—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
- H01L27/04—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
- H01L27/08—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
- H01L27/085—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
- H01L27/088—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
- H01L27/0886—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L27/00—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
- H01L27/02—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
- H01L27/04—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
- H01L27/08—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
- H01L27/085—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
- H01L27/088—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
- H01L27/092—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
- H01L27/0924—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/40—Electrodes ; Multistep manufacturing processes therefor
- H01L29/401—Multistep manufacturing processes
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/40—Electrodes ; Multistep manufacturing processes therefor
- H01L29/41—Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
- H01L29/423—Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
- H01L29/42312—Gate electrodes for field effect devices
- H01L29/42316—Gate electrodes for field effect devices for field-effect transistors
- H01L29/4232—Gate electrodes for field effect devices for field-effect transistors with insulated gate
- H01L29/42356—Disposition, e.g. buried gate electrode
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/40—Electrodes ; Multistep manufacturing processes therefor
- H01L29/41—Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
- H01L29/423—Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
- H01L29/42312—Gate electrodes for field effect devices
- H01L29/42316—Gate electrodes for field effect devices for field-effect transistors
- H01L29/4232—Gate electrodes for field effect devices for field-effect transistors with insulated gate
- H01L29/42372—Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the conducting layer, e.g. the length, the sectional shape or the lay-out
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/40—Electrodes ; Multistep manufacturing processes therefor
- H01L29/43—Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
- H01L29/49—Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
- H01L29/4966—Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/66—Types of semiconductor device ; Multistep manufacturing processes therefor
- H01L29/68—Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
- H01L29/76—Unipolar devices, e.g. field effect transistors
- H01L29/772—Field effect transistors
- H01L29/78—Field effect transistors with field effect produced by an insulated gate
- H01L29/785—Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
Landscapes
- Engineering & Computer Science (AREA)
- Power Engineering (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Computer Hardware Design (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- General Physics & Mathematics (AREA)
- Physics & Mathematics (AREA)
- Manufacturing & Machinery (AREA)
- Ceramic Engineering (AREA)
- Composite Materials (AREA)
- Chemical & Material Sciences (AREA)
- Materials Engineering (AREA)
- Insulated Gate Type Field-Effect Transistor (AREA)
- Thin Film Transistor (AREA)
- Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
- Encapsulation Of And Coatings For Semiconductor Or Solid State Devices (AREA)
- Noodles (AREA)
Abstract
本案提供一種製造半導體元件之方法,包括在半導體元件之通道區上形成複數個功函數金屬層及氧吸收層的步驟,此步驟包括以下各者:在通道區上形成第一功函數金屬層,在第一功函數金屬層上形成氧吸收層,在氧吸收層上形成第二功函數金屬層。在複數個功函數金屬層上形成閘電極金屬層。功函數金屬層、氧吸收層、及閘電極金屬層是由不同材料製成。
Description
本揭露有關於導體元件及其製造方法。
隨著積體電路的尺度縮小及對積體電路的速度的要求愈來愈高,電晶體需要根據日益減小的尺寸具有更高的激勵電流。因而開發鰭式場效電晶體(Fin Field-Effect Transistors;FinFET)。FinFET包括基板上方的垂直半導體鰭狀物。半導體鰭狀物用於形成源極及汲極區,並且通道區在源極區與汲極區之間。淺溝槽隔離(Shallow Trench Isolation;STI)區經形成以定義半導體鰭狀物。FinFET亦包括閘極堆疊,其形成於半導體鰭狀物的側壁及頂表面上。閘極堆疊包括介電材料及導電材料之多層。
本揭露之實施例為一種製造半導體元件之方法,包括在半導體元件之通道區上形成複數個功函數金屬層及氧吸收層之步驟,包括以下步驟:在通道區上形成第一功函
數金屬層,在第一功函數金屬層上形成氧吸收層,在氧吸收層上形成第二功函數金屬層。閘電極金屬層形成於複數個功函數金屬層上。功函數金屬層、氧吸收層、及閘電極金屬層是由不同材料製成。
本揭露之另一實施例為一種製造半導體元件之方法,包括以下步驟:在半導體元件之通道區上形成複數個第一金屬氮化物層及第二金屬氮化物層,其中第一金屬氮化物層及第二金屬氮化物層包括第一金屬;在通道區上形成第一第一金屬氮化物層,在第一第一金屬氮化物層上形成第二金屬氮化物層,及在第二金屬氮化物層上形成第二第一金屬氮化物層。閘電極金屬層在複數個第一金屬氮化物層上形成。第二金屬氮化物層比第一金屬氮化物層具有更大之第一金屬濃度,及第一金屬氮化物層及第二金屬氮化物層及閘電極金屬層由不同材料形成。
本揭露之另一實施例為一種半導體元件,包括設置在半導體基板之通道區上的閘極堆疊結構。閘極堆疊結構包括複數個功函數金屬層,設置在一對功函數金屬層之間的氧吸收層,及設置在複數個功函數金屬層上的閘電極金屬層。功函數金屬層、氧吸收層、及閘電極金屬層為不同材料。
1:FinFET元件
2:FinFET元件
10:基板
20:鰭
20A:上部
20B:下部
22:通道區
30:隔離絕緣層
32:源極區
34:汲極區
35:閘極介電層
40:犧牲閘極結構
41:犧牲閘極介電層
42:閘電極
45:犧牲閘電極層
47:側壁間隔物
49:閘極間隙
50:ILD層
60:源極/汲極磊晶層
70:通道區
75:閘極堆疊結構
80:閘極介電層
85:氧吸收層(金屬氮化物層)
90:功函數金屬層(金屬氮化物層)
95:功函數金屬層(金屬層)
100:閘電極層
105:界面層
110:導電觸點
200:方法
300:方法
400:方法
P1:間距
W1:寬度
H1:高度
S210:操作
S220:操作
S222:操作
S224:操作
S230:操作
S240:操作
S250:操作
S260:操作
S270:操作
S310:操作
S320:操作
S322:操作
S324:操作
S330:操作
S340:操作
S350:操作
S405:操作
S410:操作
S415:操作
S420:操作
S425:操作
S430:操作
S435:操作
S440:操作
S445:操作
S450:操作
當結合附圖閱讀時,根據以下詳細描述可更好地理解本揭露。應強調,根據工業標準實踐,各種特徵未按比
例繪製並且僅用作說明目的。事實上,為論述清楚,各特徵的尺寸可任意地增加或縮小。
第1A圖示意性圖示根據本揭露之一些實施例的示例性FinFET元件的透視圖。
第1B圖示意性圖示根據本揭露之一些實施例的具有多個鰭狀物之示例性FinFET元件的透視圖。
第2A圖圖示根據本揭露之一些實施例的半導體元件製造製程之不同階段中之一者。
第2B圖及第2C圖圖示根據本揭露之一些實施例的半導體元件製造製程之不同階段中之一者。
第2D圖圖示根據本揭露之一些實施例的半導體元件製造製程之不同階段中之一者。
第2E圖及第2F圖圖示根據本揭露之一些實施例的半導體元件製造製程之不同階段中之一者。
第2G圖及第2H圖圖示根據本揭露之一些實施例的半導體元件製造製程之不同階段中之一者。
第3A圖為根據本揭露之實施例之閘極結構的詳圖。
第3B圖為根據本揭露之實施例之閘極結構的詳圖。
第4圖為根據本揭露之實施例之閘極結構的詳圖。
第5圖為根據本揭露之實施例之閘極結構的詳圖。
第6圖為根據本揭露之實施例之閘極結構的詳圖。
第7A圖、第7B圖、第7C圖、第7D圖、第7E圖及第7F圖圖示根據本揭露的實施例的半導體元件的連續製造製程的不同階段的橫截面視圖。
第8圖圖示根據本揭露之實施例的製造半導體元件的製程流程。
第9圖圖示根據本揭露之實施例的製造半導體元件的製程流程。
第10圖圖示根據本揭露之實施例的製造半導體元件的製程流程。
應當理解,以下揭示內容提供許多不同實施例或實例,以便實施本揭露之實施方式的不同特徵。下文描述部件及佈置之特定實施例或實例以簡化本揭露。當然,此等實例僅為實例且不意欲為限制性。例如,元件之尺寸不限於本揭露之範圍或數值,但可取決於元件之處理條件及/或要求性質。此外,第一特徵在隨後描述中在第二特徵上方或在第二特徵上的形成可包括第一及第二特徵形成為直接接觸之實施例,以及亦可包括額外特徵可形成在第一及第二特徵之間,使得第一及第二特徵可不直接接觸的實施例。為簡單及清晰起見,不同特徵可能任意地以不同的比例繪製出。
另外,空間相對術語,諸如「之下」、「下方」、「下部」、「上方」、「上部」及類似者,在此為便於描述可用於描述諸圖中所圖示一個元件或特徵與另一(些)元件或(多個)特徵的關係。除圖形中描繪的取向外,空間相對術語意欲包含元件在使用或操作中的不同取向。元
件可為不同取向(旋轉90度或在其他的取向)及在此使用的空間相對描述詞可因此同樣地解釋。另外,術語「由……製成」可意謂「包含」或者「由……組成」。
另外,在下列製造製程中,在所述操作之間存在一或多個附加操作,並且操作之順序可變化。在本揭露中,短語「A、B及C之一者」意謂著「A、B及/或C」(A,B,C,A及B,A及C,B及C,或者A、B及C),並且並不意謂來自A之一個元件、來自B之一個元件及來自C之一個元件,除非另外描述。在整個揭示案中,源極及汲極可互換使用,及源極/汲極指源極及汲極中的一者或兩者。在以下實施例中,如關於一個實施例(例如,一或更多個圖式)描述之材料、配置、尺寸、製程及/或操作可用於另一實施例,並且其詳細說明可忽略。
所揭示的實施例係關於半導體元件,具體關於場效電晶體(field effect transistor;FET)之閘極結構及其製造方法。諸如本文揭示之彼等的實施例通常不僅適用於平面FET而且適用於鰭式FET(FinFET)、雙閘極FET、環繞閘極FET、omega-閘極FET或全環繞閘極(gate-all-around;GAA)FET及/或奈米線電晶體,或在閘極結構中具有一或更多個功函數調整金屬(work function adjustment metal;WFM)層的任意適合元件。
在FET結構中,構造具有低VT的多個閾電壓(threshold voltage;VT)元件對低功耗及促進元件效
能是所希望的。金屬閘極膜的組成及厚度在定義元件功函數,VT中起到決定作用。具有不同閾電壓之多個FET可藉由調整一或更多個功函數調整材料層(work function adjustment material;WFM)之材料及/或厚度來實現,此些功函數調整材料層設置在閘極介電層與金屬閘電極層(例如,W層)之間。金屬閘極結構通常藉由使用閘極置換技術來形成,其中閘極堆疊形成於狹窄、高深寬比溝槽(閘極間隙)中,從此溝槽中去除犧牲閘極結構。
在FET結構中,加厚閘極介電層或界面層可發生在半導體元件之後續處理期間。例如,在金屬閘極堆疊暴露於大氣中,諸如在金屬閘極化學機械研磨(chemical mechanical polishing;CMP)操作期間時,功函數金屬(work function metal;WFM)可被氧化且可發生不期望之閾電壓變化。此外,濕氣可能被驅入閘極介電層或界面層。另外,閘極堆疊中之任何氧氣可滲入閘極介電層及界面層中,導致此些層之更大氧化及導致閘極介電層或界面層之加厚。本揭露之實施例解決了氧化問題並幫助防止功函數金屬、閘極介電質及界面層之氧化。
第1A圖示意性圖示根據本揭露之一些實施例的示例性FinFET元件1的透視圖。FinFET元件1包括基板10、鰭20(例如,鰭式結構)、閘極介電層35、及閘電極42,以及其他特徵。在一些實施例中,基板10為矽基板。或者,如下文將描述,基板10可包括另一元素半導體,諸如鍺;化合物半導體,包括IV-IV族化合物半導體,
III-V族化合物半導體;或上述的組合。鰭20設置在基板10上。鰭20可由與基板10相同的材料製成並可從基板10突出。在一些實施例中,鰭式結構由Si製成。在一些實施例中,基板10為絕緣體上矽(silicon on insulator;SOI)基板。鰭20可為本質的及可適當地摻雜有N型雜質或P型雜質。在一些實施例中,源極區32及汲極區34為重摻雜的且可包含具有範圍在約5×1019cm-3至1×1020cm-3之濃度的雜質,而通道區22(例如,閘極區)為非摻雜或輕微摻雜的。
第1B圖示意性圖示根據本揭露之一些實施例的具有多個鰭狀物之示例性FinFET元件2的透視圖。FinFET元件2包括基板10、兩個或更多個(例如,三個)鰭20、閘極介電層35及第1A圖之FinFET元件1之閘電極42,以及其他特徵。鰭20可從隔離絕緣層30突出。在一些實施例中,如第1B圖圖示,單個介電層、閘極介電層35、及單個閘電極42覆蓋全部三個鰭20。鰭20的數目並不限於三個。
在一些實施例中,FinFET元件1之配置或FinFET元件2之配置用作N型電晶體。在一些實施例中,FinFET元件1之配置或FinFET元件2之配置用作P型電晶體。用於形成閘電極層之材料或N型電晶體及P型電晶體之閘電極層之對應部分的厚度可為不同的,以致分別獲得N型電晶體及P型電晶體之適當功函數水準。
鰭20之高度包括上部20A及下部20B。下部20B
可嵌入隔離絕緣層30中,及鰭20之上部20A可從隔離絕緣層30突出。上部20A之中間部(沿著上部20A在閘電極42下方之長度)為通道區22,及上部20A之兩個端部區為源極區32及汲極區34。在一些實例中,包括具有兩個或更多個通道之兩個或更多個鰭20的鰭式結構是在基板10上形成。關於第1B圖描述具有兩個或更多個通道之FinFET元件。鰭20之間的間隙及/或一個鰭式結構與形成於基板10上之另一元件之間的間隙是由隔離絕緣層30填充。在一些實施例中,隔離絕緣層30為由絕緣材料填充之「淺溝槽隔離(STI)」層。隔離絕緣層30之絕緣材料可包括氧化矽、氮化矽、氮氧化矽(SiON)、SiOCN、氟摻雜矽玻璃(fluorine-doped silicate glass;FSG)、或低介電常數介電材料或其他適當材料。
上部20A從隔離絕緣層30突出之通道區22是由閘極介電層35覆蓋,及閘極介電層35進一步由閘電極42覆蓋。上部20A未由閘電極42覆蓋之部分(例如,源極區32及汲極區34)用作金屬氧化物半導體場效電晶體(metal oxide semiconductor field effect transistor;MOSFET)之源極及汲極。
在本揭露之實施例中,半導體元件包括設置在鰭20之通道區上的閘極堆疊(見第3A圖至第6圖)。閘極堆疊包括界面層、閘極介電層、複數個功函數金屬層、及閘電極層。
在某些實施例中,閘極介電層35包括介電材料,
諸如氧化矽、氮化矽或高介電常數介電材料,其他適合介電材料,及/或上述的組合。高介電常數介電材料之實例包括HfO2、HfSiO、HfSiON、HfTaO、HfTiO、HfZrO、氧化鋯、氧化鋁、氧化鈦、二氧化鉿-氧化鋁(HfO2-Al2O3)合金、其他適合高介電常數介電材料,及/或上述的組合。
閘電極42的層由以下適當材料之一或更多層製成,諸如多晶矽、鋁、銅、鈦、鉭、鎢、鈷、鉬、氮化鉭、矽化鎳、矽化鈷、TiN、WN、TiAl、TiAlN、TaCN、TaC、TaSiN、金屬合金、或其他適合材料,及/或上述的組合。在一些實施例中,閘電極42形成於通道區22上,並延伸以覆蓋通道區22之側壁及覆蓋隔離絕緣層30之部分。一或更多個FinFET可基於第1A圖及第1B圖中圖示之半導體鰭狀物而製造。
在一些實施例中,一或更多個功函數金屬(work function metal,WFM)層(見第3A圖至第6圖)插入在閘極介電層與閘電極之間。在一些實施例中,WFM層由導電材料製成,諸如TiN、WN、TaAlC、TiC、TaAl、TaC、Co、Al、TiAl、或TiAlC之單層,或者兩種或更多種此些材料之多層。對於N型FET,含鋁層,諸如TiAl、TiAlC、TaAl及/或TaAlC,用作N型WFM層,以及對於P型FET,TaN、TiN、WN、TiC、WCN、MoN及/或Co之一或更多者用作P型WFM層。在一些實施例中,N型WFM層由具有範圍在約2.5eV至約4.4eV之低功函數及/或具有低電負性的材料組成。在一些實施例
中,P型WFM層由具有範圍在約4.3eV至5.8eV之高功函數及/或具有高電負性的材料組成。在一些實施例中,閘極堆疊包括P型WFM及N型WFM層兩者。
源極區32及汲極區34(亦形成於鰭20中)經適當摻雜具有源極區32及汲極區34中的雜質。在一些實例中,源極區及汲極區不為鰭20之部分,但使用適當材料在鰭20之兩端處磊晶生長。在一些實施例中,Si或Ge與金屬(諸如Co、Ni、W、Ti或Ta)之合金或任意其他適合材料形成於源極及汲極區上以產生源極及汲極觸點。
第2A圖至第2H圖圖示根據本揭露之一些實施例的半導體元件製造製程之不同階段。可以在由第2A圖至第2H圖圖示之製程之前、期間及之後提供附加操作,並且可以替換或除去如下所述之一些操作以用於此方法的另外實施例。操作/製程的順序可為互換的。第2A圖至第2H圖中圖示之操作可用於產生在本揭露之其他圖式中示出的元件。
首先,如第2A圖圖示,使用例如圖案化製程在基板10上形成鰭20。基板10可根據設計需要包括不同摻雜區(例如,P型基板或N型基板)。在一些實施例中,摻雜區經摻雜具有P型或N型摻雜劑。例如,摻雜區可經摻雜具有P型摻雜劑,諸如硼或BF2;N型摻雜劑,諸如磷或砷;及/或上述的組合。摻雜區可經配置用於N型FinFET,或經另外配置用於P型FinFET。
一些實施例中,基板10由適當元素半導體,諸如
矽、金剛石或者鍺;適當合金或化合物半導體,諸如IV族化合物半導體,諸如,矽鍺(SiGe)、碳化矽(SiC)、碳化矽鍺(SiGeC)、GeSn、SiSn及SiGeSn;III-V族化合物半導體,諸如砷化鎵(GaAs)、砷化鎵銦(InGaAs)、砷化銦、磷化銦(InP)、銻化銦(InSb)、鎵砷磷(GaAsP)、或者磷化銦鎵(GaInP)等等製成。此外,在一些實施例中,基板10包括磊晶層(epitaxial layer;epi-layer),其可經應變用於效能增強,及/或可包括絕緣體上矽(SOI)結構。
鰭20藉由任何適當方法圖案化。例如,鰭狀物可以使用包括雙圖案化或多圖案化製程的一或更多個光微影蝕刻製程來圖案化。通常,雙圖案化或多圖案化製程結合光微影蝕刻及自對準製程,從而允許產生的圖案具有例如小於使用單個、直接的光微影蝕刻製程另外獲得的間距的間距。例如,在一個實施例中,犧牲層形成於基板上方並且使用光微影蝕刻製程圖案化。間隔物使用自對準製程沿所圖案化的犧牲層形成。隨後去除犧牲層,以及剩餘間隔物或心軸隨後可用以圖案化鰭狀物。多圖案化製程組合光微影蝕刻及自對準製程通常導致形成一對鰭狀物。在第2A圖中,圖示三個鰭20。然而,鰭狀物之數目並不限於三個。在一些實施例中,一或更多個虛設鰭狀物形成於鄰近有源FinFET之鰭20。
在一些實施例中,整個鰭20是由晶體Si形成。在其他實施例中,鰭20之至少通道區包括SiGe,其中
Ge含量範圍在約20原子%至50原子%。當使用SiGe通道時,SiGe磊晶層形成於基板10上並執行圖案化操作。在一些實施例中,在基板10上形成一或更多個緩衝半導體層,此些緩衝半導體層具有比通道區更低之Ge濃度。
在形成鰭狀物之後,在鰭20及基板10上設置隔離絕緣層30(例如,淺溝槽隔離(STI))。在一些實施例中,在形成隔離絕緣層30之前,在基板10上及鰭20之底部之側壁上形成一或更多個襯墊層。在一些實施例中,襯墊層包括第一鰭式襯墊層及形成於第一鰭式襯墊層上的第二鰭式襯墊層,第一鰭式襯墊層形成於基板10及鰭20之底部之側壁上。在一些實施例中,每個襯墊層之厚度在約1nm與約20nm之間。在一些實施例中,第一鰭式襯墊層包括氧化矽,並具有範圍在約0.5nm與約5nm之間的厚度,及第二鰭式襯墊層包括氮化矽,並具有範圍在約0.5nm與約5nm之間的厚度。襯墊層可透過一或更多個製程,諸如物理氣相沉積(physical vapor deposition;PVD)、化學氣相沉積(chemical vapor deposition;CVD)、或原子層沉積(atomic layer deposition;ALD)而沉積,但可利用任何可接受製程。
在一些實施例中,隔離絕緣層30包括藉由LPCVD(低壓化學氣相沉積)、電漿CVD或可流動CVD形成的絕緣材料的一或更多層,絕緣材料例如二氧化矽、氮氧化矽及/或氮化矽。在可流動CVD中,沉積可流動介電材料,而不是氧化矽。可流動介電材料,如其名稱暗示,
可在沉積期間「流動」以填充具有大深寬比的縫隙或間隙。通常,將不同化學劑添加至含矽前驅物中以允許沉積膜流動。在一些實施例中,增加氫化氮鍵。可流動介電質前驅物(特別是可流動氧化矽前驅物)的實例包括矽酸鹽、矽氧烷、甲基倍半矽氧烷(MSQ)、氫倍半矽氧烷(HSQ)、MSQ/HSQ、全氫矽氮烷(TCPS)、過氫-聚矽氮烷(PSZ)、四乙基原矽酸酯(TEOS)、或甲矽烷基胺,諸如三甲矽烷基(TSA)。此些可流動氧化矽材料在多個操作製程中形成。在沉積可流動膜之後,對其進行固化及隨後退火以去除不期望的元素以形成氧化矽。當去除不期望元素時,可流動膜緻密化及收縮。在一些實施例中,進行多個退火製程。固化及退火可流動膜多於一次。可流動膜可摻雜有硼及/或磷。在一些實施例中,隔離絕緣層30可由SOG、SiO、SiON、SiOCN及/或氟摻雜矽玻璃(FSG)的一或更多層形成。
在鰭20上形成隔離絕緣層30之後,執行平坦化製程以去除隔離絕緣層30之部分。平坦化操作可包括化學機械研磨(CMP)及/或回蝕製程。隨後,使用例如蝕刻製程、化學機械研磨(CMP)等,去除在鰭20之頂表面上延伸之隔離絕緣層30之部分,及在鰭20之頂表面上的襯墊層的部分。此外,凹進隔離絕緣層30以暴露鰭20之上部。在一些實施例中,使用單個蝕刻製程,或多個蝕刻製程,來凹進隔離絕緣層30。在隔離絕緣層30由氧化矽製成之一些實施例中,蝕刻製程為乾式蝕刻、化學蝕刻、或濕法清
洗製程。在某些實施例中,可使用濕式蝕刻製程,例如藉由將基板浸泡在氫氟酸(hydrofluoric acid;HF)中,來執行部分去除隔離絕緣層30。在另一實施例中,使用乾式蝕刻製程執行部分地去除隔離絕緣層30。例如,可使用乾式蝕刻製程,其使用CHF3或BF3作為蝕刻氣體。
在形成隔離絕緣層30之後,可執行熱製程,例如退火製程以改善隔離絕緣層30的品質。在某些實施例中,藉由在約900℃至約1050℃的溫度下在惰性氣體環境(諸如N2、Ar或He環境)中,使用快速熱退火(rapid thermal annealing;RTA)持續約1.5秒至約10秒,來執行熱製程。
如第2A圖圖示,在一些實施例中,鰭20沿X方向延伸並沿Y方向佈置成具有相同間距P1。在一些實施例中,此階段處的鰭20的寬度W1在約5nm至約40nm之範圍中。在一些實施例中,鰭20之寬度W1在約7nm至約15nm之範圍中。在一些實施例中,自隔離絕緣層30之上表面量測之鰭20的高度H1在約50nm至約300nm之範圍中。在一些實施例中,鰭20之高度H1在約50nm至約100nm之範圍中。在一些實施例中,鰭20的間距P1在約10nm至約90nm之範圍中。在一些實施例中,鰭20之寬度在約14nm至約45nm之範圍中。在一些實施例中,鰭20之間的間隙範圍為約5nm至約80nm,及在其他實施例中可為約7nm至約15nm。
在形成鰭20及隔離絕緣層30之後,在暴露鰭20
上形成包括犧牲閘極介電層41及犧牲閘電極層45之犧牲閘極結構40,其隨後用作閘極區之通道層,如第2B圖及第2C圖中圖示。第2B圖為透視圖,及第2C圖為對應於第2B圖沿Y方向之線a-a的橫截面視圖。
犧牲閘極介電層41及犧牲閘電極層45將隨後用於定義及形成源極/汲極區。在一些實施例中,犧牲閘極介電層41及犧牲閘電極層45藉由以下步驟形成:首先沉積及圖案化形成於暴露鰭20上之犧牲閘極介電層,及接著犧牲閘極介電層上的虛設電極層。犧牲閘極介電層41可藉由熱氧化、CVD、濺射、或用於形成犧牲閘極介電層之領域中已知及使用的任意其他方法而形成。在一些實施例中,犧牲閘極介電層41是由一或更多種適當介電材料,諸如氧化矽、氮化矽、SiCN、SiON、及SiN;低介電常數介電質,諸如碳摻雜氧化物;極低介電常數介電質,諸如多孔碳摻雜二氧化矽;聚合物,諸如聚醯亞胺;類似項,或上述的組合而製成。在一些實施例中,使用SiO2。
隨後,在犧牲閘極介電層41上形成犧牲閘電極層45。在一些實施例中,犧牲閘電極層45為導電材料,並且可從包括以下各者的組中選出:非晶矽、多晶矽、非晶鍺、多晶鍺、非晶矽鍺、多晶矽鍺、金屬氮化物、金屬矽化物、金屬氧化物及金屬。犧牲閘電極層可藉由PVD、CVD、濺射沉積、或在用於沉積導電材料的領域中已知並使用的其他技術而沉積。可使用其他的材料,導電的及非導電的。在一個實施例中,使用多晶矽。
遮罩圖案可形成於犧牲閘電極層45上以幫助圖案化。遮罩圖案包括第一遮罩層及設置在第一遮罩層上之第二遮罩層。遮罩圖案包括一或更多層之SiO2、SiCN、SiON、氧化鋁、氮化矽、或其他適當材料。在一些實施例中,第一遮罩層包括氮化矽或SiON,及第二遮罩層包括氧化矽。藉由利用遮罩圖案作為蝕刻掩膜,將虛設電極層圖案化成犧牲閘電極層45。在一些實施例中,介電層亦經圖案化以定義犧牲閘極介電層。鰭20沿X方向延伸,及犧牲閘極結構40沿實質上垂直於X方向之Y方向延伸。在第2B圖及第2C圖中,圖示一個犧牲閘極結構。然而,犧牲閘極結構之數目並不限於一個。
另外,側壁間隔物47形成於犧牲閘極結構40之相對側面上,如第2B圖中圖示。側壁間隔物47包括一或更多介電層。在一個實施例中,側壁間隔物47由氧化矽、氮化矽、SiOCN、SiCN、氧化鋁、AlCO或AlCN,或任意其他適當介電材料中之一或更多者製成。側壁絕緣材料之毯層可藉由CVD、PVD、ALD、或其他適當技術而形成。接著,對側壁絕緣材料執行各向異性蝕刻以在閘極結構之兩個主側面上形成一對側壁絕緣層(側壁間隔物47)。在一些實施例中,側壁絕緣層(側壁間隔物47)的厚度範圍為約5nm至約30nm,及在其他實施例中為約10nm至約20nm。
隨後,在一些實施例中,在隔離絕緣層30之上表面下方,向下凹進鰭20之源極/汲極區。接著,在鰭20
之凹進源極/汲極區上形成源極/汲極磊晶層60,如第2D圖圖示。在一些實施例中,源極/汲極磊晶層60為如第2D圖中圖示之合併磊晶層。在其他實施例中,在凹進鰭20上分別地形成源極/汲極磊晶層60,而不與相鄰源極/汲極磊晶層合併。
用於源極/汲極磊晶層60之材料可根據N型及P型FinFET而變化,使得一種材料用於N型FinFET以在通道區中施加張應力(tensile stress),及另一種材料用於P型FinFET以施加壓應力(compressive stress)。例如,SiP或SiC可用於形成N型FinFET,及SiGe或Ge可用於形成P型FinFET。在一些實施例中,硼(B)摻雜在P型FinFET之源極/汲極磊晶層中。可使用其他材料。在一些實施例中,源極/汲極磊晶層60包括具有不同組成及/或不同摻雜濃度之兩個或更多個磊晶層。源極/汲極磊晶層60可藉由CVD、ALD、分子束磊晶法(molecular beam epitaxy;MBE)、或任何其他適當方法而形成。
在形成源極/汲極磊晶層60之後,形成層間介電(interlayer dielectric;ILD)層50。在一些實施例中,在形成ILD層之前,在源極/汲極磊晶層60及側壁間隔物47上形成蝕刻停止層(etch stop layer;ESL)。在一些實施例中,ESL由氮化矽或氮化矽基材料(例如,SiON、SiCN或SiOCN)製成。ILD層50的材料包括諸如氧化矽、SiCOH及SiOC之化合物,此化合物包括Si、O、C
及/或H。在一些實施例中,諸如聚合物的有機材料可用於ILD層50。
在形成ILD層50之後,執行平坦化操作,諸如回蝕製程及/或化學機械研磨(CMP)製程,以暴露犧牲閘電極層45之上表面,如第2E圖及第2F圖圖示。第2E圖為沿著X方向的橫截面視圖,及第2F圖為沿著Y方向的橫截面視圖。在第2F圖及第2H圖中,為簡單起見僅圖示兩個鰭20。
接著,如第2G圖及第2H圖圖示,去除犧牲閘電極層45,從而形成閘極間隙49。在一些實施例中,當犧牲閘電極層45為多晶矽及ILD層50為氧化矽時,諸如氫氧化四甲基銨(TMAH)溶液的濕蝕刻劑可用於選擇性地去除犧牲閘電極層。在一些實施例中,隨後使用適當蝕刻操作去除犧牲閘極介電層。在一些實施例中,如第2G圖圖示,選擇並修整閘極間隙49下方在鰭20之源極/汲極區之間的鰭20的部分。
隨後在閘極間隙49中形成閘極堆疊結構75,如第3A圖至第6圖圖示。第3A圖至第6圖為根據本揭露之實施例之閘極結構的詳細橫截面視圖。閘極堆疊結構75包括在鰭20之通道區70上形成之閘極介電層80。複數個氧吸收層85及第一功函數金屬層(第一WKM層)90形成於閘極間隙49中之閘極介電層80上。在一些實施例中,在閘極間隙中形成一或更多個第二功函數金屬層(第二WKM層)95。在功函數金屬層90、95及閘極氧吸收層上
形成閘電極層100。
在一些實施例中,閘極介電層80包括一或更多層介電材料,諸如氧化矽、氮化矽或高介電常數介電材料,其他適合介電材料,及/或上述的組合。高介電常數介電材料的實例包括HfO2、HfSiO、HfSiON、HfTaO、HfTiO、HfZrO、氧化鋯、氧化鋁、氧化鈦、二氧化鉿-氧化鋁(HfO2-Al2O3)合金、La2O3、HfO2-La2O3、Y2O3或其他適合高介電常數介電材料,及/或上述的組合。高介電常數介電材料為介電常數大於約3.9(亦即,大於二氧化矽)之材料。閘極介電層80可藉由CVD、ALD或任何適當方法而形成。在一個實施例中,使用諸如ALD的高保形沉積製程形成閘極介電層80,以確保圍繞每個通道層具有均等厚度的閘極介電層的形成。在一些實施例中,閘極介電層80的厚度範圍為約1nm至約100nm。
在一些實施例中,氧吸收層85是由選自由以下各者組成的群組中的一或更多者製成:Al、Y、Mg、Ti、Ta、及Si。氧吸收層85可藉由ALD、CVD、PVD、或任何適合方法而形成。氧吸收層之厚度範圍在一些實施例中為約0.1nm至約2nm,及在其他實施例中為約0.2nm至約1.0nm。
在一些實施例中,功函數金屬層90、95是由導電材料製成,諸如TaN、TiN、WN、TiC、WCN、MoN、Co、TaSiN、TiAl、TiAlC、TaAl、TiAlN、及TaAlC之單層,或兩種或更多種這些材料的多層。功函數金屬層
90、95可藉由ALD、CVD、PVD、或任何適當方法而形成。在一些實施例中,對於N通道FET,含鋁層,諸如TiAl、TiAlC、TaAl、TiAlN、及/或TaAlC,用作N型功函數金屬層(WFM層),以及對於P通道FET,TaN、TiN、WN、TiC、TaSiN、及/或Co之一或更多者用作P型WFM層。功函數金屬層之厚度範圍在一些實施例中為約0.2nm至約10nm,及在其他實施例中為約0.5nm至約5nm。
如第3A圖及第3B圖圖示,在一些實施例中,氧吸收層85位於功函數金屬層90、95之間。在一些實施例中,氧吸收層85設置在每個相鄰對功函數金屬層90、95之間,及在其他實施例中,氧吸收層85並不形成於每對相鄰功函數金屬層90、95之間。在一些實施例中,氧吸收層85形成於閘極介電層80與功函數金屬層90、95之間。在一些實施例中,氧吸收層85直接形成於閘極介電層80上。在一些實施例中,氧吸收層85形成於側壁間隔物層47與功函數金屬層90、95之間。
在一些實施例中,閘極堆疊結構包括兩種功函數金屬(WFM)層,用於形成P型導電類型結構之第一類WFM90層及用於形成N型導電結構之第二類型WFM層95。
半導體元件可包括P型結構(亦即,pFET)或N型結構(亦即,nFET)。在一些實施例中,半導體元件在同一基板上包括pFET及nFET結構兩者。在一些實施例中,pFET結構包括設置在閘極介電層上之一或更多第一
類型功函數金屬(P型WFM)及設置在P型WFM層上之一或更多第二類型功函數金屬(N型WFM)層。在一些實施例中,nFET結構包括設置在閘極介電層上之一或更多N型WFM層,及設置在N型WFM層上之一或更多P型WFM層。可選擇WFM層之數目以調諧閾電壓Vt。例如,極低電壓閾值(ultra low voltage threshold;uLVT)元件可僅具有一個P型WFM層,而低電壓閾值(low voltage threshold;LVT)元件具有兩個P型WFM層,及標準電壓閾值(standard voltage threshold;SVT)元件可具有三個P型WFM層或更厚P型WFM層。
金屬閘電極層100形成於WFM層上並填充閘極間隙49之剩餘開放容積。在一些實施例中,金屬閘電極層包括一或更多導電材料層,諸如多晶矽、鋁、銅、鈦、鉭、鎢、鈷、鉬、氮化鉭、矽化鎳、矽化鈷、TiN、WN、TiAl、TiAlN、TaCN、TaC、TaSiN、金屬合金、其他適合材料,及/或上述的組合。
導電觸點110形成於閘極堆疊結構75上,如第3A圖及第3B圖中圖示。在一些實施例中,導電觸點110為互連或電極。導電觸點可將元件連接至其他元件或元件之覆蓋層中之電線。在一些實施例中,導電觸點110由金屬,包括鋁、銅、鎢、鎳、及上述合金製成。在一些實施例中,導電觸點110藉由PVD、CVD、或電鍍而形成。
在形成每層之後,及在包括水溶劑及環境壓力(諸如濕式蝕刻及化學機械研磨)之製程期間,可將氧氣及濕
氣引入閘極電極堆疊75。氧含量在稍後形成層中更高,如氧[O]輪廓中圖示。當閘極結構暴露於環境空氣時,氧擴散進閘極堆疊結構中。另外,在製程期間,諸如濕式蝕刻及化學機械研磨(CMP),及在上升溫度處,將氧驅進閘極堆疊結構75中。氧含量在每個氧吸收層85與WFM層90、95之間的界面處上升,因為相比於WFM層90、95,氧吸收層85優先與氧反應。在一些實施例中,[O]輪廓示出閘極介電層80處的上升,因為閘極介電層為氧化物。
在一些實施例中,P型WFM層90為金屬氮化物層、M1N,諸如TiN層。穿透閘極堆疊結構75之氧氣與金屬氮化物反應以在P型金屬氮化物層90之表面上形成氧化物層M1NO,諸如TiNO。如第3A圖圖示,在P型WFM層90接近側壁間隔物47的層之表面上降低氧含量。氧化物層M1NOm之氧含量大於氧化物層M1NOn之氧含量(m>n),這是由於插入氧吸收層85,其比WFM層90更易氧化,與驅進或擴散進閘極堆疊結構75中的氧氣反應。
在一些實施例中,N型WFM層95為鋁化合物或合金層M2,諸如TiAl或TaAl層。穿透閘極堆疊結構之氧氣與M2層反應以在N型金屬WFM層95之表面上形成氧化物層M2O,諸如TiAlO。如第3A圖圖示,在N型WFM層95靠近側壁間隔物47的層之表面上降低氧含量。進一步遠離閘電極層100之氧化物層M2On之氧含量低於氧化物層M2Om之氧含量,這是由於插入氧吸收層85,
氧吸收層85與驅進或擴散進閘極堆疊結構75中之氧氣反應。
第3B圖之結構類似於第3A圖之結構,只不過第3B圖結構包括在形成閘極介電層80之前形成於通道區70上的界面層105。在一些實施例中,藉由使用化學氧化來形成界面層105。在一些實施例中,界面層105包括氧化矽、氮化矽及混合氧化矽鍺中的一者。在一些實施例中,界面層105的厚度範圍為約0.2nm至約6nm。
如第4圖圖示,在一些實施例中,N型WFM層95設置在P型WFM層90之間。在一些實施例中,在閘極介電層80上形成複數個交替的氧吸收層85及P型WFM層。N型WFM層95形成於P型WFM層90上,接著另一P型WFM層90形成於N型WFM層95上,另一氧吸收層85形成於另一P型WFM層90上,及金屬閘電極層100隨後形成於另一P型WFM層90上。在一些實施例中,在P型WFM層90與N型WFM層95之間沒有形成氧吸收層85。在一些實施例中,N型WFM層及P型WFM層如第4圖圖示地翻轉,以及閘極堆疊結構75包括複數個N型WFM層,及設置在兩個相鄰N型WFM層之間的P型WFM層。
如第4圖圖示,氧含量在稍後形成層中更高,如氧[O]輪廓中圖示。氧含量在每個氧吸收層85與WFM層90之間的界面處上升,因為相比於WFM層90,氧吸收層85優先與氧反應。
在一些實施例中,在半導體元件之通道區70上形成複數個交替第一金屬氮化物層90及第二金屬氮化物層85。第一金屬氮化物層及第二金屬氮化物層是由相同第一金屬形成。第二金屬氮化物層85具有比第一金屬氮化物層90更大的第一金屬濃度。因而,相比於第一金屬氮化物層90,第二金屬氮化物層85為第一金屬富集層。在一些實施例中,第一金屬為鈦。例如,在金屬氮化物為氮化鈦之一些實施例中,第二氮化鈦層85含有比第一氮化鈦層90多約3at.%至約30at.%的鈦。
在一些實施例中,第一金屬氮化物層及第二金屬氮化物層藉由材料沉積操作而形成,包括化學氣相沉積(CVD)及原子層沉積(ALD),及第二金屬氮化物層藉由改變用於形成第一金屬氮化物層之一或更多沉積參數而形成。在一些實施例中,沉積參數為選自由以下各者組成的群組中的一或更多者:金屬氮化物反應物之濃度、壓力、金屬氮化物反應物之進料速度、及供應金屬氮化物反應物之持續時間。例如,在一些實施例中,第一金屬氮化物層及第二金屬氮化物層為TiN,並且TiN藉由以下反應之ALD製程而形成:TiCl4+NH3 → TiN(固體)+HCl(氣體).TiN中Ti原子濃度藉由調整以下各項而變化:TiCl4及NH3反應物之比率,反應氣體之壓力,TiCl4及NH3反應物之流率,及將TiCl4及NH3反應物供應至沉積腔室的持續時間。TiN及富含Ti之TiN的多個交替層可藉由以下
步驟而產生:藉由ALD以第一組沉積參數供應TiCl4及NH3反應物以形成第一金屬氮化物層,改變沉積參數中之一或更多者以形成若干富含Ti之TiN層(第二金屬氮化物層),以及將沉積參數恢復回至第一組沉積參數以形成第二第一金屬氮化物層。重複這些操作以形成期望數目之第一金屬氮化物及第二金屬氮化物層,以形成期望元件(亦即,uLVT、LVT、SVT等等)。
在一些實施例中,第二金屬氮化物層85用作氧吸收層,及第一金屬氮化物層為WFM層。在一些實施例中,第一金屬氮化物層比第二金屬氮化物層更厚。在一些實施例中,在形成閘極介電層之前在通道區70上形成界面層105(見第3B圖)。
在另一實施例中,在閘極介電層80上形成複數個交替氧吸收層85及第一類型WFM層90,如第5圖圖示。單個第二類型WFM層95形成於複數個交替的氧吸收層85及第一金屬氮化物層90上,及金屬閘電極層100隨後形成於第二類型WFM層上。在一些實施例中,第一類型WFM層為P型WFM層,及第二類型WFM層為N型WFM層。在一些實施例中,氧吸收層85為元素層,此元素層由選自由Ti、Ta、Si組成的群組中的一者組成;及第一金屬氮化物層90為金屬氮化物層,此金屬氮化物層選自由TiN、TaN、WN、MoN、及TaSiN組成之群組。在一些實施例中,氧吸收層85由與金屬氮化物層90中之金屬相同的金屬製成。
如第5圖圖示,氧含量在稍後形成層中更高,如氧[O]輪廓中圖示。氧含量在每個氧吸收層85與WFM層90之間的界面處上升,因為相比於WFM層90,氧吸收層85優先與氧反應。
在一些實施例中,第一類型WFM層90比氧吸收層85更厚,及第二類型WFM層95比個別第一類型WFM層90中之任一者厚。在一些實施例中,在形成閘極介電層之前在通道區70上形成界面層105(見第3B圖)。
在另一實施例中,在閘極介電層80上形成複數個第一類型WFM層90,如第6圖圖示。氧吸收層85形成於複數個第一類型WFM層90上,及第二類型WFM層95隨後形成於氧吸收層85上,覆蓋複數個第一類型WFM層90。在一些實施例中,氧吸收層85形成於相鄰第二類型WFM層95之間。金屬閘電極層100隨後形成於第二類型WFM層上並填充閘極間隙49之剩餘容積。在一些實施例中,第一類型WFM層為P型WFM層,諸如TiN或TaN;及第二類型WFM層為N型WFM層,諸如TiAl或TaAl。在一些實施例中,氧吸收層85為元素鋁層。
在一些實施例中,N型WFM層95為鋁化合物或合金層M2,諸如TiAl或TaAl層。穿透閘極堆疊結構之氧氣與M2層反應以在N型金屬WFM層95之表面上形成氧化物層M2O,諸如TiAlO。如第6圖圖示,在N型WFM層95靠近側壁間隔物47的層之表面上降低氧含量。進一步遠離閘電極層100之氧化物層M2On之氧含量低於
氧化物層M2Om之氧含量,這是由於插入氧吸收層85,氧吸收層85與驅進或擴散進閘極堆疊結構75中之氧氣反應。
如第6圖圖示,氧含量在稍後形成層中更高,如氧[O]輪廓中圖示。氧含量在每個氧吸收層85與WFM層95之間的界面處上升,因為相比於WFM層95,氧吸收層85優先與氧反應時。
在一些實施例中,第一類型WFM層90及第二類型WFM層95比氧吸收層85更厚。在一些實施例中,在形成閘極介電層之前在通道區70上形成界面層105(見第3B圖)。
第7A圖、第7B圖、第7C圖、第7D圖、第7E圖及第7F圖圖示根據本揭露的實施例的半導體元件的連續製造製程的不同階段的橫截面視圖。應理解,在連續製造製程中,可以在由第7A圖至第7F圖圖示的步驟之前、期間及之後提供一或多個附加操作,並且可以替換或除去如下所述的一些操作以用於此方法的另外實施例。操作/製程的順序可為互換的。
在一些實施例中,在半導體基板上形成複數個不同類型之電晶體。不同類型之電晶體可藉由一系列光微影蝕刻、沉積及蝕刻操作而同時形成。電晶體製造方法可包括減法操作,其中執行不同層之一系列沉積操作,之後從各種電晶體選擇性去除層;相加操作,其中在不同電晶體區域上選擇性形成不同層;或減法及相加操作之組合。
例如,如第7A圖至第7F圖中示意性示出,三個不同P型FET(P1、P2、及P3)及三個不同N型FET形成於通用半導體基板上,諸如半導體晶圓(未示出)。FET在鰭式結構之通道區70上形成。如第7A圖圖示,在第一至第三P型FET及N型FET之每個通道區70上形成界面層105。閘極介電層(例如,高介電常數介電層)80在界面層105上形成。第一導電層(作為第一阻障層83)在閘極介電層80上形成。
接著,當氧吸收層85在N型FET及P型FET上形成,並且藉由使用一或更多個光微影蝕刻及蝕刻操作時,從N型FET去除氧吸收層。隨後,在FET上形成第一P型WFM層90及第二氧吸收層。如第7B圖圖示,藉由使用一或更多個光微影蝕刻及蝕刻操作,從除了P1 FET之所有FET中去除第一P型WFM層及第二氧吸收層。
重複P型WFM層90及氧吸收層85沉積及選擇性去除,以在不同FET上形成期望數目之P型WFM層及氧吸收層。例如,如第7C圖圖示,在不同FET上形成第三氧吸收層、第二P型WFM層90、第四氧吸收層85、及第三P型WFM層。在一些實施例中,使用一或更多個光微影蝕刻操作,從N1及N2去除氧吸收層中之一者及第二及第三P型WFM層兩者,以及從N1去除第三及第四氧吸收層兩者及P型WFM吸收層兩者。
如第7D圖圖示,N型WFM層95形成在P1及N1之上。隨後,在FET上形成第一N型WFM層95及
第五氧吸收層。隨後,在一些實施例中,藉由使用一或更多個光微影蝕刻操作,從P2、P3、N2、及N3去除第一N型WFM層95及第五氧吸收層。
隨後,在FET上形成第二N型WFM層95及第六氧吸收層,如第7E圖圖示。隨後,在一些實施例中,藉由使用一或更多個光微影蝕刻操作,從P3及N3去除第二N型WFM層95及第六氧吸收層。閘電極層100隨後在每個FET上形成(如第7F圖圖示),以在相同基板上提供具有更低及更高閾電壓VT之不同P型及N型FET。
第8圖圖示根據本揭露之實施例的製造半導體元件的方法200的製程流程。在操作S210中,在半導體元件之通道區70上形成複數個功函數金屬層90、95及氧吸收層85。形成複數個功函數金屬層之操作S210包括:在閘極介電層上形成第一功函數金屬層之操作S220,在第一功函數金屬層90上形成氧吸收層85之操作S222,及在操作S220中在氧吸收層上形成第二功函數金屬層的操作S224。在操作S230中,金屬閘電極層100在複數個功函數金屬層上形成。功函數金屬層90、95,氧吸收層85,及金屬閘電極層100是由不同材料製成。在一些實施例中,在操作S210中形成複數個功函數層包括形成複數個第一類型功函數金屬層90,以及在操作S222中形成氧吸收層包括在每個第一類型功函數金屬層90之間形成氧吸收層85。在一些實施例中,在操作S210中形成複數個功函數層包括形成複數個第二類型功函數金屬層95,以及形成氧
吸收層之操作S222包括在每個第二類型功函數金屬層95之間形成氧吸收層85。
在一些實施例中,方法200包括以下步驟:在通道區70上形成閘極介電層80之操作S240,及在半導體元件之通道區70上形成複數個功函數金屬層90、95之操作S210之前在閘極介電層80上形成另一氧吸收層85之操作S250。
在一些實施例中,方法200包括在形成閘極介電層80之操作S240之前在通道區70上形成界面層105之操作S260。
在一些實施例中,方法200包括在形成金屬閘電極層100之操作S230之前,在複數個功函數金屬層90、95上形成另一氧吸收層85之操作S270。
第9圖圖示根據本揭露之實施例的製造半導體元件的方法300的製程流程。在操作S310中,在半導體元件之通道區上形成複數個第一金屬氮化物層90及第二金屬氮化物層85,其中第一金屬氮化物層90及第二金屬氮化物層85包括第一金屬。形成複數個第一金屬層90及第二金屬氮化物層85之操作S310包括:在通道區70上形成第一第一金屬氮化物層90之操作S320,在第一第一金屬氮化物層上形成第二金屬氮化物層85之操作S322,及在第二金屬氮化物層85上形成第二第一金屬氮化物層90之操作S324。在操作S330中,金屬閘電極層100在複數個第一金屬氮化物層90上形成。第二金屬氮化物層85
比第一金屬氮化物層90具有更大之第一金屬濃度,及第一金屬氮化物層90及第二金屬氮化物層85及金屬閘電極層100由不同材料形成。
在一些實施例中,第一金屬氮化物層層90及第二金屬氮化物層85藉由一材料沉積操作而形成,及第二金屬氮化物層85藉由改變用於形成第一金屬氮化物層90之一或更多個沉積參數而形成。在一實施例中,沉積參數為選自由以下各者組成的群組中的一或更多者:金屬氮化物反應物之濃度、壓力、金屬氮化物反應物之進料速度、及供應金屬氮化物反應物之持續時間。
在一些實施例中,方法300包括在第一金屬氮化物層90及第二金屬氮化物層85上形成包括第二金屬之金屬層95的操作S340,其中第二金屬不同於第一金屬。
在一些實施例中,方法300包括在形成金屬閘電極層100之操作S330之前,在包括第二金屬之金屬層95上形成附加第一金屬氮化物層90及第二金屬氮化物層85的操作S350。
第10圖圖示根據本揭露之實施例的製造半導體元件的方法400的製程流程。在操作S405中,在半導體元件之通道區70上形成犧牲閘極結構40。在操作S410中,側壁間隔物47的層在犧牲閘極結構40之側壁上形成。在操作S415中,隨後去除犧牲閘極結構40以形成閘極間隙49。在操作S420中,在閘極間隙49中形成高介電常數閘極介電層80。在操作S425中,在閘極間隙49中在高
介電常數閘極介電層80上形成第一功函數金屬層90。在操作S430中,第一氧吸收層85在第一功函數金屬層90上形成。接著,在操作S435中,第二功函數金屬層95在第一氧吸收層85上形成。接著,在操作S440中,金屬閘電極層100在第二功函數金屬層95上形成。第一功函數金屬層、第二功函數金屬層、氧吸收層、及金屬的閘電極層是由不同材料形成。
在一些實施例中,方法400包括在相鄰第一功函數金屬層90之間形成第二氧吸收層85的操作S445。
在一些實施例中,方法400包括在相鄰第二功函數金屬層95之間形成第三氧吸收層85的操作S450。
半導體元件之閘極堆疊結構之氧化藉由本揭露之實施例而阻止。本揭露之實施例阻止閘極介電質及界面層在後續半導體處理期間的生長及加厚,並由此阻止元件效能退化。本揭示實施例亦防止功函數金屬層在閘極堆疊結構中的氧化。因此,本揭示實施例防止閘極堆疊結構電阻之增大並保持出色的元件效能特性,諸如平帶電壓。根據本揭露之實施例的氧吸收層俘獲氧氣並防止所俘獲氧氣進一步氧化閘極介電質及界面層。
應當理解,並非所有優點都必須在本文中論述,所有實施例或實例都不需要特別的優點,並且其他實施例或實例可以提供不同的優點。
本揭露之實施例為一種製造半導體元件之方法,包括在半導體元件之通道區上形成複數個功函數金屬層及氧
吸收層之步驟,包括以下步驟:在通道區上形成第一功函數金屬層,在第一功函數金屬層上形成氧吸收層,在氧吸收層上形成第二功函數金屬層。閘電極金屬層形成於複數個功函數金屬層上。功函數金屬層、氧吸收層、及閘電極金屬層是由不同材料製成。在一實施例中,氧吸收層是由選自由Al、Y、Mg、Ti、Ta、及Si組成的群組中的一或更多者製成。在一實施例中,複數個功函數金屬層包括第一類型功函數金屬層及第二類型功函數金屬層,並且第一類型功函數金屬層及第二類型功函數金屬層是由不同材料製成。在一實施例中,第一類型功函數金屬層為P型功函數金屬層,及第二類型功函數金屬層為N型功函數金屬層。在一實施例中,第一類型功函數金屬層是由選自由TaN、TiN、TaSiN、WN、TiC、WCN、MoN、及Co組成的群組中的一或更多者製成。在一實施例中,第二類型功函數金屬層是由選自由TiAl、TiAlC、TiAlN、TaAl、及TaAlC組成的群組中的一或更多者製成。在一實施例中,形成複數個功函數層之步驟包括形成複數個第一類型功函數金屬層,及形成氧吸收層之步驟包括在每個第一類型功函數金屬層之間形成氧吸收層。在一實施例中,形成複數個功函數層之步驟包括形成複數個第二類型功函數金屬層,及形成氧吸收層之步驟包括在每個第二類型功函數金屬層之間形成氧吸收層。在一實施例中,方法包括以下步驟:在通道區上形成閘極介電層,及在半導體元件之通道區上形成複數個功函數金屬層之前在閘極介電層上形成另一氧
吸收層。在一實施例中,方法包括以下步驟:在形成閘極介電層之前在通道區上形成界面層。在一實施例中,方法包括以下步驟:在形成閘電極金屬層之前,在複數個功函數金屬層上形成另一氧吸收層。
本揭露之另一實施例為一種製造半導體元件之方法,包括以下步驟:在半導體元件之通道區上形成複數個第一金屬氮化物層及第二金屬氮化物層,其中第一金屬氮化物層及第二金屬氮化物層包括第一金屬;在通道區上形成第一第一金屬氮化物層,在第一第一金屬氮化物層上形成第二金屬氮化物層,及在第二金屬氮化物層上形成第二第一金屬氮化物層。閘電極金屬層在複數個第一金屬氮化物層上形成。第二金屬氮化物層比第一金屬氮化物層具有更大之第一金屬濃度,及第一金屬氮化物層及第二金屬氮化物層及閘電極金屬層由不同材料形成。在一實施例中,第一金屬氮化物層比第二金屬氮化物層更厚。在一實施例中,第一金屬氮化物層層及第二金屬氮化物層藉由一材料沉積操作而形成,及第二金屬氮化物層藉由改變用於形成第一金屬氮化物層之一或更多個沉積參數而形成。在一實施例中,沉積參數為選自由以下各者組成之群組中的一或更多者:金屬氮化物反應物之濃度、壓力、金屬氮化物反應物之進料速度、及供應金屬氮化物反應物之持續時間。在一實施例中,方法包括在第一及第二金屬氮化物層上形成包括第二金屬之金屬層,其中第二金屬不同於第一金屬。在一實施例中,方法包括以下步驟:在形成閘極金屬電極
層之前,在包括第二金屬之金屬層上形成附加第一及第二金屬氮化物層。
本揭露之另一實施例為一種半導體元件,包括設置在半導體基板之通道區上的閘極堆疊結構。閘極堆疊結構包括複數個功函數金屬層,設置在一對功函數金屬層之間的氧吸收層,及設置在複數個功函數金屬層上的閘電極金屬層。功函數金屬層、氧吸收層、及閘電極金屬層為不同材料。在一實施例中,閘極堆疊結構在從半導體基板突出之鰭式結構上形成。在一實施例中,閘極堆疊結構進一步包括閘極介電層,閘極介電層設置在功函數金屬層與半導體基板之間。在一實施例中,氧吸收層包括選自由Al、Y、Mg、Ti、Ta、及Si組成的群組中的一或更多者。在一實施例中,複數個功函數金屬層包括第一功函數金屬層及第二功函數金屬層,並且第一功函數金屬層及第二功函數金屬層是由不同材料製成。在一實施例中,第一類型功函數金屬層為P型功函數金屬層,及第二類型功函數金屬層為N型功函數金屬層。在一實施例中,第一功函數金屬層是由選自由TaN、TiN、WN、TiC、WCN、TaSiN、MoN、及Co組成的群組中之一或更多者製成。在一實施例中,第二功函數金屬層是由選自由TiAl、TiAlC、TiAlN、TaAl、及TaAlC組成的群組中的一或更多者製成。在一實施例中,半導體元件包括複數個第一功函數金屬層,及設置在每個第一功函數金屬層之間的氧吸收層。在一實施例中,半導體元件包括複數個第二功函數金屬層,及設置在每個第二
功函數金屬層之間的氧吸收層。在一實施例中,半導體元件包括設置在閘極介電層與複數個功函數金屬層之間的氧吸收層。在一實施例中,半導體元件包括設置在通道區與閘極介電層之間的界面層。在一實施例中,半導體元件包括設置在複數個功函數金屬層與閘電極金屬層之間的氧吸收層。在一實施例中,每個功函數金屬層之厚度大於相鄰氧吸收層之厚度。在一實施例中,半導體元件包括設置在閘極堆疊結構之側壁上的側壁間隔物,及設置在側壁間隔物與複數個功函數金屬層之間的附加氧吸收層。在一實施例中,閘極結構包括設置在複數個功函數金屬層與通道區之間的閘極介電層,及閘極介電層在側壁間隔物與附加氧吸收層之間延伸。
本揭露之另一實施例為一種半導體元件,包括設置在半導體元件之通道區上的複數個第一金屬氮化物層。第二金屬氮化物層設置在一對第一金屬氮化物層之間,及閘電極金屬層設置在複數個第一金屬氮化物層上。第一金屬氮化物層及第二金屬氮化物層包括第一金屬,其中第二金屬氮化物層具有比第一金屬氮化物層更大之第一金屬濃度。第一及第二金屬氮化物層、及閘電極金屬層為不同材料。在一實施例中,第一金屬氮化物層比第二金屬氮化物層更厚。在一實施例中,半導體元件包括設置在第一金屬氮化物層與第二金屬氮化物層上由第二金屬形成之金屬層,其中第二金屬不同於第一金屬。在一實施例中,第一金屬氮化物層為P型功函數金屬層,及由第二金屬形成之金屬層
為N型功函數金屬層。在一實施例中,第二金屬是選自由TiAl、TiAlC、TiAlN、TaAl、及TaAlC組成的群組。在一實施例中,第一金屬氮化物層是由選自由TaN、TiN、WN、TiC、WCN、TaSiN、MoN、及Co組成的群組中之一或更多者製成。在一實施例中,半導體元件包括設置在由第二金屬形成之金屬層與閘極金屬電極層之間的附加第一金屬氮化物層及第二金屬氮化物層。
本揭露之另一實施例為一種半導體元件,包括設置在半導體基板之通道區上的閘極堆疊結構。閘極堆疊結構包括第一功函數金屬層,及設置在第一功函數金屬層上之第二功函數層。第一氧吸收層設置在第一功函數金屬層與第二功函數金屬層之間。閘電極金屬層設置在第二功函數金屬層上。第一功函數金屬層及第二功函數金屬層、氧吸收層、及閘電極金屬層是由不同材料製成。在一實施例中,氧吸收層為由單個金屬元素形成之單個金屬元素層。在一實施例中,單個金屬元素是選自由Al、Y、Mg、Ti、Ta、及Si組成之群組。在一實施例中,第一功函數金屬層包括複數個第一功函數金屬層,並且單個元素層並不位元於相鄰第一功函數金屬層之間。在一實施例中,第二功函數金屬層包括複數個間隔開之第二功函數金屬層,及另一氧吸收層設置在每個間隔開之第二功函數金屬層之間。在一實施例中,閘極堆疊結構在從半導體基板突出之鰭式結構上形成。在一實施例中,閘極堆疊結構包括閘極介電層,閘極介電層設置在第一及第二功函數金屬層與半導體基板之
間。
本揭露之另一實施例為一種半導體元件,包括設置在半導體基板之通道區上的閘極堆疊結構。閘極堆疊結構包括複數個第一功函數金屬層,及設置在複數個第一功函數金屬層上之第二功函數層。第一氧吸收層設置在相鄰第一功函數金屬層之間,及閘電極金屬層設置在第二功函數金屬層上。第一功函數金屬層及第二功函數金屬層、氧吸收層、及閘電極金屬層是由不同材料製成。在一實施例中,第一氧吸收層為由單個金屬元素形成之單個金屬元素層。在一實施例中,單個金屬元素是選自由Al、Y、Mg、Ti、Ta、及Si組成之群組。在一實施例中,單個元素層並不位元於第二功函數金屬層與緊鄰第一功函數金屬層之間。在一實施例中,第二功函數金屬層包括複數個第二功函數金屬層。在一實施例中,閘極堆疊結構在從半導體基板突出之鰭式結構上形成。在一實施例中,閘極堆疊結構包括閘極介電層,閘極介電層設置在複數個第一功函數金屬層與半導體基板之間。在一實施例中,第一類型功函數金屬層為P型功函數金屬層,及第二類型功函數金屬層為N型功函數金屬層。在一實施例中,第一功函數金屬層是由選自由TaN、TiN、TaSiN、WN、TiC、WCN、MoN、及Co組成的群組中之一或更多者製成。在一實施例中,第二功函數金屬層是由選自由TiAl、TiAlC、TiAlN、TaAl、及TaAlC組成的群組中的一或更多者製成。
本揭露之另一實施例為製造半導體元件之方法,包
括以下步驟:在半導體元件之通道區上形成犧牲閘極結構,及在犧牲閘極結構之側壁上形成側壁間隔物層。去除犧牲閘極結構以形成閘極間隙,及在閘極間隙中形成高介電常數閘極介電層。第一功函數金屬層形成於閘極間隙中之高介電常數閘極介電層上,及第一氧吸收層形成於第一功函數金屬層上。第二功函數金屬形成於第一氧吸收層上,及閘電極金屬層形成於第二功函數金屬層上。第一功函數金屬層、第二功函數金屬層、氧吸收層、及閘電極金屬層是由不同材料形成。在一實施例中,第一功函數金屬層及第二功函數金屬層之厚度大於第一氧吸收層之厚度。在一實施例中,第一功函數金屬層包括複數個第一功函數金屬層。在一實施例中,方法包括在相鄰第一功函數金屬層之間形成第二氧吸收層。在一實施例中,第一氧吸收層及第二氧吸收層是由相同材料製成。在一實施例中,第二功函數金屬層之厚度大於第二氧吸收層之厚度。在一實施例中,第二功函數金屬層包括複數個第二功函數金屬層。在一實施例中,方法包括在相鄰第二功函數金屬層之間形成第三氧吸收層。在一實施例中,第一氧吸收層及第三氧吸收層是由相同材料製成。在一實施例中,第二功函數金屬層之厚度大於第三氧吸收層之厚度。在一實施例中,第一功函數金屬層是由選自由TaN、TiN、TaSiN、WN、TiC、WCN、MoN、及Co組成的群組中之一或更多者製成。在一實施例中,第二功函數金屬層是由選自由TiAl、TiAlC、TiAlN、TaAl、及TaAlC組成的群組中的一或更多者製
成。在一實施例中,第一氧吸收層及第二氧吸收層是由選自由Al、Y、Mg、Ti、Ta、及Si組成的群組中的一或更多者製成。在一實施例中,第一氧吸收層及第三氧吸收層是由選自由Al、Y、Mg、Ti、Ta、及Si組成的群組中的一或更多者製成。
上文概述若干實施例之特徵或實例,使得熟習此項技術者可更好地理解本揭露之態樣。熟習此項技術者應瞭解,可輕易使用本揭露作為設計或修改其他製程及結構的基礎,以便實施本文所介紹之實施例或實例的相同目的及/或實現相同優勢。熟習此項技術者亦應認識到,此類等效結構並未脫離本揭露的精神及範疇,且可在不脫離本揭露的精神及範疇的情況下產生本文的各種變化、替代及更改。
200:方法
S210:操作
S220:操作
S222:操作
S224:操作
S230:操作
S240:操作
S250:操作
S260:操作
S270:操作
Claims (10)
- 一種製造一半導體元件之方法,包括以下步驟:在該半導體元件之一通道區上形成複數個功函數金屬層及一氧吸收層,包括以下步驟:在該通道區上形成一第一功函數金屬層;在該第一功函數金屬層上形成一氧吸收層;以及在該氧吸收層上形成一第二功函數金屬層;在該些功函數金屬層上形成一閘電極金屬層,其中該功函數金屬層、氧吸收層、及閘電極金屬層是由不同材料製成;在該通道區上形成一閘極介電層;以及在該半導體元件之該通道區上形成該些功函數金屬層之前,在該閘極介電層上形成另一氧吸收層。
- 如請求項1所述之方法,其中該些功函數金屬層包括一第一類型功函數金屬層及一第二類型功函數金屬層,並且該第一類型功函數金屬層及該第二類型功函數金屬層是由不同材料製成。
- 如請求項2所述之方法,其中:形成複數個功函數金屬層之步驟包括形成複數個該第一類型功函數金屬層;以及其中形成一氧吸收層之步驟包括在該些第一類型功函數 金屬層之每一者之間形成一氧吸收層。
- 如請求項3所述之方法,其中:形成複數個功函數金屬層之步驟包括形成複數個該第二類型功函數金屬層;以及其中形成一氧吸收層之步驟包括在該些第二類型功函數金屬層之每一者之間形成一氧吸收層。
- 一種製造一半導體元件之方法,包括以下步驟:在該半導體元件之一通道區上形成複數個第一金屬氮化物層及一第二金屬氮化物層,其中該些第一金屬氮化物層及該第二金屬氮化物層包括一第一金屬,包括:在該通道區上形成一第一第一金屬氮化物層;在該第一第一金屬氮化物層上形成該第二金屬氮化物層;以及在該第二金屬氮化物層上形成一第二第一金屬氮化物層;以及在該些第一金屬氮化物層上形成一閘電極金屬層,其中該第二金屬氮化物層具有比該第一金屬氮化物層更大的該第一金屬濃度,及該些第一金屬氮化物層及第二金屬氮化物層、及該閘電極金屬層是由不同材料形成。
- 如請求項5所述之方法,其中該些第一金屬氮化物層比該些第二金屬氮化物層更厚。
- 一種半導體元件,包括:一閘極堆疊結構,設置在半導體基板之一通道區上,其中該閘極堆疊結構包括:複數個功函數金屬層;一氧吸收層,設置在一對該功函數金屬層之間;以及一閘電極金屬層,設置在該些功函數金屬層上,其中該些功函數金屬層、氧吸收層、及閘電極金屬層為不同材料。
- 如請求項7所述之半導體元件,其中在從該半導體基板突出之一鰭式結構上形成該閘極堆疊結構。
- 如請求項7所述之半導體元件,其中該閘極堆疊結構進一步包括一閘極介電層,該閘極介電層設置在該些功函數金屬層與該半導體基板之間。
- 如請求項7所述之半導體元件,其中該些功函數金屬層包括一第一功函數金屬層及一第二功函數金屬層,並且該第一功函數金屬層及該第二功函數金屬層是由不同材料製成。
Applications Claiming Priority (2)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US16/837,908 US11538926B2 (en) | 2020-04-01 | 2020-04-01 | Semiconductor device and method of manufacturing a semiconductor device |
US16/837,908 | 2020-04-01 |
Publications (2)
Publication Number | Publication Date |
---|---|
TW202143309A TW202143309A (zh) | 2021-11-16 |
TWI789675B true TWI789675B (zh) | 2023-01-11 |
Family
ID=76508071
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
TW110101020A TWI789675B (zh) | 2020-04-01 | 2021-01-11 | 半導體元件及其製造方法 |
Country Status (5)
Country | Link |
---|---|
US (3) | US11538926B2 (zh) |
KR (1) | KR102408589B1 (zh) |
CN (1) | CN113053753B (zh) |
DE (1) | DE102020109927B4 (zh) |
TW (1) | TWI789675B (zh) |
Families Citing this family (2)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US11437431B2 (en) * | 2020-01-15 | 2022-09-06 | Taiwan Semiconductor Manufacturing Company Limited | Memory device with flat-top bottom electrodes and methods for forming the same |
US20230187495A1 (en) * | 2021-12-09 | 2023-06-15 | International Business Machines Corporation | Multilayer work function metal in nanosheet stacks using a sacrificial oxide material |
Citations (1)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US20190333769A1 (en) * | 2018-04-27 | 2019-10-31 | Taiwan Semiconductor Manufacturing Co., Ltd. | Device having work function metal stack and method of forming the same |
Family Cites Families (14)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US8679962B2 (en) * | 2008-08-21 | 2014-03-25 | Taiwan Semiconductor Manufacturing Company, Ltd. | Integrated circuit metal gate structure and method of fabrication |
US9384984B2 (en) | 2013-09-03 | 2016-07-05 | United Microelectronics Corp. | Semiconductor structure and method of forming the same |
JP6149634B2 (ja) * | 2013-09-17 | 2017-06-21 | 富士通セミコンダクター株式会社 | 半導体装置及びその製造方法 |
CN105304565B (zh) | 2014-05-28 | 2018-03-30 | 中芯国际集成电路制造(上海)有限公司 | 半导体器件及其形成方法 |
KR102394887B1 (ko) * | 2014-09-01 | 2022-05-04 | 삼성전자주식회사 | 반도체 장치의 제조 방법 |
CN106298663B (zh) * | 2015-05-22 | 2019-04-09 | 中芯国际集成电路制造(上海)有限公司 | 一种半导体器件及其制造方法、电子装置 |
KR102358318B1 (ko) | 2015-06-04 | 2022-02-04 | 삼성전자주식회사 | 멀티 일함수 게이트 패턴들을 갖는 반도체 소자 |
US9564489B2 (en) | 2015-06-29 | 2017-02-07 | Taiwan Semiconductor Manufacturing Company, Ltd. | Multiple gate field-effect transistors having oxygen-scavenged gate stack |
CN106531618B (zh) | 2015-09-15 | 2021-05-18 | 联华电子股份有限公司 | 具有金属栅极结构的半导体元件的功函数调整方法 |
US10049940B1 (en) * | 2017-08-25 | 2018-08-14 | Taiwan Semiconductor Manufacturing Co., Ltd. | Structure and method for metal gates with roughened barrier layer |
US10163626B2 (en) * | 2016-12-12 | 2018-12-25 | Taiwan Semiconductor Manufacturing Co., Ltd. | Metal gate structure and manufacturing method thereof |
US10504789B1 (en) * | 2018-05-30 | 2019-12-10 | Taiwan Semiconductor Manufacturing Co., Ltd. | Pre-deposition treatment for FET technology and devices formed thereby |
US10720431B1 (en) * | 2019-01-25 | 2020-07-21 | Taiwan Semiconductor Manufacturing Co., Ltd. | Methods of fabricating semiconductor devices having gate-all-around structure with oxygen blocking layers |
KR20210014017A (ko) * | 2019-07-29 | 2021-02-08 | 삼성전자주식회사 | 반도체 소자 및 그 제조 방법 |
-
2020
- 2020-04-01 US US16/837,908 patent/US11538926B2/en active Active
- 2020-04-09 DE DE102020109927.4A patent/DE102020109927B4/de active Active
- 2020-07-01 KR KR1020200081128A patent/KR102408589B1/ko active IP Right Grant
- 2020-11-18 CN CN202011290722.7A patent/CN113053753B/zh active Active
-
2021
- 2021-01-11 TW TW110101020A patent/TWI789675B/zh active
-
2022
- 2022-12-22 US US18/087,687 patent/US11757023B2/en active Active
-
2023
- 2023-07-28 US US18/227,838 patent/US20230369464A1/en active Pending
Patent Citations (1)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US20190333769A1 (en) * | 2018-04-27 | 2019-10-31 | Taiwan Semiconductor Manufacturing Co., Ltd. | Device having work function metal stack and method of forming the same |
Also Published As
Publication number | Publication date |
---|---|
CN113053753A (zh) | 2021-06-29 |
DE102020109927A1 (de) | 2021-10-07 |
TW202143309A (zh) | 2021-11-16 |
CN113053753B (zh) | 2024-02-06 |
US11757023B2 (en) | 2023-09-12 |
US20230132175A1 (en) | 2023-04-27 |
US11538926B2 (en) | 2022-12-27 |
US20210313454A1 (en) | 2021-10-07 |
US20230369464A1 (en) | 2023-11-16 |
KR20210123180A (ko) | 2021-10-13 |
KR102408589B1 (ko) | 2022-06-15 |
DE102020109927B4 (de) | 2024-03-21 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
CN108269850B (zh) | 半导体器件及其制造方法 | |
CN106469654B (zh) | 半导体装置及其制造方法 | |
US10269968B2 (en) | Semiconductor device including fin structures and manufacturing method thereof | |
US10879379B2 (en) | Multi-gate device and related methods | |
US11728414B2 (en) | Semiconductor device including a Fin-FET and method of manufacturing the same | |
US11990510B2 (en) | Semiconductor device and manufacturing method thereof | |
TWI847035B (zh) | 半導體裝置及其製造方法 | |
US11757023B2 (en) | Semiconductor device and method of manufacturing a semiconductor device | |
US12020947B2 (en) | Method of manufacturing semiconductor devices and semiconductor devices | |
US12040383B2 (en) | Multi-gate device and related methods | |
TWI795748B (zh) | 半導體元件的製造方法及半導體元件 | |
TW202038317A (zh) | 製造半導體裝置之方法 | |
US20220367612A1 (en) | Semiconductor device having nanosheet transistor and methods of fabrication thereof | |
US20240282820A1 (en) | Semiconductor device and manufacturing method thereof | |
TW202333381A (zh) | 半導體元件及其製造方法 |