TWI778249B - Semiconductor package system - Google Patents

Semiconductor package system Download PDF

Info

Publication number
TWI778249B
TWI778249B TW108112061A TW108112061A TWI778249B TW I778249 B TWI778249 B TW I778249B TW 108112061 A TW108112061 A TW 108112061A TW 108112061 A TW108112061 A TW 108112061A TW I778249 B TWI778249 B TW I778249B
Authority
TW
Taiwan
Prior art keywords
semiconductor package
semiconductor
substrate
thermally conductive
layer
Prior art date
Application number
TW108112061A
Other languages
Chinese (zh)
Other versions
TW202005048A (en
Inventor
權興奎
Original Assignee
南韓商三星電子股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 南韓商三星電子股份有限公司 filed Critical 南韓商三星電子股份有限公司
Publication of TW202005048A publication Critical patent/TW202005048A/en
Application granted granted Critical
Publication of TWI778249B publication Critical patent/TWI778249B/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/04Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers
    • H01L25/065Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L25/0652Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00 the devices being arranged next and on each other, i.e. mixed assemblies
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/28Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection
    • H01L23/31Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/34Arrangements for cooling, heating, ventilating or temperature compensation ; Temperature sensing arrangements
    • H01L23/36Selection of materials, or shaping, to facilitate cooling or heating, e.g. heatsinks
    • H01L23/367Cooling facilitated by shape of device
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/34Arrangements for cooling, heating, ventilating or temperature compensation ; Temperature sensing arrangements
    • H01L23/36Selection of materials, or shaping, to facilitate cooling or heating, e.g. heatsinks
    • H01L23/373Cooling facilitated by selection of materials for the device or materials for thermal expansion adaptation, e.g. carbon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/492Bases or plates or solder therefor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/498Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers
    • H01L23/49811Additional leads joined to the metallisation on the insulating substrate, e.g. pins, bumps, wires, flat leads
    • H01L23/49816Spherical bumps on the substrate for external connection, e.g. ball grid arrays [BGA]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/498Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers
    • H01L23/49838Geometry or layout
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/11Manufacturing methods
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L24/28Structure, shape, material or disposition of the layer connectors prior to the connecting process
    • H01L24/29Structure, shape, material or disposition of the layer connectors prior to the connecting process of an individual layer connector
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/50Peripheral circuit region structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/023Redistribution layers [RDL] for bonding areas
    • H01L2224/0237Disposition of the redistribution layers
    • H01L2224/02379Fan-out arrangement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • H01L2224/161Disposition
    • H01L2224/16151Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/16221Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/16225Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/73Means for bonding being of different types provided for in two or more of groups H01L2224/10, H01L2224/18, H01L2224/26, H01L2224/34, H01L2224/42, H01L2224/50, H01L2224/63, H01L2224/71
    • H01L2224/732Location after the connecting process
    • H01L2224/73251Location after the connecting process on different surfaces
    • H01L2224/73253Bump and layer connectors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/151Die mounting substrate
    • H01L2924/153Connection portion
    • H01L2924/1531Connection portion the connection portion being formed only on the surface of the substrate opposite to the die mounting surface
    • H01L2924/15311Connection portion the connection portion being formed only on the surface of the substrate opposite to the die mounting surface being a ball array, e.g. BGA

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Geometry (AREA)
  • Manufacturing & Machinery (AREA)
  • Cooling Or The Like Of Semiconductors Or Solid State Devices (AREA)
  • Control And Other Processes For Unpacking Of Materials (AREA)
  • Spinning Or Twisting Of Yarns (AREA)
  • Production Of Multi-Layered Print Wiring Board (AREA)

Abstract

Provided is a semiconductor package system. The system includes a substrate, a first semiconductor package on the substrate, a second semiconductor package on the substrate, a first passive element on the substrate, a heat dissipation structure on the first semiconductor package, the second semiconductor package, and the first passive element, and a first heat conduction layer between the first semiconductor package and the heat dissipation structure. A sum of a height of the first semiconductor package and a height of the first heat conduction layer may be greater than a height of the first passive element. The height of the first semiconductor package may be greater than a height of the second semiconductor package.

Description

半導體封裝系統 Semiconductor Packaging System

本文是有關於一種半導體封裝系統,且更具體而言是有關於一種具有散熱結構的半導體封裝系統。 This article is about a semiconductor packaging system, and more specifically, a semiconductor packaging system having a heat dissipation structure.

[相關申請案的交叉參考] [Cross-reference to related applications]

本美國非臨時專利申請案主張於2018年5月11日提出申請的韓國專利申請案第10-2018-0054304號的優先權;於2018年5月11日提出申請的韓國專利申請案第10-2018-0054305號的優先權;於2018年5月11日提出申請的韓國專利申請案第10-2018-0054307號的優先權;於2018年5月14日提出申請的韓國專利申請案第10-2018-0055081號的優先權;以及於2018年9月14日提出申請的韓國專利申請案第10-2018-0110511號的優先權,所述韓國專利申請案中的每一者的全部內容併入本案供參考。 This US non-provisional patent application claims priority to Korean Patent Application No. 10-2018-0054304, filed on May 11, 2018; Korean Patent Application No. 10-, filed May 11, 2018 Priority of 2018-0054305; Priority of Korean Patent Application No. 10-2018-0054307 filed on May 11, 2018; Korean Patent Application No. 10- filed on May 14, 2018 Priority to 2018-0055081; and Korean Patent Application No. 10-2018-0110511, filed on September 14, 2018, each of which is incorporated in its entirety This case is for reference.

半導體封裝是以適合於在電子產品中使用的形式來實作。一般而言,半導體封裝一般安裝有位於印刷電路板(printed circuit board,PCB)上的半導體晶片且使用接合導線(bonding wire)或凸塊而電性連接至彼此。隨著半導體封裝在速度及容量上 的提高,半導體封裝的功耗有所增大。因此,半導體封裝的熱特性變得更為重要。 Semiconductor packages are implemented in a form suitable for use in electronic products. In general, semiconductor packages are typically mounted with semiconductor chips on a printed circuit board (PCB) and are electrically connected to each other using bonding wires or bumps. As semiconductor packaging increases in speed and capacity The increase in power consumption of semiconductor packaging has increased. Therefore, the thermal characteristics of the semiconductor package become more important.

發明概念是有關於一種具有改善的熱特性的半導體封裝以及一種包括所述半導體封裝的半導體模組。 The inventive concept relates to a semiconductor package with improved thermal characteristics and a semiconductor module including the same.

根據發明概念的實施例,一種半導體封裝系統可包括:基板;第一半導體封裝,位於所述基板上;第二半導體封裝,位於所述基板上;第一被動元件,位於所述基板上;散熱結構,設置於所述第一半導體封裝、所述第二半導體封裝及所述第一被動元件上;以及第一熱傳導層,位於所述第一半導體封裝與所述散熱結構之間。所述第一半導體封裝的高度與所述第一熱傳導層的厚度之和可大於所述第一被動元件的高度。所述第一半導體封裝的所述高度可大於所述第二半導體封裝的高度。 According to an embodiment of the inventive concept, a semiconductor packaging system may include: a substrate; a first semiconductor package on the substrate; a second semiconductor package on the substrate; a first passive element on the substrate; The structure is disposed on the first semiconductor package, the second semiconductor package and the first passive element; and a first heat conduction layer is located between the first semiconductor package and the heat dissipation structure. The sum of the height of the first semiconductor package and the thickness of the first thermally conductive layer may be greater than the height of the first passive element. The height of the first semiconductor package may be greater than the height of the second semiconductor package.

在發明概念的實施例中,一種半導體封裝系統可包括:基板;第一半導體封裝,位於所述基板的上表面上,且所述第一半導體封裝包括第一半導體晶片,所述第一半導體晶片包括一個或多個邏輯電路;第二半導體封裝,位於所述基板的所述上表面上;被動元件,位於所述基板的所述上表面上;散熱結構,位於所述第一半導體封裝、所述第二半導體封裝及所述被動元件上;以及多個熱傳導層,各自物理地接觸所述散熱結構的下表面。所述多個熱傳導層可包括位於所述第一半導體封裝的上表面上的第一熱傳導層,且所述第一熱傳導層可具有所述多個熱傳導層中最 薄的厚度。 In an embodiment of the inventive concept, a semiconductor packaging system may include: a substrate; a first semiconductor package on an upper surface of the substrate, and the first semiconductor package includes a first semiconductor die, the first semiconductor die including one or more logic circuits; a second semiconductor package located on the upper surface of the substrate; passive components located on the upper surface of the substrate; a heat dissipation structure located on the first semiconductor package, the on the second semiconductor package and the passive element; and a plurality of thermally conductive layers, each of which physically contacts the lower surface of the heat dissipation structure. The plurality of thermally conductive layers may include a first thermally conductive layer on the upper surface of the first semiconductor package, and the first thermally conductive layer may have the most thermally conductive layer among the plurality of thermally conductive layers. thin thickness.

在發明概念的實施例中,一種半導體封裝系統可包括:基板;第一半導體封裝,位於所述基板上,所述第一半導體封裝包括第一半導體晶片,所述第一半導體晶片包括一個或多個邏輯電路;第二半導體封裝,位於所述基板上;被動元件,位於所述基板上;散熱結構,位於所述第一半導體封裝、所述第二半導體封裝及所述被動元件上;第一熱傳導層,位於所述第一半導體封裝上,所述第一熱傳導層物理地接觸所述散熱結構;以及第二熱傳導層,位於所述第二半導體封裝上,所述第二熱傳導層物理地接觸所述散熱結構。所述第一熱傳導層的厚度可小於所述第二熱傳導層的厚度。所述第一熱傳導層的上表面可設置於較所述被動元件的上表面高的水平高度處。 In an embodiment of the inventive concept, a semiconductor packaging system may include: a substrate; a first semiconductor package on the substrate, the first semiconductor package including a first semiconductor die including one or more a logic circuit; a second semiconductor package on the substrate; a passive element on the substrate; a heat dissipation structure on the first semiconductor package, the second semiconductor package and the passive element; a first a thermally conductive layer on the first semiconductor package, the first thermally conductive layer physically in contact with the heat dissipation structure; and a second thermally conductive layer on the second semiconductor package, the second thermally conductive layer in physical contact the heat dissipation structure. The thickness of the first thermally conductive layer may be smaller than the thickness of the second thermally conductive layer. The upper surface of the first heat conduction layer may be disposed at a higher level than the upper surface of the passive element.

1、1a、1b、1c、1d、1e、1f、1g、1h、1i、1j:封裝系統 1, 1a, 1b, 1c, 1d, 1e, 1f, 1g, 1h, 1i, 1j: Package system

10:半導體模組 10: Semiconductor module

100:半導體封裝/第一半導體封裝 100: Semiconductor Package/First Semiconductor Package

100c:側表面 100c: side surface

110:第一基板 110: The first substrate

120:第一半導體晶片 120: First semiconductor wafer

130:第一模製層 130: First molding layer

139:第一標記 139: First Mark

140:第一導熱結構 140: The first thermal conductive structure

141:第一黏合層 141: The first adhesive layer

150:第一連接端子 150: The first connection terminal

160:第一底部填充膜 160: First underfill film

200:半導體封裝/第二半導體封裝 200: Semiconductor Packaging/Second Semiconductor Packaging

210:第二基板 210: Second substrate

220:第二半導體晶片 220: Second semiconductor wafer

230:第二模製層 230: Second molding layer

240:第二導熱結構 240: Second thermal conductive structure

241:第二黏合層 241: Second Adhesive Layer

250:第二連接端子 250: Second connection terminal

260:第二底部填充膜 260: Second underfill film

300:半導體封裝/第三半導體封裝 300: Semiconductor Packaging/Third Semiconductor Packaging

310:第三基板 310: Third substrate

320:第三半導體晶片 320: Third Semiconductor Wafer

330:第三模製層 330: Third molding layer

340:第三導熱結構 340: The third thermal conductive structure

341:第三黏合層 341: Third Adhesive Layer

350:第三連接端子 350: The third connection terminal

360:第三底部填充膜 360: Third Underfill Film

400:第一被動元件/經安裝的第一被動元件 400: First Passive Component/Installed First Passive Component

400':被安裝前的第一被動元件 400': The first passive component before being installed

401:第一連接端子部分 401: The first connection terminal part

402:第二連接端子部分 402: Second connection terminal part

403:導電連接端子 403: Conductive connection terminal

420:第二被動元件/經安裝的第二被動元件 420: Second Passive/Installed Second Passive

420':被安裝前的第二被動元件 420': Second passive component before being installed

430:電子元件/經安裝的電子元件 430: Electronic Components/Mounted Electronic Components

430':被安裝前的電子元件 430': Electronic components before being installed

500:基板 500: Substrate

500a、710a、1000a:上表面 500a, 710a, 1000a: upper surface

505:互連件 505: Interconnects

510G:接地接墊 510G: Ground Pad

540:下部接墊 540: Lower pad

541:連接接墊 541: Connection pad

542:測試接墊 542: Test pads

550:導電端子 550: Conductive terminal

551:第一端子 551: first terminal

552:第二端子 552: second terminal

590:擋壩結構 590: Dam Structure

600:散熱結構 600: heat dissipation structure

500b、600b、1000b:下表面 500b, 600b, 1000b: lower surface

610:第一散熱結構 610: The first heat dissipation structure

620:第二散熱結構 620: Second heat dissipation structure

621:本體部分 621: Body part

622:腿部分 622: Leg Section

630:散熱層 630: heat dissipation layer

710:第一熱傳導層 710: The first heat conduction layer

720:第二熱傳導層 720: Second heat conduction layer

730:第三熱傳導層 730: Third heat conduction layer

740:第四熱傳導層 740: Fourth heat conduction layer

741:黏合圖案/導電黏合圖案 741: Bonding Pattern/Conductive Bonding Pattern

742:黏合圖案/絕緣黏合圖案 742: Bonding Pattern/Insulating Bonding Pattern

1000:板 1000: Board

1500:導電接墊 1500: Conductive pads

A、B、C、III、VI:區 A, B, C, III, VI: Zones

A1、A2、A3、A4、A5:厚度 A1, A2, A3, A4, A5: Thickness

H1、H2、H3、H4、H40、H41、H5、H50、H51、H6、H60、H61、H7:高度 H1, H2, H3, H4, H40, H41, H5, H50, H51, H6, H60, H61, H7: Height

I-II、I'-II’:線 I-II, I'-II': line

P1、P2、P3、P4:節距 P1, P2, P3, P4: pitch

包括附圖以提供對發明概念的進一步理解,且附圖被併入本說明書中且構成本說明書的一部分。各圖式說明發明概念的示例性實施例且與說明一起用於闡釋發明概念的原理。在附圖中:圖1A是示出根據示例性實施例的封裝系統的平面圖。 The accompanying drawings are included to provide a further understanding of the inventive concepts, and are incorporated into and constitute a part of this specification. The drawings illustrate exemplary embodiments of the inventive concepts and, together with the description, serve to explain the principles of the inventive concepts. In the drawings: FIG. 1A is a plan view illustrating a packaging system according to an exemplary embodiment.

圖1B是示出根據示例性實施例的封裝系統的平面圖。 FIG. 1B is a plan view illustrating a packaging system according to an exemplary embodiment.

圖1C是沿圖1A所示線I-II截取的剖視圖。 FIG. 1C is a cross-sectional view taken along line I-II shown in FIG. 1A .

圖1D是圖1C所示區A的放大圖。圖1E是圖1C所示區B的放大圖。 FIG. 1D is an enlarged view of the area A shown in FIG. 1C. FIG. 1E is an enlarged view of area B shown in FIG. 1C.

圖1F是示出根據示例性實施例的封裝系統的圖。 FIG. 1F is a diagram illustrating a packaging system according to an exemplary embodiment.

圖1G對應於圖1A所示區III的放大圖。 FIG. 1G corresponds to an enlarged view of region III shown in FIG. 1A.

圖1H是沿圖1G所示線I'-II’截取的剖視圖。 Fig. 1H is a cross-sectional view taken along the line I'-II' shown in Fig. 1G.

圖1I是用於闡釋根據示例性實施例的第一半導體封裝的圖。 FIG. 1I is a diagram for explaining a first semiconductor package according to an exemplary embodiment.

圖2A是示出根據示例性實施例的封裝系統的平面圖。 FIG. 2A is a plan view illustrating a packaging system according to an exemplary embodiment.

圖2B是沿圖2A所示線I-II截取的剖視圖。 FIG. 2B is a cross-sectional view taken along the line I-II shown in FIG. 2A.

圖2C是示出根據示例性實施例的封裝系統的平面圖。 FIG. 2C is a plan view illustrating a packaging system according to an exemplary embodiment.

圖2D是沿圖2C所示線I-II截取的剖視圖。 2D is a cross-sectional view taken along line I-II shown in FIG. 2C.

圖2E是示出根據示例性實施例的封裝系統的剖視圖。 2E is a cross-sectional view illustrating a packaging system according to an exemplary embodiment.

圖3A是示出根據示例性實施例的封裝系統的剖視圖。 3A is a cross-sectional view illustrating a packaging system according to an exemplary embodiment.

圖3B是示出根據示例性實施例的封裝系統的剖視圖。 3B is a cross-sectional view illustrating a packaging system according to an exemplary embodiment.

圖3C是示出根據示例性實施例的封裝系統的剖視圖。 3C is a cross-sectional view illustrating a packaging system according to an exemplary embodiment.

圖4A是示出根據示例性實施例的封裝系統的剖視圖。 4A is a cross-sectional view illustrating a packaging system according to an exemplary embodiment.

圖4B是示出根據示例性實施例的封裝系統的剖視圖。 4B is a cross-sectional view illustrating a packaging system according to an exemplary embodiment.

圖4C是示出根據示例性實施例的封裝系統的剖視圖。 4C is a cross-sectional view illustrating a packaging system according to an exemplary embodiment.

圖5A是示出根據示例性實施例的半導體模組的剖視圖。 FIG. 5A is a cross-sectional view illustrating a semiconductor module according to an exemplary embodiment.

圖5B是用於闡釋根據示例性實施例的第二被動元件的圖,且是示出圖5A所示區C的放大圖的剖視圖。 FIG. 5B is a diagram for explaining a second passive element according to an exemplary embodiment, and is a cross-sectional view showing an enlarged view of a region C shown in FIG. 5A .

圖5C是用於闡釋根據示例性實施例的下部接墊及導電端子的圖。 FIG. 5C is a diagram for explaining lower pads and conductive terminals according to an exemplary embodiment.

圖5D是用於闡釋根據示例性實施例的下部接墊的圖。 FIG. 5D is a diagram for explaining a lower pad according to an exemplary embodiment.

在本說明書中,相同的參考編號(reference numerals)在本說明書通篇中指代相同的組件。在下文中,將闡述根據發明概念的一種封裝系統以及一種包括所述封裝系統的半導體模組。半導體封裝系統可為封裝系統或包括所述封裝系統的半導體模組。 In this specification, the same reference numerals refer to the same components throughout the specification. Hereinafter, a packaging system according to the inventive concept and a semiconductor module including the packaging system will be explained. The semiconductor packaging system may be a packaging system or a semiconductor module including the packaging system.

圖1A是示出根據示例性實施例的封裝系統的平面圖。圖1B是示出根據示例性實施例的封裝系統的平面圖。圖1C是沿圖1A所示線I-II截取的剖視圖。圖1D是圖1C所示區A的放大圖。圖1E是圖1C所示區B的放大圖。 FIG. 1A is a plan view illustrating a packaging system according to an exemplary embodiment. FIG. 1B is a plan view illustrating a packaging system according to an exemplary embodiment. FIG. 1C is a cross-sectional view taken along line I-II shown in FIG. 1A . FIG. 1D is an enlarged view of the area A shown in FIG. 1C. FIG. 1E is an enlarged view of area B shown in FIG. 1C.

參照圖1A、圖1B、圖1C、圖1D及圖1E,封裝系統1包括基板500、第一半導體封裝100、第二半導體封裝200、第三半導體封裝300、第一被動元件400、散熱結構600及第一熱傳導層710。作為實例,具有電路圖案的印刷電路板(PCB)可用作基板500。在基板500的下表面上可設置有導電端子550。導電端子550可包括焊球、凸塊及柱(pillar)中的至少一者。導電端子550可包含例如金屬。 1A , 1B, 1C, 1D and 1E, the packaging system 1 includes a substrate 500 , a first semiconductor package 100 , a second semiconductor package 200 , a third semiconductor package 300 , a first passive element 400 , and a heat dissipation structure 600 and the first thermal conduction layer 710 . As an example, a printed circuit board (PCB) having circuit patterns may be used as the substrate 500 . Conductive terminals 550 may be provided on the lower surface of the substrate 500 . The conductive terminals 550 may include at least one of solder balls, bumps, and pillars. The conductive terminals 550 may comprise metal, for example.

第一半導體封裝100可安裝於基板500的上表面500a上。第一半導體封裝100可包括如隨後所述的邏輯晶片或系統晶片(system-on-chip,SOC)。在基板500與第一半導體封裝100之間可內插有第一連接端子150。第一半導體封裝100可經由第一連接端子150而電性連接至基板500。在本說明書中,與基板500電性連接可意指其與基板500中的互連件(interconnection)505 電性連接。第一連接端子150可包括焊球、柱、凸塊或球柵陣列。經安裝的第一半導體封裝100的高度H1可被定義為包括第一連接端子150的高度。在本說明書中,任意組件的高度可意指在與基板500的上表面500a垂直的方向上所量測的組件的最大距離。第一連接端子150的節距(pitch)可小於導電端子550的節距。 The first semiconductor package 100 may be mounted on the upper surface 500 a of the substrate 500 . The first semiconductor package 100 may include a logic die or a system-on-chip (SOC) as described later. The first connection terminal 150 may be interposed between the substrate 500 and the first semiconductor package 100 . The first semiconductor package 100 may be electrically connected to the substrate 500 through the first connection terminal 150 . In this specification, being electrically connected to the substrate 500 may mean that it is connected to an interconnection 505 in the substrate 500 Electrical connection. The first connection terminals 150 may include solder balls, pillars, bumps or ball grid arrays. The height H1 of the mounted first semiconductor package 100 may be defined as a height including the first connection terminal 150 . In this specification, the height of any component may mean the maximum distance of the component measured in a direction perpendicular to the upper surface 500a of the substrate 500 . The pitch of the first connection terminals 150 may be smaller than the pitch of the conductive terminals 550 .

第二半導體封裝200可安裝於基板500的上表面500a上。在平面圖中,第二半導體封裝200可與第一半導體封裝100間隔開。第二半導體封裝200可為與第一半導體封裝100不同類型的半導體封裝。在基板500與第二半導體封裝200之間可內插有第二連接端子250。第二半導體封裝200可經由第二連接端子250而電性連接至基板500。第二連接端子250可包括焊球、柱、凸塊或球柵陣列。第二連接端子250的節距可小於導電端子550的節距。經安裝的第二半導體封裝200的高度H2可被定義為包括第二連接端子250的高度。可設置有多個第二半導體封裝200。第二半導體封裝200可彼此間隔開。然而,第二半導體封裝200的數目及平面排列可作出各種潤飾。 The second semiconductor package 200 may be mounted on the upper surface 500 a of the substrate 500 . In a plan view, the second semiconductor package 200 may be spaced apart from the first semiconductor package 100 . The second semiconductor package 200 may be a different type of semiconductor package from the first semiconductor package 100 . The second connection terminal 250 may be interposed between the substrate 500 and the second semiconductor package 200 . The second semiconductor package 200 may be electrically connected to the substrate 500 via the second connection terminals 250 . The second connection terminals 250 may include solder balls, posts, bumps, or ball grid arrays. The pitch of the second connection terminals 250 may be smaller than the pitch of the conductive terminals 550 . The height H2 of the mounted second semiconductor package 200 may be defined as a height including the second connection terminal 250 . A plurality of second semiconductor packages 200 may be provided. The second semiconductor packages 200 may be spaced apart from each other. However, various modifications can be made to the number and plane arrangement of the second semiconductor packages 200 .

第三半導體封裝300可安裝於基板500上。在平面圖中,第三半導體封裝300可與第一半導體封裝100及第二半導體封裝200間隔開。第三半導體封裝300可為與第一半導體封裝100及第二半導體封裝200不同類型的半導體封裝。如圖1A中所示,可設置有單個第三半導體封裝300。作為另一實例,如圖1B中所示,可設置有多個第三半導體封裝300。在此種情形中,第三半導 體封裝300可彼此間隔開。第三半導體封裝300的數目及平面排列可作出各種潤飾,而不限於圖1A及圖1B中所示者。在下文中,將闡述單個第三半導體封裝300。如圖1C中所示,在基板500與第三半導體封裝300之間可內插有第三連接端子350。第三半導體封裝300可經由第三連接端子350而電性連接至基板500。第三連接端子350可包括焊球、柱、凸塊或球柵陣列。第三連接端子350的節距可小於導電端子550的節距。經安裝的第三半導體封裝300的高度H3可被定義為包括第三連接端子350的高度。經安裝的第一半導體封裝100的高度H1可大於經安裝的第三半導體封裝300的高度H3。 The third semiconductor package 300 may be mounted on the substrate 500 . In a plan view, the third semiconductor package 300 may be spaced apart from the first semiconductor package 100 and the second semiconductor package 200 . The third semiconductor package 300 may be a different type of semiconductor package from the first semiconductor package 100 and the second semiconductor package 200 . As shown in FIG. 1A, a single third semiconductor package 300 may be provided. As another example, as shown in FIG. 1B , a plurality of third semiconductor packages 300 may be provided. In this case, the third semiconductor The body packages 300 may be spaced apart from each other. Various modifications can be made to the number and planar arrangement of the third semiconductor packages 300 and are not limited to those shown in FIGS. 1A and 1B . In the following, a single third semiconductor package 300 will be explained. As shown in FIG. 1C , a third connection terminal 350 may be interposed between the substrate 500 and the third semiconductor package 300 . The third semiconductor package 300 may be electrically connected to the substrate 500 via the third connection terminals 350 . The third connection terminals 350 may include solder balls, posts, bumps, or ball grid arrays. The pitch of the third connection terminals 350 may be smaller than the pitch of the conductive terminals 550 . The height H3 of the mounted third semiconductor package 300 may be defined as a height including the third connection terminal 350 . The height H1 of the mounted first semiconductor package 100 may be greater than the height H3 of the mounted third semiconductor package 300 .

第一被動元件400可安裝於基板500的上表面500a上。在平面圖中,第一被動元件400可與第一半導體封裝100、第二半導體封裝200及第三半導體封裝300間隔開。第一被動元件400可包括電感器、電阻器及電容器中的任一者。如圖1D中所示,在基板500與第一被動元件400之間可進一步設置有第一連接端子部分401。第一連接端子部分401可包括例如焊球、柱、凸塊或球柵陣列。經安裝的第一被動元件400的高度H4可被定義為包括第一連接端子部分401的高度。舉例而言,第一被動元件400的高度H4可等於第一連接端子部分401的高度H41與被安裝前的第一被動元件400'的高度H40之和。經安裝的第一被動元件400的高度H4可實質上等於基板500的上表面500a與第一被動元件400的最上側表面之間的距離。可設置有多個第一被動元件400。如圖 1A及圖1B中所示,第一被動元件400可彼此間隔開。第一被動元件400的數目及平面排列可作出各種潤飾。在下文中,將闡述單個第一被動元件400。在除圖1D以外的各圖式中,為簡單起見,省略第一連接端子部分401,但發明概念並非僅限於此。 The first passive element 400 may be mounted on the upper surface 500 a of the substrate 500 . In a plan view, the first passive element 400 may be spaced apart from the first semiconductor package 100 , the second semiconductor package 200 and the third semiconductor package 300 . The first passive element 400 may include any one of an inductor, a resistor, and a capacitor. As shown in FIG. 1D , a first connection terminal portion 401 may be further provided between the substrate 500 and the first passive element 400 . The first connection terminal portion 401 may include, for example, solder balls, posts, bumps, or ball grid arrays. The height H4 of the mounted first passive element 400 may be defined as including the height of the first connection terminal portion 401 . For example, the height H4 of the first passive element 400 may be equal to the sum of the height H41 of the first connection terminal portion 401 and the height H40 of the first passive element 400 ′ before being mounted. The height H4 of the mounted first passive element 400 may be substantially equal to the distance between the upper surface 500 a of the substrate 500 and the uppermost surface of the first passive element 400 . A plurality of first passive elements 400 may be provided. As shown As shown in 1A and 1B, the first passive elements 400 may be spaced apart from each other. Various modifications can be made to the number and plane arrangement of the first passive elements 400 . In the following, a single first passive element 400 will be explained. In the drawings other than FIG. 1D , the first connection terminal portion 401 is omitted for simplicity, but the inventive concept is not limited thereto.

在第一半導體封裝100、第二半導體封裝200及第三半導體封裝300以及第一被動元件400上可設置有散熱結構600。散熱結構600的下表面600b可面對第一半導體封裝100、第二半導體封裝200及第三半導體封裝300。散熱結構600的下表面600b可實質上為平坦的(flat)。舉例而言,散熱結構600的位於第一半導體封裝100上的散熱結構600的下表面600b、位於第二半導體封裝200上的散熱結構600的下表面600b、位於第三半導體封裝300上的下表面600b及位於第一被動元件400上的下表面600b可安置於實質上相同的水平高度處。在散熱結構600的下表面600b上進行的附加加工被省略,以使散熱結構600的製造可簡化。所述加工可包括形成溝槽(trench)或形成突起部(protrusion)。散熱結構600可包含導熱材料。導熱材料可包括金屬(例如,銅及/或鋁)或含碳材料(例如,石墨烯、石墨及/或碳奈米管)。散熱結構600可具有相對高的導熱係數(thermal conductivity)。作為實例,單個金屬層或多個經堆疊的金屬層可用作散熱結構600。作為另一實例,散熱結構600可包括熱槽(heat sink)或熱管(heatpipe)。作為另一實例,散熱結構600可使用水冷卻方法(water cooling method)。散熱結構600可包括第一散熱結構610。 第一散熱結構610可與基板500間隔開。 A heat dissipation structure 600 may be disposed on the first semiconductor package 100 , the second semiconductor package 200 , the third semiconductor package 300 and the first passive element 400 . The lower surface 600b of the heat dissipation structure 600 may face the first semiconductor package 100 , the second semiconductor package 200 and the third semiconductor package 300 . The lower surface 600b of the heat dissipation structure 600 may be substantially flat. For example, the lower surface 600b of the heat dissipation structure 600 located on the first semiconductor package 100, the lower surface 600b of the heat dissipation structure 600 located on the second semiconductor package 200, the lower surface 600b located on the third semiconductor package 300 of the heat dissipation structure 600 600b and the lower surface 600b on the first passive element 400 may be disposed at substantially the same level. Additional processing performed on the lower surface 600b of the heat dissipation structure 600 is omitted so that the manufacture of the heat dissipation structure 600 can be simplified. The machining may include forming trenches or forming protrusions. The heat dissipation structure 600 may include thermally conductive material. Thermally conductive materials may include metals (eg, copper and/or aluminum) or carbon-containing materials (eg, graphene, graphite, and/or carbon nanotubes). The heat dissipation structure 600 may have relatively high thermal conductivity. As an example, a single metal layer or multiple stacked metal layers may be used as heat dissipation structure 600 . As another example, the heat dissipation structure 600 may include a heat sink or a heat pipe. As another example, the heat dissipation structure 600 may use a water cooling method. The heat dissipation structure 600 may include a first heat dissipation structure 610 . The first heat dissipation structure 610 may be spaced apart from the substrate 500 .

第一熱傳導層710可內插於第一半導體封裝100與散熱結構600之間。第一熱傳導層710可物理地接觸第一半導體封裝100的上表面及散熱結構600的下表面600b。第一熱傳導層710可包含熱介面材料(thermal interface material,TIM)。熱介面材料可包括例如聚合物及導熱粒子。導熱粒子可散佈於聚合物內。在第一半導體封裝100的操作期間,自第一半導體封裝100產生的熱可經由第一熱傳導層710而傳遞至散熱結構600。 The first thermally conductive layer 710 may be interposed between the first semiconductor package 100 and the heat dissipation structure 600 . The first thermally conductive layer 710 may physically contact the upper surface of the first semiconductor package 100 and the lower surface 600b of the heat dissipation structure 600 . The first thermally conductive layer 710 may include a thermal interface material (TIM). Thermal interface materials can include, for example, polymers and thermally conductive particles. Thermally conductive particles can be dispersed within the polymer. During operation of the first semiconductor package 100 , heat generated from the first semiconductor package 100 may be transferred to the heat dissipation structure 600 via the first thermally conductive layer 710 .

根據示例性實施例,經安裝的第一半導體封裝100的高度H1與第一熱傳導層710的厚度A1之和可大於經安裝的第一被動元件400的高度H4。即使第一被動元件400設置於基板500的上表面500a上,第一熱傳導層710仍可物理地接觸第一半導體封裝100及散熱結構600。 According to exemplary embodiments, the sum of the height H1 of the mounted first semiconductor package 100 and the thickness A1 of the first thermal conduction layer 710 may be greater than the height H4 of the mounted first passive element 400 . Even though the first passive element 400 is disposed on the upper surface 500 a of the substrate 500 , the first thermally conductive layer 710 can still physically contact the first semiconductor package 100 and the heat dissipation structure 600 .

在第二半導體封裝200與散熱結構600之間可設置有第二熱傳導層720。第二熱傳導層720可物理地接觸第二半導體封裝200的上表面及散熱結構600的下表面600b。舉例而言,第二熱傳導層720可包含熱介面材料。在第二半導體封裝200的操作期間,自第二半導體封裝200產生的熱可經由第二熱傳導層720而傳遞至散熱結構600。 A second thermal conduction layer 720 may be disposed between the second semiconductor package 200 and the heat dissipation structure 600 . The second thermally conductive layer 720 may physically contact the upper surface of the second semiconductor package 200 and the lower surface 600b of the heat dissipation structure 600 . For example, the second thermally conductive layer 720 may include a thermal interface material. During operation of the second semiconductor package 200 , heat generated from the second semiconductor package 200 may be transferred to the heat dissipation structure 600 via the second thermally conductive layer 720 .

在第三半導體封裝300與散熱結構600之間可設置有第三熱傳導層730。第三熱傳導層730可物理地接觸第三半導體封裝300的上表面及散熱結構600的下表面600b。舉例而言,第三熱 傳導層730可包含熱介面材料。在第三半導體封裝300的操作期間,自第三半導體封裝300產生的熱可經由第三熱傳導層730而傳遞至散熱結構600。 A third heat conduction layer 730 may be disposed between the third semiconductor package 300 and the heat dissipation structure 600 . The third thermally conductive layer 730 may physically contact the upper surface of the third semiconductor package 300 and the lower surface 600b of the heat dissipation structure 600 . For example, the third heat Conductive layer 730 may include thermal interface material. During operation of the third semiconductor package 300 , heat generated from the third semiconductor package 300 may be transferred to the heat dissipation structure 600 via the third thermally conductive layer 730 .

在封裝系統1的操作期間,自第一半導體封裝100可產生大量的熱。舉例而言,第一半導體封裝100可產生較自第二半導體封裝200、第三半導體封裝300及第一被動元件400產生的熱更多的熱。第一半導體封裝100的熱特性對封裝系統1的操作特性的影響可大於第二半導體封裝200及第三半導體封裝300的熱特性對封裝系統1的操作特性的影響。由於第一半導體封裝100的熱特性得到改善,因此封裝系統1的操作特性可得到改善。第一熱傳導層710、第二熱傳導層720及第三熱傳導層730中的每一者可具有較散熱結構600的導熱係數低的導熱係數。隨著第一熱傳導層710的厚度A1減小,自第一半導體封裝100產生的熱可被更快的散發至散熱結構600。根據示例性實施例,第一熱傳導層710的厚度A1可為接觸散熱結構600的下表面600b的熱傳導層的厚度中最小的。此處,熱傳導層可包括第一熱傳導層710、第二熱傳導層720及第三熱傳導層730。熱傳導層可更包括隨後將參照圖2A至圖2D闡述的導電黏合圖案741。舉例而言,第一熱傳導層710的厚度A1可小於第二熱傳導層720的厚度A2及第三熱傳導層730的厚度A3。因此,自第一半導體封裝100產生的熱可更快地傳遞至散熱結構600。封裝系統1可表現出改善的操作特性。 During operation of the packaging system 1 , a large amount of heat may be generated from the first semiconductor package 100 . For example, the first semiconductor package 100 may generate more heat than the heat generated from the second semiconductor package 200 , the third semiconductor package 300 and the first passive element 400 . The thermal characteristics of the first semiconductor package 100 may have a greater effect on the operating characteristics of the packaging system 1 than the thermal characteristics of the second semiconductor package 200 and the third semiconductor package 300 have on the operating characteristics of the packaging system 1 . Since the thermal characteristics of the first semiconductor package 100 are improved, the operational characteristics of the packaging system 1 may be improved. Each of the first thermally conductive layer 710 , the second thermally conductive layer 720 and the third thermally conductive layer 730 may have a thermal conductivity lower than that of the heat dissipation structure 600 . As the thickness A1 of the first thermal conduction layer 710 decreases, the heat generated from the first semiconductor package 100 can be dissipated to the heat dissipation structure 600 more quickly. According to an exemplary embodiment, the thickness A1 of the first heat conduction layer 710 may be the smallest among the thicknesses of the heat conduction layers contacting the lower surface 600b of the heat dissipation structure 600 . Here, the thermal conduction layer may include a first thermal conduction layer 710 , a second thermal conduction layer 720 and a third thermal conduction layer 730 . The thermally conductive layer may further include a conductive adhesive pattern 741 which will be described later with reference to FIGS. 2A to 2D . For example, the thickness A1 of the first thermally conductive layer 710 may be smaller than the thickness A2 of the second thermally conductive layer 720 and the thickness A3 of the third thermally conductive layer 730 . Therefore, the heat generated from the first semiconductor package 100 can be transferred to the heat dissipation structure 600 more quickly. The packaging system 1 may exhibit improved operating characteristics.

在基板500的上表面500a上可進一步設置有電子元件 430。電子元件430可包括振盪器(例如晶體振盪器(crystal oscillator))或即時時鐘(real-time clock)。如圖1E中所示,在電子元件430與基板500的上表面500a之間可進一步設置有導電連接端子403以電性連接至電子元件430及基板500。經安裝的電子元件430的高度H5可被定義為包括導電連接端子403的高度H51。經安裝的電子元件430的高度H5可等於導電連接端子403的高度H51與被安裝前的電子元件430'的高度H50之和。經安裝的第一半導體封裝100的高度H1與第一熱傳導層710的厚度A1之和可大於經安裝的電子元件430的高度H5。儘管電子元件430設置於基板500的上表面500a上,然而自第一半導體封裝100產生的熱可經由第一熱傳導層710而順利地排放至散熱結構600。作為另一實例,可不設置電子元件430。在除圖1E以外的各圖式中,為簡單起見,省略導電連接端子403,但發明概念並非僅限於此。在下文中,將闡述半導體封裝100、200及300的電性連接。 Electronic components may be further disposed on the upper surface 500a of the substrate 500 430. The electronic component 430 may include an oscillator (eg, a crystal oscillator) or a real-time clock. As shown in FIG. 1E , a conductive connection terminal 403 may be further disposed between the electronic element 430 and the upper surface 500 a of the substrate 500 to be electrically connected to the electronic element 430 and the substrate 500 . The height H5 of the mounted electronic component 430 may be defined as the height H51 including the conductive connection terminals 403 . The height H5 of the mounted electronic component 430 may be equal to the sum of the height H51 of the conductive connection terminal 403 and the height H50 of the electronic component 430 ′ before being mounted. The sum of the height H1 of the mounted first semiconductor package 100 and the thickness A1 of the first thermal conductive layer 710 may be greater than the height H5 of the mounted electronic component 430 . Although the electronic components 430 are disposed on the upper surface 500 a of the substrate 500 , the heat generated from the first semiconductor package 100 can be smoothly discharged to the heat dissipation structure 600 through the first heat conduction layer 710 . As another example, the electronic components 430 may not be provided. In the drawings other than FIG. 1E , the conductive connection terminals 403 are omitted for simplicity, but the inventive concept is not limited thereto. In the following, the electrical connection of the semiconductor packages 100, 200 and 300 will be explained.

如圖1C中所示,第一半導體封裝100經由基板500的互連件505而電性連接至第二半導體封裝200、第三半導體封裝300及導電端子550。第二半導體封裝200可經由基板500的互連件505而電性連接至第一半導體封裝100、第三半導體封裝300及導電端子550。第三半導體封裝300可經由基板500的互連件505而電性連接至第一半導體封裝100、第二半導體封裝200及導電端子550。 As shown in FIG. 1C , the first semiconductor package 100 is electrically connected to the second semiconductor package 200 , the third semiconductor package 300 and the conductive terminals 550 via the interconnects 505 of the substrate 500 . The second semiconductor package 200 may be electrically connected to the first semiconductor package 100 , the third semiconductor package 300 and the conductive terminals 550 via the interconnects 505 of the substrate 500 . The third semiconductor package 300 may be electrically connected to the first semiconductor package 100 , the second semiconductor package 200 and the conductive terminals 550 via the interconnects 505 of the substrate 500 .

在基板500與第一半導體封裝100之間的間隙中可設置 有第一底部填充膜160以密封第一連接端子150。在基板500與第二半導體封裝200之間的間隙中可設置有第二底部填充膜260以密封第二連接端子250。在基板500與第三半導體封裝300之間的間隙中可設置有第三底部填充膜360以密封第三連接端子350。第一底部填充膜160、第二底部填充膜260及第三底部填充膜360可包含例如環氧樹脂系聚合物等絕緣聚合物。由於設置有第一底部填充膜160、第二底部填充膜260及第三底部填充膜360,因此第一連接端子150、第二連接端子250及第三連接端子350的接合可靠性可改善。與所說明實施例不同,可省略第一底部填充膜160、第二底部填充膜260及第三底部填充膜360中的至少一者。 may be disposed in the gap between the substrate 500 and the first semiconductor package 100 There is a first underfill film 160 to seal the first connection terminals 150 . A second underfill film 260 may be disposed in the gap between the substrate 500 and the second semiconductor package 200 to seal the second connection terminal 250 . A third underfill film 360 may be disposed in the gap between the substrate 500 and the third semiconductor package 300 to seal the third connection terminal 350 . The first underfill film 160 , the second underfill film 260 and the third underfill film 360 may include insulating polymers such as epoxy-based polymers. Since the first underfill film 160 , the second underfill film 260 and the third underfill film 360 are provided, the bonding reliability of the first connection terminal 150 , the second connection terminal 250 and the third connection terminal 350 can be improved. Unlike the illustrated embodiment, at least one of the first underfill film 160, the second underfill film 260, and the third underfill film 360 may be omitted.

在基板500的上表面500a上可進一步設置有擋壩結構(dam structure)590。擋壩結構590可安置於第三半導體封裝300與第一被動元件400之間。擋壩結構590可使用液態樹脂來形成。儘管圖式中未示出,然而基板500可包括多個層,且所述層中的最上側層可包含例如阻焊劑材料(solder resist material)等絕緣聚合物。在一個實例中,擋壩結構590可與基板500的最上側層一體地形成。在此種情形中,擋壩結構590可連接至基板500的最上側層而不存在介面。作為另一實例,擋壩結構590可包含與基板500的材料不同的材料。舉例而言,擋壩結構590可由與第一底部填充膜160、第二底部填充膜260及第三底部填充膜360中的任一者相同的材料形成。擋壩結構590的高度可等於或小於經安裝的第一半導體封裝100的高度H1與第一熱傳導層710的厚度 A1之和。 A dam structure 590 may be further provided on the upper surface 500a of the substrate 500 . The dam structure 590 may be disposed between the third semiconductor package 300 and the first passive element 400 . The dam structure 590 may be formed using liquid resin. Although not shown in the drawings, the substrate 500 may include multiple layers, and an uppermost layer of the layers may include an insulating polymer such as a solder resist material. In one example, the dam structure 590 may be integrally formed with the uppermost layer of the substrate 500 . In this case, the dam structure 590 can be connected to the uppermost layer of the substrate 500 without an interface. As another example, the dam structure 590 may comprise a different material than that of the substrate 500 . For example, the dam structure 590 may be formed of the same material as any of the first underfill film 160 , the second underfill film 260 , and the third underfill film 360 . The height of the dam structure 590 may be equal to or smaller than the height H1 of the mounted first semiconductor package 100 and the thickness of the first thermal conduction layer 710 The sum of A1.

擋壩結構590的排列及數目可作出各種潤飾。舉例而言,擋壩結構590可安置於第一半導體封裝100與第一被動元件400之間。作為另一實例,擋壩結構590可安置於第二半導體封裝200與第一被動元件400之間。如圖1A中所示,可設置有多個擋壩結構590。擋壩結構590可彼此間隔開。在下文中,將更詳細地闡述第一半導體封裝100、第二半導體封裝200及第三半導體封裝300中的每一者。 Various modifications can be made to the arrangement and number of dam structures 590 . For example, the dam structure 590 may be disposed between the first semiconductor package 100 and the first passive device 400 . As another example, the dam structure 590 may be disposed between the second semiconductor package 200 and the first passive element 400 . As shown in FIG. 1A, a plurality of dam structures 590 may be provided. The dam structures 590 may be spaced apart from each other. Hereinafter, each of the first semiconductor package 100 , the second semiconductor package 200 , and the third semiconductor package 300 will be explained in more detail.

圖1F是示出根據示例性實施例的封裝系統的圖,其對應於沿圖1A所示線I-II截取的剖面。在下文中,將省略與上述內容重覆的內容。在對圖1F的說明中,一起闡述圖1A、圖1B及圖1C。 FIG. 1F is a diagram illustrating a packaging system according to an exemplary embodiment, which corresponds to a cross-section taken along line I-II shown in FIG. 1A . Hereinafter, content overlapping the above-mentioned content will be omitted. In the description of FIG. 1F , FIGS. 1A , 1B and 1C are described together.

參照圖1F,封裝系統1a包括基板500、第一半導體封裝100、第二半導體封裝200及第三半導體封裝300、第一被動元件400、第一熱傳導層710、第二熱傳導層720及第三熱傳導層730以及散熱結構600。 1F , the packaging system 1 a includes a substrate 500 , a first semiconductor package 100 , a second semiconductor package 200 and a third semiconductor package 300 , a first passive element 400 , a first thermal conduction layer 710 , a second thermal conduction layer 720 and a third thermal conduction layer Layer 730 and heat dissipation structure 600 .

第一半導體封裝100可包括第一基板110、第一半導體晶片120及第一模製層130。作為實例,印刷電路板(PCB)可用作基板500。作為另一實例,重佈線層(redistribution layer)可用作基板500。第一半導體晶片120可以倒裝晶片(flip-chip)方式安裝於第一基板110上。在第一半導體晶片120與第一基板110之間可設置有連接部分。連接部分可包括焊球、柱、凸塊或球柵 陣列。第一半導體晶片120可為系統晶片(SOC)、邏輯晶片或應用處理器(application processor,AP)晶片。第一半導體晶片120可包括具有不同功能的電路。第一半導體晶片120可包括邏輯電路及記憶體電路。第一半導體晶片120可更包括數位積體電路(integrated circuit,IC)、無線射頻積體電路(radio frequency integrated circuit,RFIC)及輸入/輸出電路中的至少一者。自第一半導體封裝100產生熱可意指自第一半導體晶片120產生熱。 The first semiconductor package 100 may include a first substrate 110 , a first semiconductor wafer 120 and a first molding layer 130 . As an example, a printed circuit board (PCB) may be used as the substrate 500 . As another example, a redistribution layer may be used as the substrate 500 . The first semiconductor wafer 120 may be mounted on the first substrate 110 in a flip-chip manner. A connection portion may be provided between the first semiconductor wafer 120 and the first substrate 110 . Connections may include solder balls, posts, bumps or ball grids array. The first semiconductor die 120 may be a system-on-chip (SOC), a logic die, or an application processor (AP) die. The first semiconductor wafer 120 may include circuits having different functions. The first semiconductor chip 120 may include logic circuits and memory circuits. The first semiconductor chip 120 may further include at least one of a digital integrated circuit (IC), a radio frequency integrated circuit (RFIC) and an input/output circuit. Generating heat from the first semiconductor package 100 may mean generating heat from the first semiconductor wafer 120 .

第一模製層130可安置於第一基板110上以覆蓋第一半導體晶片120。第一模製層130覆蓋第一半導體晶片120的側表面及上表面以密封第一半導體晶片120。在此種情形中,第一半導體封裝100的上表面可對應於第一模製層130的上表面。第一模製層130可包含例如環氧樹脂模製化合物(epoxy molding compound)等絕緣聚合物。第一模製層130可更延伸至第一基板110與第一半導體晶片120之間的間隙中。與所示者不同,在第一基板110與第一半導體晶片120之間的間隙中可填充有附加底部填充圖案。底部填充圖案可通過對非導電性膏體或非導電性膜進行熱壓縮的方法或者通過毛細底部填充製程(capillary underfill process)來形成。經安裝的第一半導體封裝100的高度H1被定義為第一連接端子150的高度、第一基板110的高度及第一模製層130的高度之和。 The first molding layer 130 may be disposed on the first substrate 110 to cover the first semiconductor wafer 120 . The first molding layer 130 covers the side surface and the upper surface of the first semiconductor wafer 120 to seal the first semiconductor wafer 120 . In this case, the upper surface of the first semiconductor package 100 may correspond to the upper surface of the first molding layer 130 . The first molding layer 130 may include an insulating polymer such as an epoxy molding compound. The first molding layer 130 may further extend into the gap between the first substrate 110 and the first semiconductor wafer 120 . Different from what is shown, additional underfill patterns may be filled in the gap between the first substrate 110 and the first semiconductor wafer 120 . The underfill pattern may be formed by a method of thermally compressing a non-conductive paste or a non-conductive film or by a capillary underfill process. The height H1 of the mounted first semiconductor package 100 is defined as the sum of the height of the first connection terminal 150 , the height of the first substrate 110 and the height of the first molding layer 130 .

第二半導體封裝200可包括第二基板210、第二半導體晶片220及第二模製層230。印刷電路板(PCB)或重佈線層可用 作基板500。第二半導體晶片220可為與第一半導體晶片120不同類型的半導體晶片。舉例而言,第二半導體晶片220可充當記憶體晶片。記憶體晶片可包括動態隨機存取記憶體(dynamic random access memory,DRAM)晶片。作為另一實例,記憶體晶片可包括靜態隨機存取記憶體(static random access memory,SRAM)、磁性隨機存取記憶體(magnetic random access memory,MRAM)及/或反及快閃記憶體(NAND flash memory)。自第二半導體封裝200產生熱可意指自第二半導體晶片220產生熱。第二半導體晶片220可以倒裝晶片方法或接合導線方法(bonding wire method)被安裝。當第二半導體晶片220是以倒裝晶片方式安裝時,在第二基板210與第二半導體晶片220之間的間隙中可填充有附加底部填充圖案。第二半導體封裝200可包括多個第二半導體晶片220。作為另一實例,第二半導體封裝200可包括單個第二半導體晶片220。第二模製層230覆蓋第二半導體晶片220的側表面及第二半導體晶片220的上表面以密封第二半導體晶片220。在此種情形中,第二半導體封裝200的上表面可對應於第二模製層230的上表面。與所示者不同,第二模製層230覆蓋第二半導體晶片220的側表面,且可暴露出上表面。在此種情形中,第二半導體封裝200的上表面可對應於第二模製層230的上表面及第二半導體晶片220的藉由第二模製層230而暴露出的上表面。第二模製層230可包含例如環氧樹脂系聚合物等絕緣聚合物。經安裝的第二半導體封裝200的高度H2被定義為第二連接端子250的高度、第二基 板210的高度及第二模製層230的高度之和。 The second semiconductor package 200 may include a second substrate 210 , a second semiconductor die 220 and a second molding layer 230 . Printed circuit board (PCB) or redistribution layers available The substrate 500 is used. The second semiconductor wafer 220 may be a different type of semiconductor wafer from the first semiconductor wafer 120 . For example, the second semiconductor chip 220 may function as a memory chip. The memory chips may include dynamic random access memory (DRAM) chips. As another example, the memory chip may include static random access memory (SRAM), magnetic random access memory (MRAM), and/or NAND flash memory (NAND). flash memory). Generating heat from the second semiconductor package 200 may mean generating heat from the second semiconductor wafer 220 . The second semiconductor wafer 220 may be mounted by a flip-chip method or a bonding wire method. When the second semiconductor wafer 220 is flip-chip mounted, an additional underfill pattern may be filled in the gap between the second substrate 210 and the second semiconductor wafer 220 . The second semiconductor package 200 may include a plurality of second semiconductor wafers 220 . As another example, the second semiconductor package 200 may include a single second semiconductor die 220 . The second molding layer 230 covers the side surface of the second semiconductor wafer 220 and the upper surface of the second semiconductor wafer 220 to seal the second semiconductor wafer 220 . In this case, the upper surface of the second semiconductor package 200 may correspond to the upper surface of the second molding layer 230 . Unlike what is shown, the second molding layer 230 covers the side surfaces of the second semiconductor wafer 220 and may expose the upper surface. In this case, the upper surface of the second semiconductor package 200 may correspond to the upper surface of the second molding layer 230 and the upper surface of the second semiconductor chip 220 exposed by the second molding layer 230 . The second molding layer 230 may include an insulating polymer such as an epoxy-based polymer. The height H2 of the mounted second semiconductor package 200 is defined as the height of the second connection terminal 250, the second base The sum of the height of the board 210 and the height of the second molding layer 230 .

第三半導體封裝300可包括第三基板310、第三半導體晶片320及第三模製層330。重佈線層或印刷電路板可用作第三基板310。當使用重佈線層作為第三基板310時,可以扇出型面板級封裝(fan-out panel level package)或扇出型晶圓級封裝(fan-out wafer level package)來製作第三半導體封裝300。第三半導體晶片320可為與第一半導體晶片120及第二半導體晶片220不同類型的半導體晶片。舉例而言,第三半導體晶片320可包括電力管理積體電路(power management integrated circuit,PMIC)以充當電力管理晶片。自第三半導體封裝300產生熱可意指自第三半導體晶片320產生熱。第三模製層330可設置於第三基板310上以覆蓋第三半導體晶片320的上表面及側表面。在此種情形中,第三半導體封裝300的上表面可對應於第三模製層330的上表面。與所示者不同,第三模製層330覆蓋第三半導體晶片320的側表面,且可暴露出上表面。在此種情形中,第三半導體封裝300的上表面可對應於第三模製層330的上表面及第三半導體晶片320的藉由第三模製層330而暴露出的上表面。第三模製層330可包含例如環氧樹脂系聚合物等絕緣聚合物。經安裝的第三半導體封裝300的高度H3被定義為第三連接端子350的高度、第三基板310的高度及第三模製層330的高度之和。第三半導體封裝300的形成可包括:將第三半導體晶片320設置於載體基板上;形成覆蓋第三半導體晶片320的第三模製層330;移除載體基板以暴露 出第三半導體晶片320的下表面;以及在被暴露出的第三半導體晶片320的下表面及模製層的下表面上形成重佈線層。在此種情形中,重佈線層可為第三基板310。 The third semiconductor package 300 may include a third substrate 310 , a third semiconductor die 320 and a third molding layer 330 . A redistribution layer or a printed circuit board may be used as the third substrate 310 . When the redistribution layer is used as the third substrate 310, the third semiconductor package 300 can be fabricated in a fan-out panel level package or a fan-out wafer level package. . The third semiconductor wafer 320 may be a different type of semiconductor wafer from the first semiconductor wafer 120 and the second semiconductor wafer 220 . For example, the third semiconductor chip 320 may include a power management integrated circuit (PMIC) to function as a power management chip. Generating heat from the third semiconductor package 300 may mean generating heat from the third semiconductor wafer 320 . The third molding layer 330 may be disposed on the third substrate 310 to cover the upper surface and the side surface of the third semiconductor wafer 320 . In this case, the upper surface of the third semiconductor package 300 may correspond to the upper surface of the third molding layer 330 . Unlike what is shown, the third molding layer 330 covers the side surfaces of the third semiconductor wafer 320 and may expose the upper surface. In this case, the upper surface of the third semiconductor package 300 may correspond to the upper surface of the third molding layer 330 and the upper surface of the third semiconductor wafer 320 exposed by the third molding layer 330 . The third molding layer 330 may include an insulating polymer such as an epoxy-based polymer. The height H3 of the mounted third semiconductor package 300 is defined as the sum of the height of the third connection terminal 350 , the height of the third substrate 310 and the height of the third molding layer 330 . The formation of the third semiconductor package 300 may include: disposing the third semiconductor die 320 on the carrier substrate; forming a third molding layer 330 covering the third semiconductor die 320; removing the carrier substrate to expose exposing the lower surface of the third semiconductor wafer 320; and forming a redistribution layer on the exposed lower surface of the third semiconductor wafer 320 and the lower surface of the molding layer. In this case, the redistribution layer may be the third substrate 310 .

圖1G對應於圖1A所示區III的放大圖。圖1H是沿圖1G所示線I'-II’截取的剖視圖。在以下說明中,一起參照圖1A、圖1B、圖1C及圖1D。 FIG. 1G corresponds to an enlarged view of region III shown in FIG. 1A. Fig. 1H is a cross-sectional view taken along the line I'-II' shown in Fig. 1G. In the following description, FIG. 1A , FIG. 1B , FIG. 1C , and FIG. 1D are referred to together.

參照圖1G及圖1H,在第一模製層130上可設置有第一標記139。舉例而言,第一標記139可設置於第一模製層130的上表面上。與此不同,第一標記139可設置於第一模製層130的側表面上。第一標記139可為位於第一模製層130的一個表面上的凹陷部分。第一標記139的形成可包括移除第一模製層130的一部分。當第一標記139形成於第一半導體晶片120上時,第一半導體晶片120在第一標記139的形成期間可能受到損傷。舉例而言,在第一半導體晶片120上或在第一半導體晶片120中可能形成裂紋(crack)。根據示例性實施例,第一標記139可設置於第一模製層130上,以使第一半導體晶片120在第一標記139的形成製程中可不受到損傷。第一標記139可提供並顯示關於第一半導體封裝100的資訊。在除圖1G至圖1I以外的各圖式中,為方便起見,省略第一標記139,但發明概念並非僅限於此。 Referring to FIGS. 1G and 1H , a first mark 139 may be disposed on the first molding layer 130 . For example, the first mark 139 may be disposed on the upper surface of the first molding layer 130 . Unlike this, the first mark 139 may be disposed on the side surface of the first molding layer 130 . The first marks 139 may be recessed portions on one surface of the first molding layer 130 . The formation of the first mark 139 may include removing a portion of the first mold layer 130 . When the first marks 139 are formed on the first semiconductor wafer 120 , the first semiconductor wafer 120 may be damaged during formation of the first marks 139 . For example, cracks may be formed on or in the first semiconductor wafer 120 . According to an exemplary embodiment, the first marks 139 may be disposed on the first molding layer 130 so that the first semiconductor wafer 120 may not be damaged during the formation process of the first marks 139 . The first indicia 139 may provide and display information about the first semiconductor package 100 . In the drawings other than FIGS. 1G to 1I , the first reference numeral 139 is omitted for convenience, but the inventive concept is not limited thereto.

在第一半導體封裝100的上表面上可形成有第一熱傳導層710。第一熱傳導層710的形成可包括在第一半導體封裝100上設置熱介面材料並接著將所述熱介面材料固化。在被固化之前 的熱介面材料可具有流動性(fluidity)。在第一熱傳導層710的形成製程中,即使位於第一半導體封裝100的上表面的邊緣區上的熱介面材料向下流動至第一半導體封裝100的側表面100c,位於第一半導體封裝100的上表面的中心區上的熱介面材料仍可不向下流動。因此,第一熱傳導層710可很好地填充第一半導體封裝100的上表面的中心區與散熱結構600之間的間隙。舉例而言,位於第一半導體封裝100的中心區中的第一熱傳導層710的上表面710a可物理地接觸散熱結構600。根據示例性實施例,由於設置有第一模製層130,因此在平面圖中,第一半導體晶片120可設置於第一半導體封裝100的中心區中。因此,即使熱介面材料在第一熱傳導層710的形成製程中部分地向下流動,第一熱傳導層710仍可很好地將第一半導體晶片120的熱傳導至第一散熱結構610。當第一模製層130包括第一標記139、第一熱傳導層710可延伸至第一標記139中。參照圖1C,在第二模製層230的上表面上可設置有第二熱傳導層720。第二熱傳導層720的形成可藉由與在第一熱傳導層710的形成中所闡述的方法實質上相同的方法來執行。儘管熱介面材料在第二熱傳導層720的形成期間部分地向下流動,然而第二熱傳導層720仍可很好地填充第二半導體封裝200的上表面的中心區與散熱結構600之間的間隙。第二半導體封裝200的中心區可為設置有第二半導體晶片220的區。因此,自第二半導體封裝220產生的熱可經由第二熱傳導層720而很好地散發至散熱結構600。 A first thermal conduction layer 710 may be formed on the upper surface of the first semiconductor package 100 . The formation of the first thermally conductive layer 710 may include disposing a thermal interface material on the first semiconductor package 100 and then curing the thermal interface material. before being cured The thermal interface material can have fluidity. During the formation process of the first thermal conduction layer 710 , even if the thermal interface material located on the edge region of the upper surface of the first semiconductor package 100 flows down to the side surface 100 c of the first semiconductor package 100 , the thermal interface material located on the side surface 100 c of the first semiconductor package 100 The thermal interface material on the central region of the upper surface may still not flow down. Therefore, the first heat conduction layer 710 may well fill the gap between the central region of the upper surface of the first semiconductor package 100 and the heat dissipation structure 600 . For example, the upper surface 710 a of the first thermally conductive layer 710 located in the central region of the first semiconductor package 100 may physically contact the heat dissipation structure 600 . According to exemplary embodiments, since the first molding layer 130 is provided, the first semiconductor wafer 120 may be disposed in the central region of the first semiconductor package 100 in a plan view. Therefore, even if the thermal interface material partially flows downward during the forming process of the first thermal conduction layer 710 , the first thermal conduction layer 710 can still conduct the heat of the first semiconductor wafer 120 to the first heat dissipation structure 610 well. When the first molding layer 130 includes the first marks 139 , the first heat conduction layer 710 may extend into the first marks 139 . Referring to FIG. 1C , a second heat conduction layer 720 may be disposed on the upper surface of the second molding layer 230 . The formation of the second thermally conductive layer 720 may be performed by substantially the same method as set forth in the formation of the first thermally conductive layer 710 . Although the thermal interface material partially flows downward during the formation of the second thermally conductive layer 720 , the second thermally conductive layer 720 may well fill the gap between the central region of the upper surface of the second semiconductor package 200 and the heat dissipation structure 600 . The central region of the second semiconductor package 200 may be the region where the second semiconductor wafer 220 is disposed. Therefore, the heat generated from the second semiconductor package 220 can be well dissipated to the heat dissipation structure 600 through the second heat conduction layer 720 .

儘管圖式中未示出,然而在第二模製層230上可進一步設置有第二標記。第二標記可為第二模製層230的凹陷部分。 Although not shown in the drawings, second marks may be further provided on the second molding layer 230 . The second mark may be a recessed portion of the second molding layer 230 .

在第三模製層330的上表面上可形成有第三熱傳導層730。第三熱傳導層730的形成可藉由與在第一熱傳導層710的形成中所闡述的方法實質上相同的方法來執行。此時,儘管熱介面材料在第三熱傳導層730的形成期間部分地向下流動,然而第三熱傳導層730仍可很好地填充第三半導體封裝300的上表面的中心區與散熱結構600之間的間隙。第三半導體封裝300的中心區可為設置有第三半導體晶片320的區。因此,第三半導體封裝300的熱特性可得到改善。儘管圖式中未示出,然而在第三模製層330上可進一步設置有第三標記。第三標記可為第三模製層330的凹陷部分。 A third heat conduction layer 730 may be formed on the upper surface of the third molding layer 330 . The formation of the third thermally conductive layer 730 may be performed by substantially the same method as set forth in the formation of the first thermally conductive layer 710 . At this time, although the thermal interface material partially flows downward during the formation of the third thermal conduction layer 730 , the third thermal conduction layer 730 can still well fill the space between the central region of the upper surface of the third semiconductor package 300 and the heat dissipation structure 600 . gap between. The central area of the third semiconductor package 300 may be the area where the third semiconductor wafer 320 is disposed. Accordingly, thermal characteristics of the third semiconductor package 300 may be improved. Although not shown in the drawings, a third mark may be further provided on the third molding layer 330 . The third mark may be a recessed portion of the third molding layer 330 .

圖1I是用於闡釋根據示例性實施例的第一半導體封裝的圖,且對應於沿圖1G所示線I'-II'截取的剖面。 FIG. 1I is a diagram for explaining a first semiconductor package according to an exemplary embodiment, and corresponds to a cross-section taken along the line I′-II′ shown in FIG. 1G .

參照圖1G及圖1I,第一半導體封裝100可包括第一基板110、第一半導體晶片120及第一模製層130。第一模製層130覆蓋第一半導體晶片120的側表面,且可暴露出第一半導體晶片120的上表面。在此種情形中,第一半導體封裝100的上表面可對應於第一模製層130的上表面及第一半導體晶片120的藉由第一模製層130而暴露出的上表面。被暴露出的第一半導體晶片120的上表面可直接物理地接觸第一熱傳導層710。自第一半導體晶片120產生的熱可經由第一熱傳導層710而傳遞至散熱結構600。因 此,第一半導體晶片120的散熱特性可得到進一步改善。 Referring to FIGS. 1G and 1I , the first semiconductor package 100 may include a first substrate 110 , a first semiconductor chip 120 and a first molding layer 130 . The first molding layer 130 covers the side surface of the first semiconductor wafer 120 and may expose the upper surface of the first semiconductor wafer 120 . In this case, the upper surface of the first semiconductor package 100 may correspond to the upper surface of the first molding layer 130 and the upper surface of the first semiconductor wafer 120 exposed by the first molding layer 130 . The exposed upper surface of the first semiconductor wafer 120 may directly physically contact the first thermally conductive layer 710 . The heat generated from the first semiconductor wafer 120 may be transferred to the heat dissipation structure 600 through the first thermal conduction layer 710 . because Therefore, the heat dissipation characteristics of the first semiconductor wafer 120 can be further improved.

圖2A是示出根據示例性實施例的封裝系統的平面圖。圖2B是沿圖2A所示線I-II截取的剖視圖。在下文中,將省略與上述內容重覆的內容。 FIG. 2A is a plan view illustrating a packaging system according to an exemplary embodiment. FIG. 2B is a cross-sectional view taken along the line I-II shown in FIG. 2A. Hereinafter, content overlapping the above-mentioned content will be omitted.

參照圖2A及圖2B,封裝系統1b包括基板500、第一半導體封裝100、第二半導體封裝200及第三半導體封裝300、第一被動元件400、第一熱傳導層710、第二熱傳導層720及第三熱傳導層730以及散熱結構600。基板500、第一半導體封裝100、第二半導體封裝200及第三半導體封裝300、第一被動元件400以及第一熱傳導層710、第二熱傳導層720及第三熱傳導層730可與以上參照圖1A至圖1I所述者實質上相同。 2A and 2B, the packaging system 1b includes a substrate 500, a first semiconductor package 100, a second semiconductor package 200 and a third semiconductor package 300, a first passive element 400, a first thermally conductive layer 710, a second thermally conductive layer 720 and The third heat conduction layer 730 and the heat dissipation structure 600 . The substrate 500 , the first semiconductor package 100 , the second semiconductor package 200 and the third semiconductor package 300 , the first passive element 400 and the first thermally conductive layer 710 , the second thermally conductive layer 720 and the third thermally conductive layer 730 may be the same as those described above with reference to FIG. 1A Substantially the same as described to Figure II.

在基板500的上表面500a上可設置有接地圖案。接地圖案可包括接地接墊510G。導電端子550中的至少一者可充當接地端子。接地電壓可經由接地端子及基板500而施加至接地接墊510G。 A ground pattern may be provided on the upper surface 500a of the substrate 500 . The ground pattern may include ground pads 510G. At least one of the conductive terminals 550 may serve as a ground terminal. A ground voltage may be applied to the ground pad 510G via the ground terminal and the substrate 500 .

散熱結構600可包括第二散熱結構620。第二散熱結構620可包括本體部分621及腿部分622。第二散熱結構620的本體部分621可相似於先前參照圖1A至圖1C所述的第一散熱結構610。散熱結構600的下表面600b可包括第二散熱結構620的本體部分621的下表面。舉例而言,本體部分621可設置於第一半導體封裝100的上表面、第二半導體封裝200的上表面及第三半導體封裝300的上表面上。第一熱傳導層710可物理地接觸第二 散熱結構620的本體部分621的下表面。 The heat dissipation structure 600 may include a second heat dissipation structure 620 . The second heat dissipation structure 620 may include a body portion 621 and a leg portion 622 . The body portion 621 of the second heat dissipation structure 620 may be similar to the first heat dissipation structure 610 previously described with reference to FIGS. 1A to 1C . The lower surface 600b of the heat dissipation structure 600 may include the lower surface of the body portion 621 of the second heat dissipation structure 620 . For example, the body portion 621 may be disposed on the upper surface of the first semiconductor package 100 , the upper surface of the second semiconductor package 200 , and the upper surface of the third semiconductor package 300 . The first thermally conductive layer 710 may physically contact the second The lower surface of the body portion 621 of the heat dissipation structure 620 .

第二散熱結構620的腿部分622可設置於本體部分621的邊緣區與基板500之間。第二散熱結構620的腿部分622可連接至本體部分621。如圖2A中所示,第一半導體封裝100、第二半導體封裝200、第三半導體封裝300及第一被動元件400可與第二散熱結構620的腿部分622間隔開。在平面圖中,腿部分622可設置於基板500的邊緣區中。第二散熱結構620可包括導熱材料。 The leg portion 622 of the second heat dissipation structure 620 may be disposed between the edge region of the body portion 621 and the substrate 500 . The leg portion 622 of the second heat dissipation structure 620 may be connected to the body portion 621 . As shown in FIG. 2A , the first semiconductor package 100 , the second semiconductor package 200 , the third semiconductor package 300 , and the first passive element 400 may be spaced apart from the leg portions 622 of the second heat dissipation structure 620 . In plan view, the leg portion 622 may be disposed in an edge region of the substrate 500 . The second heat dissipation structure 620 may include a thermally conductive material.

第二散熱結構620具有導電性且可屏蔽第一半導體封裝100、第二半導體封裝200及第三半導體封裝300的電磁干擾(electromagnetic interference,EMI)。電磁干擾意指自一電性元件輻射或傳導的電磁波對其他電性元件的接收/傳輸功能造成干擾。藉由第二散熱結構620,第一半導體封裝100、第二半導體封裝200及第三半導體封裝300以及第一被動元件400的操作可不干擾其他封裝的操作或可不被其他封裝所干擾。 The second heat dissipation structure 620 has conductivity and can shield the electromagnetic interference (EMI) of the first semiconductor package 100 , the second semiconductor package 200 and the third semiconductor package 300 . Electromagnetic interference means that electromagnetic waves radiated or conducted from one electrical component interfere with the receiving/transmitting function of other electrical components. With the second heat dissipation structure 620 , the operations of the first semiconductor package 100 , the second semiconductor package 200 , the third semiconductor package 300 and the first passive element 400 may not interfere with the operation of other packages or may not be interfered by other packages.

在基板500與第二散熱結構620的腿部分622之間可設置有黏合圖案741及742以將第二散熱結構620固定至基板500。黏合圖案741及742可包括導電黏合圖案741及絕緣黏合圖案742。導電黏合圖案741可設置於接地接墊510G與第二散熱結構620的腿部分622之間。第二散熱結構620可經由導電黏合圖案741而連接至接地接墊510G。 Adhesive patterns 741 and 742 may be disposed between the substrate 500 and the leg portions 622 of the second heat dissipation structure 620 to fix the second heat dissipation structure 620 to the substrate 500 . The adhesive patterns 741 and 742 may include a conductive adhesive pattern 741 and an insulating adhesive pattern 742 . The conductive adhesive pattern 741 may be disposed between the ground pad 510G and the leg portion 622 of the second heat dissipation structure 620 . The second heat dissipation structure 620 may be connected to the ground pad 510G via the conductive adhesive pattern 741 .

若在散熱結構600中累積有超過一定量的電荷,則所述 電荷可自散熱結構600流動至另一導電組件中而使所述導電組件受到損傷。導電組件包括以下中的至少一者:第一半導體晶片120、第二半導體晶片220及第三半導體晶片320中的積體電路及導線、第一基板110、第二基板210及第三基板310中的導線、第一連接端子150、第二連接端子250、第三連接端子350以及基板500中的互連件。根據示例性實施例,接地電壓可藉由導電黏合圖案741而施加至第二散熱結構620。因此,第二散熱結構620可限制及/或防止由於靜電放電(electrostatic discharge,ESD)而對封裝系統1b造成電性損傷。 If more than a certain amount of charges are accumulated in the heat dissipation structure 600, the Charges can flow from the heat dissipation structure 600 into another conductive component and damage the conductive component. The conductive components include at least one of the following: integrated circuits and wires in the first semiconductor chip 120 , the second semiconductor chip 220 and the third semiconductor chip 320 , the first substrate 110 , the second substrate 210 and the third substrate 310 The wires, the first connection terminals 150 , the second connection terminals 250 , the third connection terminals 350 , and the interconnects in the substrate 500 . According to an exemplary embodiment, a ground voltage may be applied to the second heat dissipation structure 620 through the conductive adhesive pattern 741 . Therefore, the second heat dissipation structure 620 can limit and/or prevent electrical damage to the packaging system 1b due to electrostatic discharge (ESD).

在基板500與散熱結構600之間可設置有絕緣黏合圖案742。因此,散熱結構600與基板500絕緣,以使可限制及/或防止電性短接(electrical short)的發生。導電黏合圖案741的厚度A5可與絕緣黏合圖案742的厚度實質上相同。 An insulating adhesive pattern 742 may be disposed between the substrate 500 and the heat dissipation structure 600 . Therefore, the heat dissipation structure 600 is insulated from the substrate 500 to limit and/or prevent the occurrence of electrical shorts. The thickness A5 of the conductive adhesive pattern 741 may be substantially the same as the thickness of the insulating adhesive pattern 742 .

第二散熱結構620的腿部分622的高度H7可小於經安裝的第一半導體封裝100的高度H1。此時,腿部分622的高度H7可等於第二散熱結構620的內表面的高度。導電黏合圖案741可物理地接觸腿部分622的下表面。因此,第一熱傳導層710的厚度A1可小於黏合圖案741及742的厚度(例如,導電黏合圖案741的厚度A5)。由於第一熱傳導層710的厚度A1為小的,因此自第一半導體封裝100產生的熱可經由第一熱傳導層710而更快地傳遞至散熱結構600。 The height H7 of the leg portion 622 of the second heat dissipation structure 620 may be smaller than the height H1 of the mounted first semiconductor package 100 . At this time, the height H7 of the leg portion 622 may be equal to the height of the inner surface of the second heat dissipation structure 620 . The conductive adhesive pattern 741 may physically contact the lower surface of the leg portion 622 . Therefore, the thickness A1 of the first thermally conductive layer 710 may be smaller than the thicknesses of the adhesive patterns 741 and 742 (eg, the thickness A5 of the conductive adhesive pattern 741 ). Since the thickness A1 of the first thermal conduction layer 710 is small, the heat generated from the first semiconductor package 100 can be transferred to the heat dissipation structure 600 more quickly through the first thermal conduction layer 710 .

圖2C是示出根據示例性實施例的封裝系統的平面圖。 圖2D是沿圖2C所示線I-II截取的剖視圖。在下文中,將省略與上述內容重覆的內容。 FIG. 2C is a plan view illustrating a packaging system according to an exemplary embodiment. 2D is a cross-sectional view taken along line I-II shown in FIG. 2C. Hereinafter, content overlapping the above-mentioned content will be omitted.

參照圖2C及圖2D,封裝系統1c包括基板500、第一半導體封裝100、第二半導體封裝200及第三半導體封裝300、第一被動元件400、第一熱傳導層710、第二熱傳導層720及第三熱傳導層730以及散熱結構600。散熱結構600可包括參照圖2A及圖2B所述的第二散熱結構620。舉例而言,第二散熱結構620可包括本體部分621及腿部分622。 2C and 2D, the packaging system 1c includes a substrate 500, a first semiconductor package 100, a second semiconductor package 200 and a third semiconductor package 300, a first passive element 400, a first thermally conductive layer 710, a second thermally conductive layer 720 and The third heat conduction layer 730 and the heat dissipation structure 600 . The heat dissipation structure 600 may include the second heat dissipation structure 620 described with reference to FIGS. 2A and 2B . For example, the second heat dissipation structure 620 may include a body portion 621 and a leg portion 622 .

在接地接墊510G與第二散熱結構620的腿部分622之間可設置有導電黏合圖案741以與第二散熱結構620及接地接墊510G連接。與圖2A及圖2B所示實例不同,可不設置絕緣黏合圖案742。第一熱傳導層710的厚度A1可小於導電黏合圖案741的厚度A5。 A conductive adhesive pattern 741 may be disposed between the ground pad 510G and the leg portion 622 of the second heat dissipation structure 620 to connect with the second heat dissipation structure 620 and the ground pad 510G. Unlike the example shown in FIGS. 2A and 2B , the insulating adhesive pattern 742 may not be provided. The thickness A1 of the first thermally conductive layer 710 may be smaller than the thickness A5 of the conductive adhesive pattern 741 .

基板500、第一半導體封裝100、第二半導體封裝200及第三半導體封裝300、第一被動元件400以及第一熱傳導層710、第二熱傳導層720及第三熱傳導層730可與以上參照圖1A至圖1I所述者實質上相同。 The substrate 500 , the first semiconductor package 100 , the second semiconductor package 200 and the third semiconductor package 300 , the first passive element 400 and the first thermally conductive layer 710 , the second thermally conductive layer 720 and the third thermally conductive layer 730 may be the same as those described above with reference to FIG. 1A Substantially the same as described to Figure II.

圖2E是示出根據示例性實施例的封裝系統的圖,其對應於沿圖2C所示線I-II截取的剖面。在下文中,將省略與上述內容重覆的內容。 FIG. 2E is a diagram illustrating a packaging system according to an exemplary embodiment, which corresponds to a cross-section taken along line I-II shown in FIG. 2C . Hereinafter, content overlapping the above-mentioned content will be omitted.

參照圖2C及圖2E,封裝系統1d包括基板500、第一半導體封裝100、第二半導體封裝200及第三半導體封裝300、第一 被動元件400、第一熱傳導層710、第二熱傳導層720及第三熱傳導層730以及散熱結構600。基板500、第一半導體封裝100、第二半導體封裝200及第三半導體封裝300、第一被動元件400以及第一熱傳導層710、第二熱傳導層720及第三熱傳導層730可與以上參照圖1A至圖1E所述者實質上相同。 2C and 2E, the packaging system 1d includes a substrate 500, a first semiconductor package 100, a second semiconductor package 200, a third semiconductor package 300, a first semiconductor package 100, The passive element 400 , the first thermal conduction layer 710 , the second thermal conduction layer 720 , the third thermal conduction layer 730 , and the heat dissipation structure 600 . The substrate 500 , the first semiconductor package 100 , the second semiconductor package 200 and the third semiconductor package 300 , the first passive element 400 and the first thermally conductive layer 710 , the second thermally conductive layer 720 and the third thermally conductive layer 730 may be the same as those described above with reference to FIG. 1A Substantially the same as described to Figure 1E.

散熱結構600可包括第一散熱結構610、第二散熱結構620及散熱層630。第一散熱結構610可與以上參照圖1A至圖1C所述者實質上相同。然而,第一散熱結構610可設置於第二散熱結構620的上表面上。第二散熱結構620可與參照圖2A至圖2D所述的第二散熱結構620實質上相同。舉例而言,第二散熱結構620可包括本體部分621及腿部分622。第一散熱結構610的寬度可等於或寬於第二散熱結構620的寬度。導電黏合圖案741可設置於接地接墊510G與第二散熱結構620之間。作為另一實例,可進一步設置有如在圖2A及圖2B所示實例中所述的絕緣黏合圖案742。散熱層630可內插於第一散熱結構610與第二散熱結構620之間。散熱層630可包含例如熱介面材料。 The heat dissipation structure 600 may include a first heat dissipation structure 610 , a second heat dissipation structure 620 and a heat dissipation layer 630 . The first heat dissipation structure 610 may be substantially the same as that described above with reference to FIGS. 1A-1C . However, the first heat dissipation structure 610 may be disposed on the upper surface of the second heat dissipation structure 620 . The second heat dissipation structure 620 may be substantially the same as the second heat dissipation structure 620 described with reference to FIGS. 2A to 2D . For example, the second heat dissipation structure 620 may include a body portion 621 and a leg portion 622 . The width of the first heat dissipation structure 610 may be equal to or wider than the width of the second heat dissipation structure 620 . The conductive adhesive pattern 741 may be disposed between the ground pad 510G and the second heat dissipation structure 620 . As another example, an insulating adhesive pattern 742 as described in the example shown in FIGS. 2A and 2B may be further provided. The heat dissipation layer 630 may be interposed between the first heat dissipation structure 610 and the second heat dissipation structure 620 . The heat dissipation layer 630 may include, for example, a thermal interface material.

圖3A是示出根據示例性實施例的封裝系統的剖視圖,其對應於沿圖2A所示線I-II截取的剖面。在下文中,將省略與上述內容重覆的內容。 3A is a cross-sectional view illustrating a packaging system according to an exemplary embodiment, which corresponds to a cross-section taken along line I-II shown in FIG. 2A . Hereinafter, content overlapping the above-mentioned content will be omitted.

參照圖2C及圖3A,封裝系統1e包括基板500、第一半導體封裝100、第二半導體封裝200及第三半導體封裝300、第一被動元件400、第一熱傳導層710、第二熱傳導層720及第三熱傳 導層730以及散熱結構600。 2C and 3A, the packaging system 1e includes a substrate 500, a first semiconductor package 100, a second semiconductor package 200 and a third semiconductor package 300, a first passive element 400, a first thermally conductive layer 710, a second thermally conductive layer 720 and third heat transfer The conductive layer 730 and the heat dissipation structure 600 .

除第一基板110、第一半導體晶片120及第一模製層130以外,第一半導體封裝100亦包括第一黏合層141及第一導熱結構140。第一導熱結構140可具有相對高的導熱係數。第一導熱結構140可包含在圖1A至圖1C所示實例中所述的導熱材料。在一個實例中,第一導熱結構140可包括金屬層、熱槽或熱管。作為另一實例,第一導熱結構140可使用水冷卻方法。第一黏合層141可設置於第一模製層130與第一導熱結構140之間。第一黏合層141可包含熱介面材料。在第一半導體封裝100的操作期間,自第一半導體晶片120產生的熱可經由第一黏合層141及第一導熱結構140而傳遞至第一熱傳導層710。 In addition to the first substrate 110 , the first semiconductor chip 120 and the first molding layer 130 , the first semiconductor package 100 also includes a first adhesive layer 141 and a first thermally conductive structure 140 . The first thermally conductive structure 140 may have a relatively high thermal conductivity. The first thermally conductive structure 140 may include the thermally conductive materials described in the examples shown in FIGS. 1A-1C . In one example, the first thermally conductive structure 140 may include a metal layer, a heat slot, or a heat pipe. As another example, the first thermally conductive structure 140 may use a water cooling method. The first adhesive layer 141 may be disposed between the first molding layer 130 and the first thermally conductive structure 140 . The first adhesive layer 141 may include a thermal interface material. During operation of the first semiconductor package 100 , heat generated from the first semiconductor wafer 120 may be transferred to the first thermally conductive layer 710 via the first adhesive layer 141 and the first thermally conductive structure 140 .

根據示例性實施例,第一半導體封裝100的上表面可對應於第一導熱結構140的上表面。經安裝的第一半導體封裝100的高度H1被定義為第一連接端子150的高度、第一基板110的高度、第一模製層130的高度、第一黏合層141的高度及第一導熱結構140的高度之和。即使第一模製層130的上表面設置於較第二半導體封裝200的上表面或第三半導體封裝300的上表面低的水平高度處,藉由提供第一黏合層141及第一導熱結構140,經安裝的第一半導體封裝100的高度H1可大於經安裝的第二半導體封裝200的高度H2及經安裝的第三半導體封裝300的高度H3。第一熱傳導層710的厚度A1可小於第二熱傳導層720的厚度A2及第三熱傳導層730的厚度A3。因此,第一半導體封裝100的熱特 性可得到改善。 According to example embodiments, the upper surface of the first semiconductor package 100 may correspond to the upper surface of the first thermally conductive structure 140 . The height H1 of the mounted first semiconductor package 100 is defined as the height of the first connection terminal 150 , the height of the first substrate 110 , the height of the first molding layer 130 , the height of the first adhesive layer 141 and the first thermally conductive structure The sum of the heights of 140. Even if the upper surface of the first molding layer 130 is disposed at a lower level than the upper surface of the second semiconductor package 200 or the upper surface of the third semiconductor package 300, by providing the first adhesive layer 141 and the first thermally conductive structure 140 , the height H1 of the mounted first semiconductor package 100 may be greater than the height H2 of the mounted second semiconductor package 200 and the height H3 of the mounted third semiconductor package 300 . The thickness A1 of the first thermally conductive layer 710 may be smaller than the thickness A2 of the second thermally conductive layer 720 and the thickness A3 of the third thermally conductive layer 730 . Therefore, the thermal characteristics of the first semiconductor package 100 Sex can be improved.

基板500、第二半導體封裝200及第三半導體封裝300、第一被動元件400、第一熱傳導層710、第二熱傳導層720及第三熱傳導層730以及散熱結構600可與如參照圖1A至圖1F以及圖2A至圖2E所述者實質上相同。 The substrate 500 , the second semiconductor package 200 and the third semiconductor package 300 , the first passive element 400 , the first thermally conductive layer 710 , the second thermally conductive layer 720 and the third thermally conductive layer 730 , and the heat dissipation structure 600 can be similar to those shown in FIGS. 1A to 1A . IF and those described in Figures 2A-2E are substantially the same.

圖3B是示出根據示例性實施例的封裝系統的剖視圖,其對應於沿圖2C所示線I-II截取的剖面。在下文中,將省略與上述內容重覆的內容。 3B is a cross-sectional view illustrating a packaging system according to an exemplary embodiment, which corresponds to a cross-section taken along line I-II shown in FIG. 2C . Hereinafter, content overlapping the above-mentioned content will be omitted.

參照圖2C及圖3B,封裝系統1f包括基板500、第一半導體封裝100、第二半導體封裝200及第三半導體封裝300、第一被動元件400、第一熱傳導層710、第二熱傳導層720及第三熱傳導層730以及散熱結構600。基板500、第一半導體封裝100、及第一被動元件400、第一熱傳導層710、第二熱傳導層720及第三熱傳導層730以及散熱結構600可與以上所述者實質上相同。 2C and 3B, the packaging system 1f includes a substrate 500, a first semiconductor package 100, a second semiconductor package 200 and a third semiconductor package 300, a first passive element 400, a first thermally conductive layer 710, a second thermally conductive layer 720 and The third heat conduction layer 730 and the heat dissipation structure 600 . The substrate 500 , the first semiconductor package 100 , the first passive element 400 , the first thermally conductive layer 710 , the second thermally conductive layer 720 and the third thermally conductive layer 730 and the heat dissipation structure 600 may be substantially the same as those described above.

除第二基板210、第二半導體晶片220及第二模製層230以外,第二半導體封裝200亦包括第二黏合層241及第二導熱結構240。第二導熱結構240可包含導熱材料且可具有相對高的導熱係數。第二導熱結構240可包括金屬層、熱槽或熱管。第二黏合層241可設置於第二模製層230與第二導熱結構240之間。第二黏合層241可包含熱介面材料。在第二半導體封裝200的操作期間,自第二半導體晶片220產生的熱可經由第二黏合層241及第二導熱結構240而傳遞至第二熱傳導層720。 Besides the second substrate 210 , the second semiconductor chip 220 and the second molding layer 230 , the second semiconductor package 200 also includes a second adhesive layer 241 and a second thermally conductive structure 240 . The second thermally conductive structure 240 may include a thermally conductive material and may have a relatively high thermal conductivity. The second thermally conductive structure 240 may include a metal layer, a heat slot or a heat pipe. The second adhesive layer 241 may be disposed between the second molding layer 230 and the second thermally conductive structure 240 . The second adhesive layer 241 may include a thermal interface material. During operation of the second semiconductor package 200 , heat generated from the second semiconductor die 220 may be transferred to the second thermally conductive layer 720 via the second adhesive layer 241 and the second thermally conductive structure 240 .

第二半導體封裝200的上表面可對應於第二導熱結構240的上表面。經安裝的第二半導體封裝200的高度H2被定義為第二連接端子250的高度、第二基板210的高度、第二模製層230的高度、第二黏合層241的高度及第二導熱結構240的高度之和。經安裝的第一半導體封裝100的高度H1可大於經安裝的第二半導體封裝200的高度H2。因此,第一熱傳導層710的厚度A1可小於第二熱傳導層720的厚度A2。 The upper surface of the second semiconductor package 200 may correspond to the upper surface of the second thermally conductive structure 240 . The height H2 of the mounted second semiconductor package 200 is defined as the height of the second connection terminal 250 , the height of the second substrate 210 , the height of the second molding layer 230 , the height of the second adhesive layer 241 and the second heat conduction structure The sum of the heights of 240. The height H1 of the mounted first semiconductor package 100 may be greater than the height H2 of the mounted second semiconductor package 200 . Therefore, the thickness A1 of the first thermally conductive layer 710 may be smaller than the thickness A2 of the second thermally conductive layer 720 .

除第三基板310、第三半導體晶片320及第三模製層330以外,第三半導體封裝300亦包括第三黏合層341及第三導熱結構340。第三導熱結構340可包含導熱材料且可具有相對高的導熱係數。第三導熱結構340可包括金屬層、熱槽或熱管。第三黏合層341可設置於第三模製層330與第三導熱結構340之間。第三黏合層341可包含熱介面材料。在第三半導體封裝300的操作期間,自第三半導體晶片320產生的熱可經由第三黏合層341及第三導熱結構340而傳遞至第三熱傳導層730。 In addition to the third substrate 310 , the third semiconductor chip 320 and the third molding layer 330 , the third semiconductor package 300 also includes a third adhesive layer 341 and a third thermally conductive structure 340 . The third thermally conductive structure 340 may include a thermally conductive material and may have a relatively high thermal conductivity. The third thermally conductive structure 340 may include a metal layer, a heat slot or a heat pipe. The third adhesive layer 341 may be disposed between the third molding layer 330 and the third thermally conductive structure 340 . The third adhesive layer 341 may include a thermal interface material. During the operation of the third semiconductor package 300 , the heat generated from the third semiconductor die 320 may be transferred to the third thermally conductive layer 730 via the third adhesive layer 341 and the third thermally conductive structure 340 .

第三半導體封裝300的上表面可對應於第三導熱結構340的上表面。經安裝的第三半導體封裝300的高度H3被定義為第三連接端子350的高度、第三基板310的高度、第三模製層330的高度、第三黏合層341的高度及第三導熱結構340的高度之和。經安裝的第一半導體封裝100的高度H1可大於經安裝的第三半導體封裝300的高度H3。因此,第一熱傳導層710的厚度A1可小於第三熱傳導層730的厚度A3。 The upper surface of the third semiconductor package 300 may correspond to the upper surface of the third thermally conductive structure 340 . The height H3 of the mounted third semiconductor package 300 is defined as the height of the third connection terminal 350 , the height of the third substrate 310 , the height of the third molding layer 330 , the height of the third adhesive layer 341 and the third thermally conductive structure The sum of the heights of 340. The height H1 of the mounted first semiconductor package 100 may be greater than the height H3 of the mounted third semiconductor package 300 . Therefore, the thickness A1 of the first heat conduction layer 710 may be smaller than the thickness A3 of the third heat conduction layer 730 .

與所示者不同,省略第二黏合層241及第二導熱結構240,且如圖2D中所示,第二熱傳導層720可直接接觸第二模製層230的上表面。作為另一實例,省略第三黏合層341及第三導熱結構340,且第三熱傳導層730可直接接觸第三模製層330的上表面。 Different from what is shown, the second adhesive layer 241 and the second thermally conductive structure 240 are omitted, and as shown in FIG. 2D , the second thermally conductive layer 720 may directly contact the upper surface of the second molding layer 230 . As another example, the third adhesive layer 341 and the third thermally conductive structure 340 are omitted, and the third thermally conductive layer 730 may directly contact the upper surface of the third molding layer 330 .

圖3C是示出根據示例性實施例的封裝系統的剖視圖,其對應於沿圖2C所示線I-II截取的剖面。在下文中,將省略與上述內容重覆的內容。 3C is a cross-sectional view illustrating a packaging system according to an exemplary embodiment, which corresponds to a cross-section taken along line I-II shown in FIG. 2C . Hereinafter, content overlapping the above-mentioned content will be omitted.

參照圖2C及圖3C,封裝系統1g包括基板500、第一半導體封裝100、第二半導體封裝200及第三半導體封裝300、第一被動元件400、第一熱傳導層710、第二熱傳導層720及第三熱傳導層730以及散熱結構600。基板500、第一半導體封裝100、第二半導體封裝200及第三半導體封裝300、第一被動元件400、第一熱傳導層710、第二熱傳導層720及第三熱傳導層730以及散熱結構600與以上所述者實質上相同。 2C and 3C , the packaging system 1g includes a substrate 500 , a first semiconductor package 100 , a second semiconductor package 200 and a third semiconductor package 300 , a first passive element 400 , a first thermally conductive layer 710 , a second thermally conductive layer 720 and The third heat conduction layer 730 and the heat dissipation structure 600 . The substrate 500 , the first semiconductor package 100 , the second semiconductor package 200 and the third semiconductor package 300 , the first passive element 400 , the first thermal conduction layer 710 , the second thermal conduction layer 720 and the third thermal conduction layer 730 , and the heat dissipation structure 600 and above Said are substantially the same.

第一半導體封裝100可與在圖3A所示實例中所述者實質上相同。舉例而言,第一半導體封裝100包括第一基板110、第一半導體晶片120、第一模製層130、第一黏合層141及第一導熱結構140。第二半導體封裝200及第三半導體封裝300可分別與在圖3B所示實例中所述者實質上相同。第二半導體封裝200包括第二基板210、第二半導體晶片220、第二模製層230、第二黏合層241及第二導熱結構240。第三半導體封裝300包括第三基板310、 第三半導體晶片320、第三模製層330、第三黏合層341及第三導熱結構340。 The first semiconductor package 100 may be substantially the same as that described in the example shown in FIG. 3A. For example, the first semiconductor package 100 includes a first substrate 110 , a first semiconductor chip 120 , a first molding layer 130 , a first adhesive layer 141 and a first thermally conductive structure 140 . The second semiconductor package 200 and the third semiconductor package 300, respectively, may be substantially the same as those described in the example shown in FIG. 3B. The second semiconductor package 200 includes a second substrate 210 , a second semiconductor chip 220 , a second molding layer 230 , a second adhesive layer 241 and a second thermally conductive structure 240 . The third semiconductor package 300 includes a third substrate 310, The third semiconductor wafer 320 , the third molding layer 330 , the third adhesive layer 341 and the third thermally conductive structure 340 .

經安裝的第一半導體封裝100的高度H1可大於經安裝的第二半導體封裝200的高度H2及經安裝的第三半導體封裝300的高度H3。第一熱傳導層710的厚度A1可小於第二熱傳導層720的厚度A2及第三熱傳導層730的厚度A3。 The height H1 of the mounted first semiconductor package 100 may be greater than the height H2 of the mounted second semiconductor package 200 and the height H3 of the mounted third semiconductor package 300 . The thickness A1 of the first thermally conductive layer 710 may be smaller than the thickness A2 of the second thermally conductive layer 720 and the thickness A3 of the third thermally conductive layer 730 .

圖4A是示出根據示例性實施例的封裝系統的剖視圖,其對應於沿圖2C所示線I-II截取的剖面。圖4B是示出根據示例性實施例的封裝系統的剖視圖,其對應於沿圖2C所示線I-II截取的剖面。在下文中,將省略與上述內容重覆的內容。 4A is a cross-sectional view illustrating a packaging system according to an exemplary embodiment, which corresponds to a cross-section taken along line I-II shown in FIG. 2C . 4B is a cross-sectional view illustrating a packaging system according to an exemplary embodiment, which corresponds to a cross-section taken along line I-II shown in FIG. 2C . Hereinafter, content overlapping the above-mentioned content will be omitted.

參照圖2C、圖4A及圖4B,封裝系統1h或1i包括基板500、第一半導體封裝100、第二半導體封裝200及第三半導體封裝300、第一被動元件400、第一熱傳導層710以及散熱結構600。基板500、第一半導體封裝100、第二半導體封裝200及第三半導體封裝300、第一被動元件400、第一熱傳導層710以及散熱結構600與以上所述者實質上相同。 2C, 4A and 4B, the packaging system 1h or 1i includes a substrate 500, a first semiconductor package 100, a second semiconductor package 200 and a third semiconductor package 300, a first passive element 400, a first thermally conductive layer 710, and a heat sink Structure 600. The substrate 500 , the first semiconductor package 100 , the second semiconductor package 200 and the third semiconductor package 300 , the first passive element 400 , the first thermally conductive layer 710 and the heat dissipation structure 600 are substantially the same as those described above.

如圖4A中所示,封裝系統1h可不包括第二熱傳導層720。經安裝的第一半導體封裝100的高度H1與第一熱傳導層710的厚度A1之和可大於經安裝的第二半導體封裝200的高度H2。 As shown in FIG. 4A , the packaging system 1 h may not include the second thermally conductive layer 720 . The sum of the height H1 of the mounted first semiconductor package 100 and the thickness A1 of the first thermal conduction layer 710 may be greater than the height H2 of the mounted second semiconductor package 200 .

如圖4B中所示,封裝系統1i可不包括第三熱傳導層730。經安裝的第一半導體封裝100的高度H1與第一熱傳導層710的厚度A1之和可大於經安裝的第三半導體封裝300的高度H3。 As shown in FIG. 4B , the packaging system 1i may not include the third thermally conductive layer 730 . The sum of the height H1 of the mounted first semiconductor package 100 and the thickness A1 of the first thermal conduction layer 710 may be greater than the height H3 of the mounted third semiconductor package 300 .

圖4C是示出根據示例性實施例的封裝系統的剖視圖,其對應於沿圖2C所示線I-II截取的剖面。在下文中,將省略與上述內容重覆的內容。 4C is a cross-sectional view illustrating a packaging system according to an exemplary embodiment, which corresponds to a cross-section taken along line I-II shown in FIG. 2C. Hereinafter, content overlapping the above-mentioned content will be omitted.

參照圖2C及圖4C,封裝系統1j包括基板500、第一半導體封裝100、第二半導體封裝200及第三半導體封裝300、第一被動元件400、第一熱傳導層710、第二熱傳導層720及第三熱傳導層730以及散熱結構600。第一熱傳導層710的厚度A1可小於第二熱傳導層720的厚度A2及第三熱傳導層730的厚度A3。 2C and 4C , the packaging system 1j includes a substrate 500 , a first semiconductor package 100 , a second semiconductor package 200 and a third semiconductor package 300 , a first passive element 400 , a first thermally conductive layer 710 , a second thermally conductive layer 720 and The third heat conduction layer 730 and the heat dissipation structure 600 . The thickness A1 of the first thermally conductive layer 710 may be smaller than the thickness A2 of the second thermally conductive layer 720 and the thickness A3 of the third thermally conductive layer 730 .

在第一被動元件400與散熱結構600之間設置有第四熱傳導層740,以使第四熱傳導層740可物理地接觸第一被動元件400的上表面及散熱結構600的下表面600b。第四熱傳導層740可包含熱介面材料。自第一被動元件400產生的熱可經由第四熱傳導層740而傳遞至散熱結構600。經安裝的第一半導體封裝100的高度H1可大於經安裝的第一被動元件400的高度H4。舉例而言,第一半導體封裝100的上表面可安置於較第一被動元件400的上表面高的水平高度處。因此,第一熱傳導層710的厚度A1可小於第四熱傳導層740的厚度A4。 A fourth heat conduction layer 740 is disposed between the first passive element 400 and the heat dissipation structure 600 , so that the fourth heat conduction layer 740 can physically contact the upper surface of the first passive element 400 and the lower surface 600b of the heat dissipation structure 600 . The fourth thermally conductive layer 740 may include a thermal interface material. The heat generated from the first passive element 400 may be transferred to the heat dissipation structure 600 through the fourth thermal conduction layer 740 . The height H1 of the mounted first semiconductor package 100 may be greater than the height H4 of the mounted first passive element 400 . For example, the upper surface of the first semiconductor package 100 may be disposed at a higher level than the upper surface of the first passive element 400 . Therefore, the thickness A1 of the first thermally conductive layer 710 may be smaller than the thickness A4 of the fourth thermally conductive layer 740 .

作為另一實例,可省略第二熱傳導層720或第三熱傳導層730。 As another example, the second thermally conductive layer 720 or the third thermally conductive layer 730 may be omitted.

在圖3A至圖3C及圖4A至圖4C所示說明中,可省略第一散熱結構610或第二散熱結構620。在此種情形中,可不提供散熱層630。 In the descriptions shown in FIGS. 3A to 3C and FIGS. 4A to 4C , the first heat dissipation structure 610 or the second heat dissipation structure 620 may be omitted. In this case, the heat dissipation layer 630 may not be provided.

在圖4A至圖4C所示說明中,第一半導體封裝100可更包括第一黏合層141及第一導熱結構140。第二半導體封裝200可更包括第二黏合層241及第二導熱結構240。第三半導體封裝300可更包括第三黏合層341及第三導熱結構340。 In the illustration shown in FIGS. 4A to 4C , the first semiconductor package 100 may further include a first adhesive layer 141 and a first thermally conductive structure 140 . The second semiconductor package 200 may further include a second adhesive layer 241 and a second thermally conductive structure 240 . The third semiconductor package 300 may further include a third adhesive layer 341 and a third thermally conductive structure 340 .

圖5A是示出根據示例性實施例的半導體模組的剖視圖。圖5B是用於闡釋根據示例性實施例的第二被動元件的圖,且是示出圖5A所示區C的放大圖的剖視圖。圖5C是用於闡釋根據示例性實施例的下部接墊及導電端子的圖,且示出圖5A所示放大區VI。圖5D是用於闡釋根據示例性實施例的下部接墊的圖。在下文中,將省略與上述內容重覆的內容。 FIG. 5A is a cross-sectional view illustrating a semiconductor module according to an exemplary embodiment. FIG. 5B is a diagram for explaining a second passive element according to an exemplary embodiment, and is a cross-sectional view showing an enlarged view of a region C shown in FIG. 5A . FIG. 5C is a diagram for explaining lower pads and conductive terminals according to an exemplary embodiment, and shows an enlarged region VI shown in FIG. 5A . FIG. 5D is a diagram for explaining a lower pad according to an exemplary embodiment. Hereinafter, content overlapping the above-mentioned content will be omitted.

參照圖1A、圖5A及圖5B,半導體模組10可包括板1000及封裝系統1。舉例而言,可使用印刷電路板作為板1000。在板1000的上表面1000a上可設置有導電接墊1500。導電接墊1500可電性連接至板1000的內部導線(圖中未示出)。在本說明書中,與板1000電性連接可意指與板1000的內部導線電性連接。 Referring to FIGS. 1A , 5A and 5B , a semiconductor module 10 may include a board 1000 and a packaging system 1 . For example, a printed circuit board can be used as the board 1000 . Conductive pads 1500 may be disposed on the upper surface 1000a of the board 1000 . The conductive pads 1500 may be electrically connected to internal wires (not shown in the figure) of the board 1000 . In this specification, being electrically connected with the board 1000 may mean being electrically connected with the internal wires of the board 1000 .

參照圖1A至圖1C所述的封裝系統1可安裝於板1000上,以使可形成半導體模組10。作為另一實例,圖1F所示封裝系統1a、圖2A及圖2B所示封裝系統1b、圖2C及圖2D所示封裝系統1c、圖2E所示封裝系統1d、圖3A所示封裝系統1e、圖3B所示封裝系統1f、圖3C所示封裝系統1g、圖4A所示封裝系統1h、圖4B所示封裝系統1i或圖4C所示封裝系統1j安裝於板1000上,以使可形成半導體模組10。為方便起見,圖1A至圖1C所示 封裝系統1是針對安裝於板1000上的半導體模組10而示出及闡述,但發明概念並非僅限於此。 The packaging system 1 described with reference to FIGS. 1A to 1C may be mounted on a board 1000 so that a semiconductor module 10 may be formed. As another example, the packaging system 1a shown in FIG. 1F, the packaging system 1b shown in FIGS. 2A and 2B, the packaging system 1c shown in FIGS. 2C and 2D, the packaging system 1d shown in FIG. 2E, and the packaging system 1e shown in FIG. 3A , the package system 1f shown in FIG. 3B, the package system 1g shown in FIG. 3C, the package system 1h shown in FIG. 4A, the package system 1i shown in FIG. 4B, or the package system 1j shown in FIG. The semiconductor module 10 . For convenience, Figures 1A to 1C show The packaging system 1 is shown and described with respect to the semiconductor module 10 mounted on the board 1000, but the inventive concept is not limited thereto.

對封裝系統1的封裝包括以使得導電端子550面對板1000的方式將封裝系統1設置在板1000上以及將導電端子550電性連接至導電接墊1500。導電端子550的節距可與導電接墊1500的節距P4實質上相同。導電接墊1500的節距P4可被標準化。舉例而言,導電接墊1500的節距P4可滿足電子裝置工程聯合委員會(Joint Electron Device Engineering Council,JEDEC)標準。導電接墊1500的節距P4可為大的。舉例而言,導電接墊1500的節距P4可為0.65毫米(mm)或大於0.65毫米。 Packaging the package system 1 includes disposing the package system 1 on the board 1000 in such a manner that the conductive terminals 550 face the board 1000 and electrically connecting the conductive terminals 550 to the conductive pads 1500 . The pitch of the conductive terminals 550 may be substantially the same as the pitch P4 of the conductive pads 1500 . The pitch P4 of the conductive pads 1500 may be standardized. For example, the pitch P4 of the conductive pads 1500 can meet the Joint Electron Device Engineering Council (JEDEC) standard. The pitch P4 of the conductive pads 1500 may be large. For example, the pitch P4 of the conductive pads 1500 may be 0.65 millimeters (mm) or greater.

當第一半導體封裝100、第二半導體封裝200及第三半導體封裝300直接安裝於板1000上時,第一連接端子150的節距P1、第二連接端子250的節距P2及第三連接端子350的節距P3中的每一者可需要與導電接墊1500的節距P4實質上相同。根據示例性實施例,第一半導體封裝100、第二半導體封裝200及第三半導體封裝300可經由基板500而連接至板1000。因此,第一連接端子150的節距P1、第二連接端子250的節距P2及第三連接端子350的節距P3被自由設計,而不受導電接墊1500的節距P4所約束。 When the first semiconductor package 100 , the second semiconductor package 200 and the third semiconductor package 300 are directly mounted on the board 1000 , the pitch P1 of the first connection terminal 150 , the pitch P2 of the second connection terminal 250 and the third connection terminal Each of the pitches P3 of 350 may need to be substantially the same as the pitch P4 of the conductive pads 1500 . According to exemplary embodiments, the first semiconductor package 100 , the second semiconductor package 200 , and the third semiconductor package 300 may be connected to the board 1000 via the substrate 500 . Therefore, the pitch P1 of the first connection terminal 150 , the pitch P2 of the second connection terminal 250 and the pitch P3 of the third connection terminal 350 are freely designed without being restricted by the pitch P4 of the conductive pads 1500 .

第一連接端子150的節距P1可小於導電接墊1500的節距P4。舉例而言,第一連接端子150的節距P1可為0.4毫米或小於0.4毫米。因此,第一連接端子150被更緊密地設置,以使第一 半導體封裝100的平面面積可減小。第二連接端子250的節距P2及第三連接端子350的節距P3可小於導電接墊1500的節距P4。舉例而言,第二連接端子250的節距P2及第三連接端子350的節距P3中的每一者可為0.4毫米或小於0.4毫米。因此,第二半導體封裝200及第三半導體封裝300可被微型化。由於第一半導體封裝100、第二半導體封裝200及第三半導體封裝300被微型化,因此第一半導體封裝100、第二半導體封裝200及第三半導體封裝300之間的距離可減小。因此,第一半導體封裝100、第二半導體封裝200及第三半導體封裝300之間的電性訊號路徑的長度可減小。封裝系統1的操作速度及可靠性可得到改善。 The pitch P1 of the first connection terminals 150 may be smaller than the pitch P4 of the conductive pads 1500 . For example, the pitch P1 of the first connection terminals 150 may be 0.4 mm or less. Therefore, the first connection terminals 150 are more closely arranged so that the first The planar area of the semiconductor package 100 can be reduced. The pitch P2 of the second connection terminals 250 and the pitch P3 of the third connection terminals 350 may be smaller than the pitch P4 of the conductive pads 1500 . For example, each of the pitch P2 of the second connection terminals 250 and the pitch P3 of the third connection terminals 350 may be 0.4 mm or less. Therefore, the second semiconductor package 200 and the third semiconductor package 300 can be miniaturized. Since the first semiconductor package 100 , the second semiconductor package 200 and the third semiconductor package 300 are miniaturized, the distance between the first semiconductor package 100 , the second semiconductor package 200 and the third semiconductor package 300 can be reduced. Therefore, the length of the electrical signal paths between the first semiconductor package 100 , the second semiconductor package 200 and the third semiconductor package 300 can be reduced. The operating speed and reliability of the packaging system 1 can be improved.

第二被動元件420可安裝於板1000的下表面1000b上。如圖5B中所示,在板1000與第二被動元件420之間可進一步設置有第二連接端子部分402。第二被動元件420可經由第二連接端子部分402而連接至板1000。第二連接端子部分402可包括例如焊球、柱、凸塊或球柵陣列。經安裝的第二被動元件420的高度H6可被定義為包括第二連接端子部分402的高度H61。舉例而言,經安裝的第二被動元件420的高度H6等於第二連接端子部分402的高度H61與被安裝前的第二被動元件420'的高度H60之和。舉例而言,經安裝的第二被動元件420的高度H6可大於經安裝的第一半導體封裝100的高度H1與第一熱傳導層710的厚度A1之和。即使經安裝的第二被動元件420的高度H6為大的,第二被動元件420仍可經由基板500而電性連接至封裝系統1。 The second passive element 420 may be mounted on the lower surface 1000b of the board 1000 . As shown in FIG. 5B , a second connection terminal portion 402 may be further provided between the board 1000 and the second passive element 420 . The second passive element 420 may be connected to the board 1000 via the second connection terminal portion 402 . The second connection terminal portion 402 may include, for example, solder balls, posts, bumps, or ball grid arrays. The height H6 of the mounted second passive element 420 may be defined as including the height H61 of the second connection terminal portion 402 . For example, the height H6 of the mounted second passive element 420 is equal to the sum of the height H61 of the second connection terminal portion 402 and the height H60 of the second passive element 420 ′ before being mounted. For example, the height H6 of the mounted second passive element 420 may be greater than the sum of the height H1 of the mounted first semiconductor package 100 and the thickness A1 of the first thermally conductive layer 710 . Even if the height H6 of the mounted second passive element 420 is large, the second passive element 420 can still be electrically connected to the packaging system 1 through the substrate 500 .

第二被動元件420可電性連接至第一半導體封裝100、第二半導體封裝200及第三半導體封裝300中的一者。在平面圖中,第二被動元件420可被設置成與第一半導體封裝100、第二半導體封裝200及第三半導體封裝300中的所述一者重疊或相鄰。因此,第二被動元件420與半導體封裝100、200及300中的所述一者之間的訊號長度可減小。因此,半導體模組10的電性特性可得到改善。 The second passive element 420 may be electrically connected to one of the first semiconductor package 100 , the second semiconductor package 200 and the third semiconductor package 300 . In plan view, the second passive element 420 may be disposed to overlap or be adjacent to the one of the first semiconductor package 100 , the second semiconductor package 200 , and the third semiconductor package 300 . Therefore, the signal length between the second passive element 420 and the one of the semiconductor packages 100, 200 and 300 can be reduced. Therefore, the electrical characteristics of the semiconductor module 10 can be improved.

可設置有多個第二被動元件420。在此種情形中,第二被動元件420的高度H6可彼此相等或彼此不同。第二被動元件420的數目可作出各種潤飾。在下文中,參照圖5C及圖5D,將闡述導電端子550及下部接墊540。 A plurality of second passive elements 420 may be provided. In this case, the heights H6 of the second passive elements 420 may be equal to or different from each other. Various modifications can be made to the number of second passive elements 420 . Hereinafter, referring to FIGS. 5C and 5D , the conductive terminals 550 and the lower pads 540 will be explained.

下部接墊540可設置於基板500的下表面上。下部接墊540可包括連接接墊541及測試接墊542。在封裝系統1的製造製程期間或在封裝系統1被安裝於板1000上之前,封裝系統1的電性特性可得到評估。對電性特性的評估可使用測試接墊542來執行。舉例而言,當探針(圖中未示出)接觸測試接墊542時,第一半導體封裝100、第二半導體封裝200及第三半導體封裝300、第一被動元件400以及電子元件430中的至少一者的電性特性及連接關係可得到評估。此後,導電端子550形成,且封裝系統1可安裝於板1000上。 The lower pads 540 may be disposed on the lower surface of the substrate 500 . The lower pads 540 may include connection pads 541 and test pads 542 . The electrical characteristics of the packaging system 1 may be evaluated during the manufacturing process of the packaging system 1 or before the packaging system 1 is mounted on the board 1000 . Evaluation of electrical characteristics may be performed using test pads 542 . For example, when the probes (not shown in the figure) contact the test pads 542 , the first semiconductor package 100 , the second semiconductor package 200 and the third semiconductor package 300 , the first passive device 400 and the electronic device 430 At least one of electrical properties and connection relationships can be evaluated. Thereafter, the conductive terminals 550 are formed, and the package system 1 can be mounted on the board 1000 .

如圖5C中所示,導電端子550可包括由基板500的下表面暴露的第一端子551及第二端子552。第一端子551設置於連 接接墊541的下表面上且可連接至連接接墊541以及導電接墊1500中對應的一者。第一端子551可將封裝系統1電性連接至板1000。第一端子551可充當訊號傳輸路徑。 As shown in FIG. 5C , the conductive terminal 550 may include a first terminal 551 and a second terminal 552 exposed by the lower surface of the substrate 500 . The first terminal 551 is connected to The lower surface of the pads 541 is connectable to a corresponding one of the connection pads 541 and the conductive pads 1500 . The first terminals 551 can electrically connect the packaging system 1 to the board 1000 . The first terminal 551 can serve as a signal transmission path.

第二端子552設置於測試接墊542的下表面上且可連接至測試接墊542。舉例而言,第二端子552可充當接地端子。接地電壓經由板1000及第二端子552而傳輸至封裝系統1。作為另一實例,第二端子552可為虛設端子。舉例而言,第二端子552可不電性連接至板1000中的內部導線。作為另一選擇,第二端子552可不電性連接至封裝系統1。 The second terminal 552 is disposed on the lower surface of the test pad 542 and can be connected to the test pad 542 . For example, the second terminal 552 may serve as a ground terminal. The ground voltage is transmitted to the packaging system 1 via the board 1000 and the second terminal 552 . As another example, the second terminal 552 may be a dummy terminal. For example, the second terminals 552 may not be electrically connected to internal wires in the board 1000 . Alternatively, the second terminal 552 may not be electrically connected to the packaging system 1 .

如圖5D中所示,可不設置第二端子(圖5C中的552)。測試接墊542可與板1000間隔開且電性絕緣。儘管圖式中未示出,然而在板1000與測試接墊542之間的間隙中可填充有底部填充材料。底部填充材料可包括絕緣聚合物。 As shown in Figure 5D, the second terminal (552 in Figure 5C) may not be provided. The test pads 542 may be spaced apart and electrically insulated from the board 1000 . Although not shown in the drawings, the gaps between the board 1000 and the test pads 542 may be filled with an underfill material. The underfill material may include an insulating polymer.

根據發明概念,在封裝系統的操作期間,第一半導體封裝可產生大量的熱。第一熱傳導層的厚度可小於第二熱傳導層的厚度及第三熱傳導層的厚度。隨著第一熱傳導層的厚度減小,第一半導體封裝的熱特性可得到改善。封裝系統可表現出改善的操作特性。 According to the inventive concept, the first semiconductor package may generate a large amount of heat during operation of the packaging system. The thickness of the first heat conduction layer may be smaller than the thickness of the second heat conduction layer and the thickness of the third heat conduction layer. As the thickness of the first thermally conductive layer is reduced, thermal characteristics of the first semiconductor package may be improved. The packaged system may exhibit improved operating characteristics.

儘管已闡述發明概念的一些示例性實施例,然而應理解,發明概念不應限於該些實施例,而是此項技術中具有通常知識者可在如下文所聲明的發明概念的精神及範圍內作出各種改變及潤飾。 While some exemplary embodiments of the inventive concept have been described, it should be understood that the inventive concept should not be limited to these embodiments, but rather may be within the spirit and scope of the inventive concept as set forth below by one of ordinary skill in the art Make various changes and retouches.

1:封裝系統 1: Packaging system

100:半導體封裝/第一半導體封裝 100: Semiconductor Package/First Semiconductor Package

200:半導體封裝/第二半導體封裝 200: Semiconductor Packaging/Second Semiconductor Packaging

300:半導體封裝/第三半導體封裝 300: Semiconductor Packaging/Third Semiconductor Packaging

400:第一被動元件/經安裝的第一被動元件 400: First Passive Component/Installed First Passive Component

430:電子元件/經安裝的電子元件 430: Electronic Components/Mounted Electronic Components

500:基板 500: Substrate

590:擋壩結構 590: Dam Structure

600:散熱結構 600: heat dissipation structure

610:第一散熱結構 610: The first heat dissipation structure

I-II:線 I-II: Line

III:區 III: District

Claims (21)

一種半導體封裝系統,包括:基板;第一半導體封裝,位於所述基板上;第二半導體封裝,位於所述基板上;第三半導體封裝,位於所述基板上;第一被動元件,位於所述基板上;擋壩結構,安置於所述第三半導體封裝與所述第一被動元件之間;散熱結構,位於所述第一半導體封裝、所述第二半導體封裝及所述第一被動元件上;以及第一熱傳導層,位於所述第一半導體封裝與所述散熱結構之間,所述第一半導體封裝的高度與所述第一熱傳導層的厚度之和大於所述第一被動元件的高度,所述第一被動元件的所述高度大於所述擋壩結構的高度,且所述第一半導體封裝的所述高度大於所述第二半導體封裝的高度。 A semiconductor packaging system, comprising: a substrate; a first semiconductor package located on the substrate; a second semiconductor package located on the substrate; a third semiconductor package located on the substrate; a first passive element located on the substrate on the substrate; a dam structure arranged between the third semiconductor package and the first passive element; a heat dissipation structure located on the first semiconductor package, the second semiconductor package and the first passive element and a first thermally conductive layer, located between the first semiconductor package and the heat dissipation structure, the sum of the height of the first semiconductor package and the thickness of the first thermally conductive layer is greater than the height of the first passive element , the height of the first passive element is greater than the height of the dam structure, and the height of the first semiconductor package is greater than the height of the second semiconductor package. 如申請專利範圍第1項所述的半導體封裝系統,更包括:第二熱傳導層,設置於所述第二半導體封裝與所述散熱結構之間,其中 所述第一熱傳導層的厚度小於所述第二熱傳導層的厚度。 The semiconductor packaging system according to claim 1, further comprising: a second heat conduction layer disposed between the second semiconductor package and the heat dissipation structure, wherein The thickness of the first thermally conductive layer is smaller than the thickness of the second thermally conductive layer. 如申請專利範圍第2項所述的半導體封裝系統,其中所述第一熱傳導層及所述第二熱傳導層物理地接觸所述散熱結構。 The semiconductor packaging system of claim 2, wherein the first thermally conductive layer and the second thermally conductive layer physically contact the heat dissipation structure. 如申請專利範圍第1項所述的半導體封裝系統,其中所述第一半導體封裝包括第一基板、第一半導體晶片及第一模製層,且所述第一半導體晶片是系統晶片。 The semiconductor packaging system of claim 1, wherein the first semiconductor package includes a first substrate, a first semiconductor die, and a first mold layer, and the first semiconductor die is a system die. 如申請專利範圍第4項所述的半導體封裝系統,其中所述第一半導體封裝更包括位於所述第一模製層上的第一導熱結構。 The semiconductor packaging system of claim 4, wherein the first semiconductor package further comprises a first thermally conductive structure on the first molding layer. 如申請專利範圍第5項所述的半導體封裝系統,其中所述第一模製層的上表面位於較所述第二半導體封裝的上表面低的水平高度處。 The semiconductor packaging system of claim 5, wherein the upper surface of the first molding layer is located at a lower level than the upper surface of the second semiconductor package. 如申請專利範圍第1項所述的半導體封裝系統,其中所述第二半導體封裝包括第二基板、第二半導體晶片、第二模製層及位於所述第二模製層上的第二導熱結構。 The semiconductor packaging system of claim 1, wherein the second semiconductor package includes a second substrate, a second semiconductor die, a second mold layer, and a second thermally conductive layer on the second mold layer structure. 如申請專利範圍第1項所述的半導體封裝系統,更包括:接地圖案,位於所述基板的上表面上;以及導電黏合圖案,位於所述接地圖案與所述散熱結構之間,其中所述散熱結構包括本體部分及腿部分, 所述本體部分與所述基板的所述上表面平行地延伸,所述腿部分連接至所述本體部分,所述腿部分位於所述基板與所述本體部分之間,且所述散熱結構經由所述導電黏合圖案而電性連接至所述接地圖案。 The semiconductor packaging system of claim 1, further comprising: a ground pattern on the upper surface of the substrate; and a conductive adhesive pattern between the ground pattern and the heat dissipation structure, wherein the The heat dissipation structure includes a body part and a leg part, The body portion extends parallel to the upper surface of the base plate, the leg portion is connected to the body portion, the leg portion is located between the base plate and the body portion, and the heat dissipation structure passes through The conductive adhesive pattern is electrically connected to the ground pattern. 如申請專利範圍第8項所述的半導體封裝系統,其中所述第一熱傳導層的厚度小於所述導電黏合圖案的厚度。 The semiconductor packaging system of claim 8, wherein a thickness of the first thermally conductive layer is smaller than a thickness of the conductive adhesive pattern. 如申請專利範圍第1項所述的半導體封裝系統,更包括:板,位於所述基板的下表面上;導電端子,連接至所述基板及所述板;以及第二被動元件,位於所述板的下表面上,其中所述第二被動元件的高度大於所述第一半導體封裝的所述高度。 The semiconductor packaging system of claim 1, further comprising: a board located on the lower surface of the substrate; conductive terminals connected to the substrate and the board; and a second passive element located on the substrate on the lower surface of the board, wherein the height of the second passive element is greater than the height of the first semiconductor package. 如申請專利範圍第10項所述的半導體封裝系統,更包括:第一連接端子,位於所述基板與所述第一半導體封裝之間,其中所述第一連接端子的節距小於所述導電端子的節距。 The semiconductor packaging system of claim 10, further comprising: a first connection terminal located between the substrate and the first semiconductor package, wherein the pitch of the first connection terminal is smaller than that of the conductive Terminal pitch. 一種半導體封裝系統,包括:基板;第一半導體封裝,位於所述基板的上表面上,所述第一半導 體封裝包括第一半導體晶片,所述第一半導體晶片包括一個或多個邏輯電路;第二半導體封裝,位於所述基板的所述上表面上;第三半導體封裝,位於所述基板的所述上表面上;被動元件,位於所述基板的所述上表面上;擋壩結構,位於所述基板的所述上表面上且安置於所述第三半導體封裝與所述被動元件之間;散熱結構,位於所述第一半導體封裝、所述第二半導體封裝及所述被動元件上;以及多個熱傳導層,各自物理地接觸所述散熱結構的下表面,所述多個熱傳導層包括位於所述第一半導體封裝的上表面上的第一熱傳導層,且所述第一熱傳導層具有所述多個熱傳導層中最薄的厚度,且所述被動元件的高度大於所述擋壩結構的高度。 A semiconductor packaging system, comprising: a substrate; a first semiconductor package located on an upper surface of the substrate, the first semiconductor package The bulk package includes a first semiconductor die including one or more logic circuits; a second semiconductor package on the upper surface of the substrate; and a third semiconductor package on the upper surface of the substrate on the upper surface; a passive element on the upper surface of the substrate; a dam structure on the upper surface of the substrate and disposed between the third semiconductor package and the passive element; heat dissipation a structure on the first semiconductor package, the second semiconductor package and the passive element; and a plurality of thermally conductive layers each physically contacting the lower surface of the heat dissipation structure, the plurality of thermally conductive layers including a first heat conduction layer on the upper surface of the first semiconductor package, and the first heat conduction layer has the thinnest thickness among the plurality of heat conduction layers, and the height of the passive element is greater than the height of the dam structure . 如申請專利範圍第12項所述的半導體封裝系統,其中所述第一半導體封裝的高度大於所述第二半導體封裝的高度。 The semiconductor packaging system of claim 12, wherein a height of the first semiconductor package is greater than a height of the second semiconductor package. 如申請專利範圍第13項所述的半導體封裝系統,其中所述第一半導體封裝更包括第一基板、第一模製層及第一導熱結構,所述第一半導體晶片位於所述第一基板上,所述第一模製層被配置成覆蓋所述第一半導體晶片,且所述第一導熱結構位於所述第一模製層上。 The semiconductor packaging system of claim 13, wherein the first semiconductor package further comprises a first substrate, a first molding layer and a first thermally conductive structure, and the first semiconductor chip is located on the first substrate above, the first mold layer is configured to cover the first semiconductor wafer, and the first thermally conductive structure is located on the first mold layer. 如申請專利範圍第12項所述的半導體封裝系統,其中所述第一半導體封裝的高度與所述第一熱傳導層的厚度之和大於所述被動元件的高度。 The semiconductor packaging system of claim 12, wherein the sum of the height of the first semiconductor package and the thickness of the first thermally conductive layer is greater than the height of the passive element. 如申請專利範圍第12項所述的半導體封裝系統,其中所述多個熱傳導層更包括位於所述第二半導體封裝的上表面上的第二熱傳導層。 The semiconductor packaging system of claim 12, wherein the plurality of thermally conductive layers further comprises a second thermally conductive layer on an upper surface of the second semiconductor package. 如申請專利範圍第16項所述的半導體封裝系統,其中所述第二半導體封裝包括電力管理晶片或記憶體晶片。 The semiconductor packaging system of claim 16, wherein the second semiconductor package comprises a power management chip or a memory chip. 一種半導體封裝系統,包括:基板;第一半導體封裝,位於所述基板上,所述第一半導體封裝包括第一半導體晶片,所述第一半導體晶片包括一個或多個邏輯電路;第二半導體封裝,位於所述基板上;第三半導體封裝,位於所述基板上;被動元件,位於所述基板上;擋壩結構,安置於所述第三半導體封裝與所述被動元件之間;散熱結構,位於所述第一半導體封裝、所述第二半導體封裝及所述被動元件上;第一熱傳導層,位於所述第一半導體封裝上,所述第一熱傳導層物理地接觸所述散熱結構;以及第二熱傳導層,位於所述第二半導體封裝上,所述第二熱傳 導層物理地接觸所述散熱結構,所述第一熱傳導層的厚度小於所述第二熱傳導層的厚度,且所述第一熱傳導層的上表面設置於較所述被動元件的上表面高的水平高度處。 A semiconductor packaging system, comprising: a substrate; a first semiconductor package on the substrate, the first semiconductor package comprising a first semiconductor die, the first semiconductor die comprising one or more logic circuits; a second semiconductor package , located on the substrate; a third semiconductor package, located on the substrate; a passive element, located on the substrate; a dam structure, located between the third semiconductor package and the passive element; a heat dissipation structure, on the first semiconductor package, the second semiconductor package and the passive element; a first thermally conductive layer on the first semiconductor package, the first thermally conductive layer physically contacting the heat dissipation structure; and a second heat conduction layer on the second semiconductor package, the second heat conduction layer The conductive layer physically contacts the heat dissipation structure, the thickness of the first thermal conductive layer is smaller than the thickness of the second thermal conductive layer, and the upper surface of the first thermal conductive layer is disposed higher than the upper surface of the passive element. at the horizontal height. 如申請專利範圍第18項所述的半導體封裝系統,更包括:第三熱傳導層,位於所述第三半導體封裝上,其中所述第三熱傳導層物理地接觸所述散熱結構,且所述第一熱傳導層的所述厚度小於所述第三熱傳導層的厚度。 The semiconductor packaging system of claim 18, further comprising: a third thermally conductive layer on the third semiconductor package, wherein the third thermally conductive layer physically contacts the heat dissipation structure, and the first thermally conductive layer The thickness of a thermally conductive layer is smaller than the thickness of the third thermally conductive layer. 如申請專利範圍第18項所述的半導體封裝系統,其中所述第二半導體封裝包括第二基板、第二半導體晶片及第二模製層,且所述第二半導體晶片是與所述第一半導體晶片不同類型的半導體晶片。 The semiconductor packaging system of claim 18, wherein the second semiconductor package includes a second substrate, a second semiconductor die, and a second mold layer, and the second semiconductor die is connected to the first Semiconductor wafers Different types of semiconductor wafers. 如申請專利範圍第20項所述的半導體封裝系統,其中所述第二半導體封裝更包括位於所述第二模製層上的第二導熱結構,且所述第二熱傳導層位於所述第二導熱結構上。 The semiconductor packaging system of claim 20, wherein the second semiconductor package further comprises a second thermally conductive structure on the second molding layer, and the second thermally conductive layer is located on the second thermally conductive structure.
TW108112061A 2018-05-11 2019-04-08 Semiconductor package system TWI778249B (en)

Applications Claiming Priority (10)

Application Number Priority Date Filing Date Title
KR20180054304 2018-05-11
KR20180054305 2018-05-11
KR20180054307 2018-05-11
KR10-2018-0054305 2018-05-11
KR10-2018-0054304 2018-05-11
KR10-2018-0054307 2018-05-11
KR10-2018-0055081 2018-05-14
KR20180055081 2018-05-14
KR1020180110511A KR102607055B1 (en) 2018-05-11 2018-09-14 Semiconductor package system
KR10-2018-0110511 2018-09-14

Publications (2)

Publication Number Publication Date
TW202005048A TW202005048A (en) 2020-01-16
TWI778249B true TWI778249B (en) 2022-09-21

Family

ID=68729459

Family Applications (1)

Application Number Title Priority Date Filing Date
TW108112061A TWI778249B (en) 2018-05-11 2019-04-08 Semiconductor package system

Country Status (2)

Country Link
KR (1) KR102607055B1 (en)
TW (1) TWI778249B (en)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11600607B2 (en) 2019-01-17 2023-03-07 Samsung Electronics Co., Ltd. Semiconductor module including multiple power management semiconductor packages
US20220367413A1 (en) * 2021-05-13 2022-11-17 Taiwan Semiconductor Manufacturing Co., Ltd. Packages With Multiple Types of Underfill and Method Forming The Same

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20100109152A1 (en) * 2008-10-30 2010-05-06 Nec Electronics Corporation Electronic device and lid
US20110228485A1 (en) * 2010-03-18 2011-09-22 Hitachi, Ltd. Cooling structure for electronic equipment
US20170345732A1 (en) * 2013-12-04 2017-11-30 Taiwan Semiconductor Manufacturing Company, Ltd. 3DIC Packaging with Hot Spot Thermal Management Features

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9287194B2 (en) * 2013-03-06 2016-03-15 Taiwan Semiconductor Manufacturing Company, Ltd. Packaging devices and methods for semiconductor devices
US9583415B2 (en) * 2013-08-02 2017-02-28 Taiwan Semiconductor Manufacturing Company, Ltd. Packages with thermal interface material on the sidewalls of stacked dies
US20150170989A1 (en) * 2013-12-16 2015-06-18 Hemanth K. Dhavaleswarapu Three-dimensional (3d) integrated heat spreader for multichip packages
JP6421050B2 (en) * 2015-02-09 2018-11-07 株式会社ジェイデバイス Semiconductor device

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20100109152A1 (en) * 2008-10-30 2010-05-06 Nec Electronics Corporation Electronic device and lid
US20110228485A1 (en) * 2010-03-18 2011-09-22 Hitachi, Ltd. Cooling structure for electronic equipment
US20170345732A1 (en) * 2013-12-04 2017-11-30 Taiwan Semiconductor Manufacturing Company, Ltd. 3DIC Packaging with Hot Spot Thermal Management Features

Also Published As

Publication number Publication date
KR102607055B1 (en) 2023-11-30
KR20190129665A (en) 2019-11-20
TW202005048A (en) 2020-01-16

Similar Documents

Publication Publication Date Title
US11075138B2 (en) Semiconductor package system
US11658090B2 (en) Semiconductor package system
US10546844B2 (en) Stack package and method of manufacturing the stack package
US10566320B2 (en) Method for fabricating electronic package
US11515290B2 (en) Semiconductor package
TWI778236B (en) semiconductor device
TWI750467B (en) Semiconductor package
TWI685937B (en) A semiconductor package
US20230387029A1 (en) Semiconductor package
TWI778249B (en) Semiconductor package system
US11244885B2 (en) Semiconductor package system
US11776866B2 (en) Semiconductor module heatspreading lid having integrated separators for multiple chips
KR102667427B1 (en) Semiconductor package system
US20200235083A1 (en) Semiconductor module
KR102654893B1 (en) Semiconductor package system
KR102607109B1 (en) Semiconductor package system
KR20130050077A (en) Stacked package and method of manufacturing the semiconductor package
KR20200090093A (en) Semiconductor Module
US20230046098A1 (en) Semiconductor package including stiffener
CN116264190A (en) Semiconductor package
TW516197B (en) Heat sink structure of semiconductor package

Legal Events

Date Code Title Description
GD4A Issue of patent certificate for granted invention patent