TWI775749B - 多站沉積系統中之膜厚度匹配用可變循環與時間射頻活化方法 - Google Patents

多站沉積系統中之膜厚度匹配用可變循環與時間射頻活化方法 Download PDF

Info

Publication number
TWI775749B
TWI775749B TW106113839A TW106113839A TWI775749B TW I775749 B TWI775749 B TW I775749B TW 106113839 A TW106113839 A TW 106113839A TW 106113839 A TW106113839 A TW 106113839A TW I775749 B TWI775749 B TW I775749B
Authority
TW
Taiwan
Prior art keywords
substrate
deposition
station
process station
plasma
Prior art date
Application number
TW106113839A
Other languages
English (en)
Chinese (zh)
Other versions
TW201802885A (zh
Inventor
可里伊許特克
基勇 趙
艾里恩 拉芙依
賈斯溫德 朱利安尼
普魯夏坦 庫瑪
錢駿
Original Assignee
美商蘭姆研究公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商蘭姆研究公司 filed Critical 美商蘭姆研究公司
Publication of TW201802885A publication Critical patent/TW201802885A/zh
Application granted granted Critical
Publication of TWI775749B publication Critical patent/TWI775749B/zh

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/45542Plasma being used non-continuously during the ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/54Apparatus specially adapted for continuous coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Electromagnetism (AREA)
  • Manufacturing & Machinery (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Analytical Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Surface Acoustic Wave Elements And Circuit Networks Thereof (AREA)
  • Physical Vapour Deposition (AREA)
TW106113839A 2016-04-29 2017-04-26 多站沉積系統中之膜厚度匹配用可變循環與時間射頻活化方法 TWI775749B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15/143,338 US20170314129A1 (en) 2016-04-29 2016-04-29 Variable cycle and time rf activation method for film thickness matching in a multi-station deposition system
US15/143,338 2016-04-29

Publications (2)

Publication Number Publication Date
TW201802885A TW201802885A (zh) 2018-01-16
TWI775749B true TWI775749B (zh) 2022-09-01

Family

ID=60158112

Family Applications (3)

Application Number Title Priority Date Filing Date
TW106113839A TWI775749B (zh) 2016-04-29 2017-04-26 多站沉積系統中之膜厚度匹配用可變循環與時間射頻活化方法
TW111130779A TWI817655B (zh) 2016-04-29 2017-04-26 多站沉積系統中之膜厚度匹配用可變循環與時間射頻活化方法
TW112134025A TW202401522A (zh) 2016-04-29 2017-04-26 多站沉積系統中之膜厚度匹配用可變循環與時間射頻活化方法

Family Applications After (2)

Application Number Title Priority Date Filing Date
TW111130779A TWI817655B (zh) 2016-04-29 2017-04-26 多站沉積系統中之膜厚度匹配用可變循環與時間射頻活化方法
TW112134025A TW202401522A (zh) 2016-04-29 2017-04-26 多站沉積系統中之膜厚度匹配用可變循環與時間射頻活化方法

Country Status (6)

Country Link
US (2) US20170314129A1 (ko)
JP (3) JP6908426B2 (ko)
KR (4) KR102302800B1 (ko)
CN (3) CN107419238A (ko)
SG (1) SG10201703133PA (ko)
TW (3) TWI775749B (ko)

Families Citing this family (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9797042B2 (en) 2014-05-15 2017-10-24 Lam Research Corporation Single ALD cycle thickness control in multi-station substrate deposition systems
KR102323248B1 (ko) * 2015-03-25 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
US10697059B2 (en) 2017-09-15 2020-06-30 Lam Research Corporation Thickness compensation by modulation of number of deposition cycles as a function of chamber accumulation for wafer to wafer film thickness matching
SG11202109959TA (en) * 2019-03-12 2021-10-28 Lam Res Corp Multi-station semiconductor processing with independently adjustable pedestals
KR20220018591A (ko) * 2019-06-07 2022-02-15 램 리써치 코포레이션 멀티 스테이션 반도체 프로세싱에서 독립적으로 조정 가능한 플로우 경로 컨덕턴스
US20230032481A1 (en) * 2020-01-03 2023-02-02 Lam Research Corporation Station-to-station control of backside bow compensation deposition
US11449026B2 (en) 2020-05-27 2022-09-20 Applied Materials, Inc. Variable loop control feature
KR102437091B1 (ko) * 2020-08-14 2022-08-26 한국기계연구원 플라즈마 화학기상증착 공정의 실시간 제어 방법 및 플라즈마 화학기상증착용 반응 챔버
CN118077041A (zh) * 2021-10-07 2024-05-24 朗姆研究公司 多站处理室部件的选择性控制
CN115418629B (zh) * 2022-08-17 2024-01-12 杭州富芯半导体有限公司 薄膜沉积的方法

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5879459A (en) * 1997-08-29 1999-03-09 Genus, Inc. Vertically-stacked process reactor and cluster tool system for atomic layer deposition
US6042652A (en) * 1999-05-01 2000-03-28 P.K. Ltd Atomic layer deposition apparatus for depositing atomic layer on multiple substrates
US20020100418A1 (en) * 2000-05-12 2002-08-01 Gurtej Sandhu Versatile atomic layer deposition apparatus
US20060166501A1 (en) * 2005-01-26 2006-07-27 Tokyo Electron Limited Method and apparatus for monolayer deposition
TWI277139B (en) * 2001-02-12 2007-03-21 Asm Inc Improved process for deposition of semiconductor filme
US8940646B1 (en) * 2013-07-12 2015-01-27 Lam Research Corporation Sequential precursor dosing in an ALD multi-station/batch reactor
TW201608612A (zh) * 2014-05-15 2016-03-01 蘭姆研究公司 多站基板沉積系統中之單一原子層沉積循環厚度控制

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2978974B2 (ja) * 1996-02-01 1999-11-15 キヤノン販売株式会社 プラズマ処理装置
JP2003049278A (ja) * 2001-08-06 2003-02-21 Canon Inc 真空処理方法及び真空処理装置
JP2004068091A (ja) * 2002-08-07 2004-03-04 Matsushita Electric Ind Co Ltd 成膜処理装置および成膜処理方法
DE602004026889D1 (de) * 2003-09-19 2010-06-10 Akzo Nobel Nv Metallisierung von substrat(en) durch ein flüssigkeit/ - dampfabscheidungsverfahren
JP5023004B2 (ja) * 2008-06-30 2012-09-12 株式会社日立国際電気 基板処理方法及び基板処理装置
DE102010016471A1 (de) * 2010-04-16 2011-10-20 Aixtron Ag Vorrichtung und Verfahren zum gleichzeitigen Abscheiden mehrerer Halbleiterschichten in mehreren Prozesskammern
KR101395243B1 (ko) * 2011-04-29 2014-05-15 세메스 주식회사 기판처리장치 및 방법
KR20140037198A (ko) * 2011-06-09 2014-03-26 싱귤러스 엠오씨브이디 게엠바하 아이. 지알. 인라인 화학 기상 증착을 위한 방법 및 시스템
JP5878813B2 (ja) * 2011-06-21 2016-03-08 東京エレクトロン株式会社 バッチ式処理装置
JP6257437B2 (ja) * 2014-04-25 2018-01-10 株式会社トクヤマ 結晶成長装置
US20160056032A1 (en) * 2014-08-22 2016-02-25 Lam Research Corporation Methods and apparatuses for stable deposition rate control in low temperature ald systems by showerhead active heating and/or pedestal cooling
JP6578158B2 (ja) * 2015-08-28 2019-09-18 株式会社ニューフレアテクノロジー 気相成長装置及び気相成長方法

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5879459A (en) * 1997-08-29 1999-03-09 Genus, Inc. Vertically-stacked process reactor and cluster tool system for atomic layer deposition
US6042652A (en) * 1999-05-01 2000-03-28 P.K. Ltd Atomic layer deposition apparatus for depositing atomic layer on multiple substrates
US20020100418A1 (en) * 2000-05-12 2002-08-01 Gurtej Sandhu Versatile atomic layer deposition apparatus
TWI277139B (en) * 2001-02-12 2007-03-21 Asm Inc Improved process for deposition of semiconductor filme
US20060166501A1 (en) * 2005-01-26 2006-07-27 Tokyo Electron Limited Method and apparatus for monolayer deposition
US8940646B1 (en) * 2013-07-12 2015-01-27 Lam Research Corporation Sequential precursor dosing in an ALD multi-station/batch reactor
TW201608612A (zh) * 2014-05-15 2016-03-01 蘭姆研究公司 多站基板沉積系統中之單一原子層沉積循環厚度控制

Also Published As

Publication number Publication date
TWI817655B (zh) 2023-10-01
KR20210113585A (ko) 2021-09-16
JP7282130B2 (ja) 2023-05-26
TW202401522A (zh) 2024-01-01
KR20230169037A (ko) 2023-12-15
KR102610664B1 (ko) 2023-12-05
CN116083881A (zh) 2023-05-09
JP2023103367A (ja) 2023-07-26
CN116083880A (zh) 2023-05-09
TW201802885A (zh) 2018-01-16
US20170314129A1 (en) 2017-11-02
JP2021158386A (ja) 2021-10-07
KR20230027133A (ko) 2023-02-27
US20220154336A1 (en) 2022-05-19
JP6908426B2 (ja) 2021-07-28
TW202314806A (zh) 2023-04-01
KR102502272B1 (ko) 2023-02-20
KR102302800B1 (ko) 2021-09-15
SG10201703133PA (en) 2017-11-29
KR20170124074A (ko) 2017-11-09
JP2017199904A (ja) 2017-11-02
CN107419238A (zh) 2017-12-01

Similar Documents

Publication Publication Date Title
TWI775749B (zh) 多站沉積系統中之膜厚度匹配用可變循環與時間射頻活化方法
US11075127B2 (en) Suppressing interfacial reactions by varying the wafer temperature throughout deposition
US11479856B2 (en) Multi-cycle ALD process for film uniformity and thickness profile modulation
KR102396162B1 (ko) 막 프로파일 조정을 위한 샤워헤드 커튼 가스 방법 및 시스템
KR102460602B1 (ko) Rf 밸런싱을 사용하는 멀티스테이션 플라즈마 반응기
KR102392734B1 (ko) 프로세스 모듈의 서브시스템들의 액티브 피드백 제어
US20230160065A1 (en) Systems and methods for pulse width modulated dose control
US7369905B1 (en) Method and apparatus for pressure and plasma control during transitions used to create graded interfaces by multi-step PECVD deposition

Legal Events

Date Code Title Description
GD4A Issue of patent certificate for granted invention patent