TWI768862B - 半導體元件及其製造方法 - Google Patents
半導體元件及其製造方法 Download PDFInfo
- Publication number
- TWI768862B TWI768862B TW110115629A TW110115629A TWI768862B TW I768862 B TWI768862 B TW I768862B TW 110115629 A TW110115629 A TW 110115629A TW 110115629 A TW110115629 A TW 110115629A TW I768862 B TWI768862 B TW I768862B
- Authority
- TW
- Taiwan
- Prior art keywords
- dielectric layer
- gate dielectric
- layer
- gate
- dopant
- Prior art date
Links
- 239000004065 semiconductor Substances 0.000 title claims description 60
- 238000004519 manufacturing process Methods 0.000 title claims description 23
- 238000009792 diffusion process Methods 0.000 claims abstract description 60
- 230000005669 field effect Effects 0.000 claims abstract description 22
- 239000000463 material Substances 0.000 claims description 70
- 238000000034 method Methods 0.000 claims description 53
- 239000002019 doping agent Substances 0.000 claims description 45
- 229910052751 metal Inorganic materials 0.000 claims description 44
- 239000002184 metal Substances 0.000 claims description 44
- 238000002955 isolation Methods 0.000 claims description 34
- 230000004888 barrier function Effects 0.000 claims description 24
- 238000000059 patterning Methods 0.000 claims description 19
- 239000003989 dielectric material Substances 0.000 claims description 18
- 229910000449 hafnium oxide Inorganic materials 0.000 claims description 15
- WIHZLLGSGQNAGK-UHFFFAOYSA-N hafnium(4+);oxygen(2-) Chemical compound [O-2].[O-2].[Hf+4] WIHZLLGSGQNAGK-UHFFFAOYSA-N 0.000 claims description 15
- 229910052746 lanthanum Inorganic materials 0.000 claims description 11
- 229910052727 yttrium Inorganic materials 0.000 claims description 11
- 229910052692 Dysprosium Inorganic materials 0.000 claims description 10
- 229910052684 Cerium Inorganic materials 0.000 claims description 9
- 229910052693 Europium Inorganic materials 0.000 claims description 9
- 229910052769 Ytterbium Inorganic materials 0.000 claims description 9
- 229910052706 scandium Inorganic materials 0.000 claims description 9
- 229910052712 strontium Inorganic materials 0.000 claims description 9
- 239000004020 conductor Substances 0.000 claims description 6
- KQHQLIAOAVMAOW-UHFFFAOYSA-N hafnium(4+) oxygen(2-) zirconium(4+) Chemical compound [O--].[O--].[O--].[O--].[Zr+4].[Hf+4] KQHQLIAOAVMAOW-UHFFFAOYSA-N 0.000 claims description 5
- RVTZCBVAJQQJTK-UHFFFAOYSA-N oxygen(2-);zirconium(4+) Chemical compound [O-2].[O-2].[Zr+4] RVTZCBVAJQQJTK-UHFFFAOYSA-N 0.000 claims description 5
- 229910001928 zirconium oxide Inorganic materials 0.000 claims description 5
- 238000011049 filling Methods 0.000 claims description 4
- 238000009751 slip forming Methods 0.000 claims description 3
- FZLIPJUXYLNCLC-UHFFFAOYSA-N lanthanum atom Chemical compound [La] FZLIPJUXYLNCLC-UHFFFAOYSA-N 0.000 claims 3
- GWXLDORMOJMVQZ-UHFFFAOYSA-N cerium Chemical compound [Ce] GWXLDORMOJMVQZ-UHFFFAOYSA-N 0.000 claims 2
- KBQHZAAAGSGFKK-UHFFFAOYSA-N dysprosium atom Chemical compound [Dy] KBQHZAAAGSGFKK-UHFFFAOYSA-N 0.000 claims 2
- OGPBJKLSAFTDLK-UHFFFAOYSA-N europium atom Chemical compound [Eu] OGPBJKLSAFTDLK-UHFFFAOYSA-N 0.000 claims 2
- SIXSYDAISGFNSX-UHFFFAOYSA-N scandium atom Chemical compound [Sc] SIXSYDAISGFNSX-UHFFFAOYSA-N 0.000 claims 2
- CIOAGBVUUVVLOB-UHFFFAOYSA-N strontium atom Chemical compound [Sr] CIOAGBVUUVVLOB-UHFFFAOYSA-N 0.000 claims 2
- NAWDYIZEMPQZHO-UHFFFAOYSA-N ytterbium Chemical compound [Yb] NAWDYIZEMPQZHO-UHFFFAOYSA-N 0.000 claims 2
- VWQVUPCCIRVNHF-UHFFFAOYSA-N yttrium atom Chemical compound [Y] VWQVUPCCIRVNHF-UHFFFAOYSA-N 0.000 claims 2
- 230000000903 blocking effect Effects 0.000 abstract 1
- 239000010410 layer Substances 0.000 description 777
- 230000008569 process Effects 0.000 description 38
- 239000000758 substrate Substances 0.000 description 20
- 238000000137 annealing Methods 0.000 description 17
- 229910052814 silicon oxide Inorganic materials 0.000 description 16
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 description 14
- 125000006850 spacer group Chemical group 0.000 description 14
- ATJFFYVFTNAWJD-UHFFFAOYSA-N Tin Chemical compound [Sn] ATJFFYVFTNAWJD-UHFFFAOYSA-N 0.000 description 13
- 229910052710 silicon Inorganic materials 0.000 description 13
- 229910052718 tin Inorganic materials 0.000 description 13
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 description 12
- 229910000577 Silicon-germanium Inorganic materials 0.000 description 12
- 125000004429 atom Chemical group 0.000 description 12
- 239000010703 silicon Substances 0.000 description 12
- 239000007789 gas Substances 0.000 description 11
- 239000011810 insulating material Substances 0.000 description 11
- GWEVSGVZZGPLCZ-UHFFFAOYSA-N Titan oxide Chemical compound O=[Ti]=O GWEVSGVZZGPLCZ-UHFFFAOYSA-N 0.000 description 10
- MCMNRKCIXSYSNV-UHFFFAOYSA-N Zirconium dioxide Chemical compound O=[Zr]=O MCMNRKCIXSYSNV-UHFFFAOYSA-N 0.000 description 10
- 229910052782 aluminium Inorganic materials 0.000 description 10
- 238000000231 atomic layer deposition Methods 0.000 description 10
- 229910052719 titanium Inorganic materials 0.000 description 10
- 239000010936 titanium Substances 0.000 description 10
- 230000009969 flowable effect Effects 0.000 description 9
- 239000003292 glue Substances 0.000 description 9
- 238000001312 dry etching Methods 0.000 description 8
- 238000005530 etching Methods 0.000 description 8
- 229910052581 Si3N4 Inorganic materials 0.000 description 7
- 229910010038 TiAl Inorganic materials 0.000 description 7
- 229910008482 TiSiN Inorganic materials 0.000 description 7
- RTAQQCXQSZGOHL-UHFFFAOYSA-N Titanium Chemical compound [Ti] RTAQQCXQSZGOHL-UHFFFAOYSA-N 0.000 description 7
- XAGFODPZIPBFFR-UHFFFAOYSA-N aluminium Chemical compound [Al] XAGFODPZIPBFFR-UHFFFAOYSA-N 0.000 description 7
- 230000015572 biosynthetic process Effects 0.000 description 7
- QRXWMOHMRWLFEY-UHFFFAOYSA-N isoniazide Chemical compound NNC(=O)C1=CC=NC=C1 QRXWMOHMRWLFEY-UHFFFAOYSA-N 0.000 description 7
- 229910021420 polycrystalline silicon Inorganic materials 0.000 description 7
- HQVNEWCFYHHQES-UHFFFAOYSA-N silicon nitride Chemical compound N12[Si]34N5[Si]62N3[Si]51N64 HQVNEWCFYHHQES-UHFFFAOYSA-N 0.000 description 7
- 238000001039 wet etching Methods 0.000 description 7
- OAICVXFJPJFONN-UHFFFAOYSA-N Phosphorus Chemical compound [P] OAICVXFJPJFONN-UHFFFAOYSA-N 0.000 description 6
- 229910004490 TaAl Inorganic materials 0.000 description 6
- 229910010041 TiAlC Inorganic materials 0.000 description 6
- PNEYBMLMFCGWSK-UHFFFAOYSA-N aluminium oxide Inorganic materials [O-2].[O-2].[O-2].[Al+3].[Al+3] PNEYBMLMFCGWSK-UHFFFAOYSA-N 0.000 description 6
- 238000000151 deposition Methods 0.000 description 6
- 239000000203 mixture Substances 0.000 description 6
- TWNQGVIAIRXVLR-UHFFFAOYSA-N oxo(oxoalumanyloxy)alumane Chemical compound O=[Al]O[Al]=O TWNQGVIAIRXVLR-UHFFFAOYSA-N 0.000 description 6
- 229910052698 phosphorus Inorganic materials 0.000 description 6
- 239000011574 phosphorus Substances 0.000 description 6
- 229920005591 polysilicon Polymers 0.000 description 6
- KRHYYFGTRYWZRS-UHFFFAOYSA-N Fluorane Chemical compound F KRHYYFGTRYWZRS-UHFFFAOYSA-N 0.000 description 5
- YCKRFDGAMUMZLT-UHFFFAOYSA-N Fluorine atom Chemical compound [F] YCKRFDGAMUMZLT-UHFFFAOYSA-N 0.000 description 5
- 229910021193 La 2 O 3 Inorganic materials 0.000 description 5
- 150000001875 compounds Chemical class 0.000 description 5
- PMHQVHHXPFUNSP-UHFFFAOYSA-M copper(1+);methylsulfanylmethane;bromide Chemical compound Br[Cu].CSC PMHQVHHXPFUNSP-UHFFFAOYSA-M 0.000 description 5
- 230000008021 deposition Effects 0.000 description 5
- 229910052731 fluorine Inorganic materials 0.000 description 5
- 239000011737 fluorine Substances 0.000 description 5
- IJGRMHOSHXDMSA-UHFFFAOYSA-N nitrogen Substances N#N IJGRMHOSHXDMSA-UHFFFAOYSA-N 0.000 description 5
- 238000000206 photolithography Methods 0.000 description 5
- 239000007864 aqueous solution Substances 0.000 description 4
- 230000008901 benefit Effects 0.000 description 4
- 229910052735 hafnium Inorganic materials 0.000 description 4
- 239000012535 impurity Substances 0.000 description 4
- 238000004518 low pressure chemical vapour deposition Methods 0.000 description 4
- 238000005240 physical vapour deposition Methods 0.000 description 4
- OGIDPMRJRNCKJF-UHFFFAOYSA-N titanium oxide Inorganic materials [Ti]=O OGIDPMRJRNCKJF-UHFFFAOYSA-N 0.000 description 4
- 229910018072 Al 2 O 3 Inorganic materials 0.000 description 3
- -1 AlInAs Inorganic materials 0.000 description 3
- 229910004129 HfSiO Inorganic materials 0.000 description 3
- OQNXPQOQCWVVHP-UHFFFAOYSA-N [Si].O=[Ge] Chemical compound [Si].O=[Ge] OQNXPQOQCWVVHP-UHFFFAOYSA-N 0.000 description 3
- 229910045601 alloy Inorganic materials 0.000 description 3
- 239000000956 alloy Substances 0.000 description 3
- 239000000460 chlorine Substances 0.000 description 3
- 230000000694 effects Effects 0.000 description 3
- VBJZVLUMGGDVMO-UHFFFAOYSA-N hafnium atom Chemical compound [Hf] VBJZVLUMGGDVMO-UHFFFAOYSA-N 0.000 description 3
- 229910052750 molybdenum Inorganic materials 0.000 description 3
- QPJSUIGXIBEQAC-UHFFFAOYSA-N n-(2,4-dichloro-5-propan-2-yloxyphenyl)acetamide Chemical compound CC(C)OC1=CC(NC(C)=O)=C(Cl)C=C1Cl QPJSUIGXIBEQAC-UHFFFAOYSA-N 0.000 description 3
- 239000002086 nanomaterial Substances 0.000 description 3
- 239000002070 nanowire Substances 0.000 description 3
- 229910052757 nitrogen Inorganic materials 0.000 description 3
- 229910052760 oxygen Inorganic materials 0.000 description 3
- 229920003209 poly(hydridosilsesquioxane) Polymers 0.000 description 3
- 239000002243 precursor Substances 0.000 description 3
- 239000000126 substance Substances 0.000 description 3
- 229910052715 tantalum Inorganic materials 0.000 description 3
- 229910052721 tungsten Inorganic materials 0.000 description 3
- VHUUQVKOLVNVRT-UHFFFAOYSA-N Ammonium hydroxide Chemical compound [NH4+].[OH-] VHUUQVKOLVNVRT-UHFFFAOYSA-N 0.000 description 2
- ZAMOUSCENKQFHK-UHFFFAOYSA-N Chlorine atom Chemical compound [Cl] ZAMOUSCENKQFHK-UHFFFAOYSA-N 0.000 description 2
- 229910003855 HfAlO Inorganic materials 0.000 description 2
- 229910004140 HfO Inorganic materials 0.000 description 2
- BOTDANWDWHJENH-UHFFFAOYSA-N Tetraethyl orthosilicate Chemical compound CCO[Si](OCC)(OCC)OCC BOTDANWDWHJENH-UHFFFAOYSA-N 0.000 description 2
- 229910010037 TiAlN Inorganic materials 0.000 description 2
- KOOADCGQJDGAGA-UHFFFAOYSA-N [amino(dimethyl)silyl]methane Chemical compound C[Si](C)(C)N KOOADCGQJDGAGA-UHFFFAOYSA-N 0.000 description 2
- LDDQLRUQCUTJBB-UHFFFAOYSA-N ammonium fluoride Chemical compound [NH4+].[F-] LDDQLRUQCUTJBB-UHFFFAOYSA-N 0.000 description 2
- 235000011114 ammonium hydroxide Nutrition 0.000 description 2
- 229910021417 amorphous silicon Inorganic materials 0.000 description 2
- 239000006117 anti-reflective coating Substances 0.000 description 2
- 229910052789 astatine Inorganic materials 0.000 description 2
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical compound [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 description 2
- 229910052799 carbon Inorganic materials 0.000 description 2
- 229910052801 chlorine Inorganic materials 0.000 description 2
- 238000004140 cleaning Methods 0.000 description 2
- 229910017052 cobalt Inorganic materials 0.000 description 2
- 239000010941 cobalt Substances 0.000 description 2
- GUTLYIVDDKVIGB-UHFFFAOYSA-N cobalt atom Chemical compound [Co] GUTLYIVDDKVIGB-UHFFFAOYSA-N 0.000 description 2
- 238000012864 cross contamination Methods 0.000 description 2
- 238000002425 crystallisation Methods 0.000 description 2
- 230000008025 crystallization Effects 0.000 description 2
- 230000006378 damage Effects 0.000 description 2
- 230000008020 evaporation Effects 0.000 description 2
- 238000001704 evaporation Methods 0.000 description 2
- 229910052732 germanium Inorganic materials 0.000 description 2
- GNPVGFCGXDBREM-UHFFFAOYSA-N germanium atom Chemical compound [Ge] GNPVGFCGXDBREM-UHFFFAOYSA-N 0.000 description 2
- 238000010438 heat treatment Methods 0.000 description 2
- 238000009413 insulation Methods 0.000 description 2
- MRELNEQAGSRDBK-UHFFFAOYSA-N lanthanum oxide Inorganic materials [O-2].[O-2].[O-2].[La+3].[La+3] MRELNEQAGSRDBK-UHFFFAOYSA-N 0.000 description 2
- 238000005224 laser annealing Methods 0.000 description 2
- 229910052749 magnesium Inorganic materials 0.000 description 2
- 229910052758 niobium Inorganic materials 0.000 description 2
- 150000004767 nitrides Chemical class 0.000 description 2
- 239000011368 organic material Substances 0.000 description 2
- 239000001301 oxygen Substances 0.000 description 2
- 238000004151 rapid thermal annealing Methods 0.000 description 2
- 238000005389 semiconductor device fabrication Methods 0.000 description 2
- 229910021332 silicide Inorganic materials 0.000 description 2
- FVBUAEGBCNSCDD-UHFFFAOYSA-N silicide(4-) Chemical compound [Si-4] FVBUAEGBCNSCDD-UHFFFAOYSA-N 0.000 description 2
- GUVRBAGPIYLISA-UHFFFAOYSA-N tantalum atom Chemical compound [Ta] GUVRBAGPIYLISA-UHFFFAOYSA-N 0.000 description 2
- WGTYBPLFGIVFAS-UHFFFAOYSA-M tetramethylammonium hydroxide Chemical compound [OH-].C[N+](C)(C)C WGTYBPLFGIVFAS-UHFFFAOYSA-M 0.000 description 2
- 229910052720 vanadium Inorganic materials 0.000 description 2
- 229910052726 zirconium Inorganic materials 0.000 description 2
- ITWBWJFEJCHKSN-UHFFFAOYSA-N 1,4,7-triazonane Chemical compound C1CNCCNCCN1 ITWBWJFEJCHKSN-UHFFFAOYSA-N 0.000 description 1
- 229910002704 AlGaN Inorganic materials 0.000 description 1
- 229910017083 AlN Inorganic materials 0.000 description 1
- 229910000980 Aluminium gallium arsenide Inorganic materials 0.000 description 1
- ZOXJGFHDIHLPTG-UHFFFAOYSA-N Boron Chemical compound [B] ZOXJGFHDIHLPTG-UHFFFAOYSA-N 0.000 description 1
- OKTJSMMVPCPJKN-UHFFFAOYSA-N Carbon Chemical compound [C] OKTJSMMVPCPJKN-UHFFFAOYSA-N 0.000 description 1
- RYGMFSIKBFXOCR-UHFFFAOYSA-N Copper Chemical compound [Cu] RYGMFSIKBFXOCR-UHFFFAOYSA-N 0.000 description 1
- 229910052691 Erbium Inorganic materials 0.000 description 1
- 229910052688 Gadolinium Inorganic materials 0.000 description 1
- 229910001218 Gallium arsenide Inorganic materials 0.000 description 1
- 229910000530 Gallium indium arsenide Inorganic materials 0.000 description 1
- 229910000673 Indium arsenide Inorganic materials 0.000 description 1
- GPXJNWSHGFTCBW-UHFFFAOYSA-N Indium phosphide Chemical compound [In]#P GPXJNWSHGFTCBW-UHFFFAOYSA-N 0.000 description 1
- 229910052765 Lutetium Inorganic materials 0.000 description 1
- ZOKXTWBITQBERF-UHFFFAOYSA-N Molybdenum Chemical compound [Mo] ZOKXTWBITQBERF-UHFFFAOYSA-N 0.000 description 1
- 229910052779 Neodymium Inorganic materials 0.000 description 1
- 229910052772 Samarium Inorganic materials 0.000 description 1
- 229910004166 TaN Inorganic materials 0.000 description 1
- 229910004200 TaSiN Inorganic materials 0.000 description 1
- 229910052775 Thulium Inorganic materials 0.000 description 1
- NRTOMJZYCJJWKI-UHFFFAOYSA-N Titanium nitride Chemical compound [Ti]#N NRTOMJZYCJJWKI-UHFFFAOYSA-N 0.000 description 1
- 230000004075 alteration Effects 0.000 description 1
- 238000000277 atomic layer chemical vapour deposition Methods 0.000 description 1
- 229910052788 barium Inorganic materials 0.000 description 1
- 229910052796 boron Inorganic materials 0.000 description 1
- 230000015556 catabolic process Effects 0.000 description 1
- 230000008859 change Effects 0.000 description 1
- 238000001311 chemical methods and process Methods 0.000 description 1
- 239000011248 coating agent Substances 0.000 description 1
- 238000000576 coating method Methods 0.000 description 1
- 238000010276 construction Methods 0.000 description 1
- 238000010924 continuous production Methods 0.000 description 1
- 229910052802 copper Inorganic materials 0.000 description 1
- 239000010949 copper Substances 0.000 description 1
- 239000013078 crystal Substances 0.000 description 1
- 229910021419 crystalline silicon Inorganic materials 0.000 description 1
- 230000007423 decrease Effects 0.000 description 1
- 230000003247 decreasing effect Effects 0.000 description 1
- 230000007547 defect Effects 0.000 description 1
- 238000006731 degradation reaction Methods 0.000 description 1
- 238000000280 densification Methods 0.000 description 1
- 238000005137 deposition process Methods 0.000 description 1
- 238000007598 dipping method Methods 0.000 description 1
- 230000005611 electricity Effects 0.000 description 1
- 238000005566 electron beam evaporation Methods 0.000 description 1
- 238000009713 electroplating Methods 0.000 description 1
- 238000005516 engineering process Methods 0.000 description 1
- 238000000407 epitaxy Methods 0.000 description 1
- 239000011521 glass Substances 0.000 description 1
- BHEPBYXIRTUNPN-UHFFFAOYSA-N hydridophosphorus(.) (triplet) Chemical compound [PH] BHEPBYXIRTUNPN-UHFFFAOYSA-N 0.000 description 1
- 239000001257 hydrogen Substances 0.000 description 1
- 229910052739 hydrogen Inorganic materials 0.000 description 1
- 238000010348 incorporation Methods 0.000 description 1
- WPYVAWXEWQSOGY-UHFFFAOYSA-N indium antimonide Chemical compound [Sb]#[In] WPYVAWXEWQSOGY-UHFFFAOYSA-N 0.000 description 1
- RPQDHPTXJYYUPQ-UHFFFAOYSA-N indium arsenide Chemical compound [In]#[As] RPQDHPTXJYYUPQ-UHFFFAOYSA-N 0.000 description 1
- 239000012212 insulator Substances 0.000 description 1
- 239000011229 interlayer Substances 0.000 description 1
- 238000005468 ion implantation Methods 0.000 description 1
- 229910052745 lead Inorganic materials 0.000 description 1
- 229910003443 lutetium oxide Inorganic materials 0.000 description 1
- 229910001092 metal group alloy Inorganic materials 0.000 description 1
- 238000001451 molecular beam epitaxy Methods 0.000 description 1
- 239000011733 molybdenum Substances 0.000 description 1
- 239000002064 nanoplatelet Substances 0.000 description 1
- RUFLMLWJRZAWLJ-UHFFFAOYSA-N nickel silicide Chemical compound [Ni]=[Si]=[Ni] RUFLMLWJRZAWLJ-UHFFFAOYSA-N 0.000 description 1
- 229910021334 nickel silicide Inorganic materials 0.000 description 1
- 230000003647 oxidation Effects 0.000 description 1
- 238000007254 oxidation reaction Methods 0.000 description 1
- 230000004792 oxidative damage Effects 0.000 description 1
- KTUFCUMIWABKDW-UHFFFAOYSA-N oxo(oxolanthaniooxy)lanthanum Chemical compound O=[La]O[La]=O KTUFCUMIWABKDW-UHFFFAOYSA-N 0.000 description 1
- 229920002120 photoresistant polymer Polymers 0.000 description 1
- 239000011295 pitch Substances 0.000 description 1
- 238000005268 plasma chemical vapour deposition Methods 0.000 description 1
- 238000005498 polishing Methods 0.000 description 1
- 229920000642 polymer Polymers 0.000 description 1
- 229910052761 rare earth metal Inorganic materials 0.000 description 1
- 150000002910 rare earth metals Chemical class 0.000 description 1
- HYXGAEYDKFCVMU-UHFFFAOYSA-N scandium(III) oxide Inorganic materials O=[Sc]O[Sc]=O HYXGAEYDKFCVMU-UHFFFAOYSA-N 0.000 description 1
- FZHAPNGMFPVSLP-UHFFFAOYSA-N silanamine Chemical class [SiH3]N FZHAPNGMFPVSLP-UHFFFAOYSA-N 0.000 description 1
- 239000005368 silicate glass Substances 0.000 description 1
- 150000004760 silicates Chemical class 0.000 description 1
- LIVNPJMFVYWSIS-UHFFFAOYSA-N silicon monoxide Chemical compound [Si-]#[O+] LIVNPJMFVYWSIS-UHFFFAOYSA-N 0.000 description 1
- 239000002356 single layer Substances 0.000 description 1
- 238000002791 soaking Methods 0.000 description 1
- 239000000243 solution Substances 0.000 description 1
- 230000003068 static effect Effects 0.000 description 1
- IATRAKWUXMZMIY-UHFFFAOYSA-N strontium oxide Inorganic materials [O-2].[Sr+2] IATRAKWUXMZMIY-UHFFFAOYSA-N 0.000 description 1
- 238000006467 substitution reaction Methods 0.000 description 1
- 229910003468 tantalcarbide Inorganic materials 0.000 description 1
- MZLGASXMSKOWSE-UHFFFAOYSA-N tantalum nitride Chemical compound [Ta]#N MZLGASXMSKOWSE-UHFFFAOYSA-N 0.000 description 1
- WFKWXMTUELFFGS-UHFFFAOYSA-N tungsten Chemical compound [W] WFKWXMTUELFFGS-UHFFFAOYSA-N 0.000 description 1
- 239000010937 tungsten Substances 0.000 description 1
- RUDFQVOCFDJEEF-UHFFFAOYSA-N yttrium(III) oxide Inorganic materials [O-2].[O-2].[O-2].[Y+3].[Y+3] RUDFQVOCFDJEEF-UHFFFAOYSA-N 0.000 description 1
Images
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L27/00—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
- H01L27/02—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
- H01L27/04—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
- H01L27/08—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
- H01L27/085—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
- H01L27/088—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
- H01L27/092—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L27/00—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
- H01L27/02—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
- H01L27/04—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
- H01L27/08—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
- H01L27/085—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
- H01L27/088—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
- H01L27/092—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
- H01L27/0924—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/77—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
- H01L21/78—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
- H01L21/82—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
- H01L21/822—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
- H01L21/8232—Field-effect technology
- H01L21/8234—MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
- H01L21/8238—Complementary field-effect transistors, e.g. CMOS
- H01L21/823821—Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/77—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
- H01L21/78—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
- H01L21/82—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
- H01L21/822—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
- H01L21/8232—Field-effect technology
- H01L21/8234—MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
- H01L21/8238—Complementary field-effect transistors, e.g. CMOS
- H01L21/823828—Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
- H01L21/823842—Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes gate conductors with different gate conductor materials or different gate conductor implants, e.g. dual gate structures
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/77—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
- H01L21/78—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
- H01L21/82—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
- H01L21/822—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
- H01L21/8232—Field-effect technology
- H01L21/8234—MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
- H01L21/8238—Complementary field-effect transistors, e.g. CMOS
- H01L21/823857—Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate insulating layers, e.g. different gate insulating layer thicknesses, particular gate insulator materials or particular gate insulator implants
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L27/00—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
- H01L27/02—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
- H01L27/0203—Particular design considerations for integrated circuits
- H01L27/0207—Geometrical layout of the components, e.g. computer aided design; custom LSI, semi-custom LSI, standard cell technique
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/40—Electrodes ; Multistep manufacturing processes therefor
- H01L29/43—Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
- H01L29/49—Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
- H01L29/51—Insulating materials associated therewith
- H01L29/517—Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate
Landscapes
- Engineering & Computer Science (AREA)
- Power Engineering (AREA)
- Microelectronics & Electronic Packaging (AREA)
- General Physics & Mathematics (AREA)
- Physics & Mathematics (AREA)
- Computer Hardware Design (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- Manufacturing & Machinery (AREA)
- Ceramic Engineering (AREA)
- General Engineering & Computer Science (AREA)
- Insulated Gate Type Field-Effect Transistor (AREA)
- Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
- Crystals, And After-Treatments Of Crystals (AREA)
- Light Receiving Elements (AREA)
Abstract
場效電晶體的閘極結構包含第一閘極介電層、第二閘極介電層,以及一或多個設置於第一閘極介電層以及第二閘極介電層上方的導電層。第一閘極介電層藉由被填充有擴散阻擋層的間隙與第二閘極介電層隔開。
Description
本發明係有關於一種半導體元件及其製造方法。
隨著積體電路的更小尺寸以及對積體電路速度越來越高的要求,隨著越來越小的尺寸,電晶體需要具有越來越大的驅動電流。因此開發了三維場效電晶體(FET)。三維(3D)FET包含設置在基板上方的垂直半導體奈米結構(例如,鰭片、奈米線材、奈米層等)。半導體奈米結構用於形成源極和汲極區域,以及源極和汲極區域之間的通道區域。形成淺溝渠隔離(STI)區域以界定半導體奈米結構。3D FET還包含形成在半導體鰭片的側壁和頂面上或奈米線材和奈米層的所有側上的閘極堆疊。由於3D FET具有三維通道結構,因此對通道進行離子注入製程需要格外小心,以減少任何幾何效應。隨著積體電路的更小尺寸,附近的元件之間的間距減小,並且不同的閾值電壓元件之間越來越靠近。由於各種製程和/或結構問題,導致了閾值電壓偏移。
根據本揭露的一些實施方式中,一種半導體元件的製造方法包含:形成閘極介電層於閘極空間中,閘極空間由一或多個絕緣層形成;透過形成溝渠將閘極介電層分離成第一閘極介電層以及第二閘極介電層;形成一或多個功函數調整材料層於第一閘極介電層以及第二閘極介電層上方;以及形成體閘極電極層於一或多個功函數調整材料層上方。
在本揭露的一些實施方式中,一種半導體元件的製造方法包含:形成第一閘極介電層於由第一半導體材料製成之第一通道區域上方以及隔離絕緣層上方;形成第二閘極介電層於由第二半導體材料製成之第二通道區域上方以及隔離絕緣層上方,第一閘極介電層於位於隔離絕緣層上方之邊界與第二閘極介電層橫向接觸;藉由利用圖案化操作將第一閘極介電層以及第二閘極介電層以溝渠隔開;以及藉由以介電材料或導電材料填充溝渠以形成擴散阻障,其中:第一閘極介電層以及第二閘極介電層之至少一者包含摻雜物;第一閘極介電層以及第二閘極介電層之間之摻雜物濃度相異;以及擴散阻障用作對摻雜物之阻障。
在本揭露的一些實施方式中,一種半導體元件包含第一閘極介電層、第二閘極介電層以及一或多個導電層。導電層設置於第一閘極介電層以及第二閘極介電層上,其中第一閘極介電層藉由填充有擴散阻擋層之間隙與第二閘
極介電層隔開。
在本揭露的一些實施方式中,一種半導體元件包含第一場效電晶體以及第二場效電晶體。第一場效電晶體包含第一閘極結構。第一閘極結構設置於第一通道區域上方。第二場效電晶體具有與第一場效電晶體不同之導電類型,並且包含第二閘極結構。第二閘極結構設置於第二通道區域上方,其中第一閘極結構包含:第一閘極介電層、第一功函數調整材料層以及第一金屬閘極電極層。第一閘極介電層於第一通道區域上方。第一功函數調整材料層於第一閘極介電層上方。第二閘極結構包含:第二閘極介電層、第二功函數調整材料層以及第二金屬閘極電極層。第二閘極介電層於第二通道區域上方。第二功函數調整材料層於第二閘極介電層上方。第一金屬閘極電極層以及第二金屬閘極電極層係連續形成並且由相同之材料製成,第一閘極介電層藉由間隙與第二閘極介電層隔開,第一閘極介電層或第二閘極介電層中之至少一者包含摻雜物,並且於第一閘極介電層以及第二閘極介電層中之摻雜物濃度相異。
在本揭露的一些實施方式中,一種半導體元件包含第一場效電晶體以及第二場效電晶體。第一場效電晶體包含第一閘極結構。第一閘極結構設置於第一通道區域上方。第二場效電晶體具有與第一場效電晶體不同之導電類型,並且包含第二閘極結構。第二閘極結構設置於第二通道區域上方,其中第一閘極結構包含:第一閘極介電層、第二閘極介電層、第一功函數調整材料層以及第一金屬閘極電
極層。第一閘極介電層設置於第一通道區域上方。第二閘極介電層設置於第一閘極介電層上方。第一功函數調整材料層設置於第二閘極介電層上方。第一金屬閘極電極層設置於第一功函數調整材料層上方。第二閘極結構包含第三閘極介電層、第四閘極介電層、第二功函數調整材料層以及第二金屬閘極電極層。第三閘極介電層設置於第二通道區域上方。第四閘極介電層設置於第二通道區域上方。第二功函數調整材料層設置於第四閘極電介質層上方。第二金屬閘極電極層設置於第二功函數調整材料層上方。第一金屬閘極電極層以及第二金屬閘極電極層係連續形成,並且第一閘極介電層藉由間隙與第二閘極介電層隔開。
10:基板
10N:n型矽基板
10P:p形矽基板
20:鰭狀結構
30:隔離絕緣層
40:虛設閘極結構
42:虛設閘極介電層
44:虛設閘極電極層
46:側壁間隔物
47:閘極空間
50:ILD層
60:源極/汲極磊晶層
76:溝渠
77:連接圖案
80:閘極堆疊
81:界面層
81N:氧化矽層
81P:氧化矽鍺層
82:閘極介電層
82A:低擴散偶極元素高κ介電層
82B:高擴散偶極元素高κ介電層
83:第一導電層
84:第二導電層
86,89:WFM層
87:膠合層
88:體閘極電極層
89-1:第三WFM層
89-2:第二WFM層
100:第一WFM層
101:覆蓋層
130:硬遮罩層
135:遮罩圖案
140:另一介電層
182:第一偶極高κ介電層
282:第二偶極高κ介電層
A1,A2,B1,GS:區域
D1,D2:蝕刻量
HK1,HK2,HK3:配置
MB:邊界
N1:第一n型FET
N2:第二n型FET
N3:第三n型FET
P1:第一p型FET
P2:第二p型FET
P3:第三p型FET
PD:下拉電晶體
PG:通過閘極電晶體
PU:上拉電晶體
S301,S303,S305,S307,S309,S311,S313:步驟
Vt,Vt1,Vt2,Vt3,Vt4,Vt5,Vt6,Vt7,Vt8,Vt9:閾值電壓
W1:寬度
WF1,WF2,WF3:WFM層結構
當結合附圖閱讀時,根據以下詳細描述可以最好理解本揭露。要強調的是,根據行業中的標準實施,各種特徵未按比例繪製,僅為了說明的目的。實際上,為了清楚敘述,各種特徵的尺寸可以任意增加或減小。
第1A圖是根據本揭露之一實施方式繪示的半導體元件的剖面圖。第1B圖是根據本揭露之一實施方式繪示的半導體元件的透視圖。第1C圖是根據本揭露之一實施方式繪示的半導體元件的另一剖面圖。
第2A圖、第2B圖、第2C圖、第2D圖、第2E圖以及第2F圖是根據本揭露之一實施方式繪示的半導體元件連續製程之各個階段的剖面圖。
第3A圖、第3B圖、第3C圖、第3D圖、第3E圖以及第3F圖是根據本揭露之一實施方式繪示的半導體元件連續製程之各個階段的剖面圖。第3G圖是根據本揭露之一實施方式繪示的製造半導體元件的處理流程。
第4A圖是根據本揭露之一實施方式繪示的具有不同閾值電壓的多個FET的閘極結構。第4B圖以及第4C圖是根據本揭露實施方式繪示的用於具有不同閾值電壓的多個FET的各種功函數調整材料層和高κ閘極介電層。
第5A圖是根據本揭露之一實施方式繪示的CMOS電路的平面圖(佈局)。第5B圖是根據本揭露之一實施方式繪示的對應於第5A圖的區域A1的剖面圖。第5C圖是根據本揭露之一實施方式繪示之第5A圖的區域B1的放大圖。
第6A圖是根據本揭露之一實施方式繪示的CMOS電路的平面圖(佈局)。第6B圖是根據本揭露之一實施方式繪示的對應於第5A圖的區域A1的剖面圖。第6C圖是根據本揭露之一實施方式繪示的第5A圖的區域B1的放大圖。
第7A圖、第7B圖、第7C圖、第7D圖、第7E圖、第7F圖、第7G圖、第7H圖以及第7I圖是根據本揭露的實施方式繪示的半導體元件製程的各個階段。
第8A圖、第8B圖、第8C圖、第8D圖、第8E圖第8F圖、第8G圖、第8H圖、第8I圖、第8J圖、第8K圖以及第8L圖是根據本揭露的實施方式繪示的半導體
元件連續製程的各種視圖。
第9A圖、第9B圖、第9C圖、第9D圖、第9E圖、第9F圖以及第9G圖是根據本揭露的實施方式繪示的半導體元件連續製程的各個階段的剖面圖。
第10A圖、第10B圖、第10C圖、第10D圖以及第10E圖是根據本揭露的實施方式繪示的半導體元件連續製程的各個階段的剖面圖。
第11A圖、第11B圖、第11C圖、第11D圖、第11E圖、第11F圖、第11G圖、第11H圖、第11I圖、第11J圖、第11K圖、第11L圖、第11M圖、第11N圖、第11O圖、第11P圖、第11Q圖、第11R圖、第11S圖、第11T圖、第11U圖、第11V圖以及第11W圖是根據本揭露的實施方式繪示的半導體元件的各種視圖。
第12圖是根據本揭露的實施方式繪示的靜態隨機存取記憶體(SRAM)佈局。
第13圖是根據本揭露的實施方式繪示的各種電路佈局。
應當理解,以下揭露內容提供了用於實現本發明的不同特徵的許多不同的實施方式或實施例。下面描述組件和佈置的特定實施方式或實施例以簡化本揭露。當然,這些僅是舉例,並不旨在進行限制。例如,元件的尺寸不限
於所揭露的範圍或值,而是可以取決於製程條件和/或裝置的期望特性。此外,在下面的描述中形成第一特徵在第二特徵上方或之上可以包含第一和第二特徵直接接觸形成的實施方式,並且還可以包含形成插入第一特徵以及第二特徵之附加特徵的實施方式,使得第一特徵和第二特徵可以不直接接觸。為了簡單和清楚起見,可以以不同比例任意繪製各種特徵。在附圖中,為了簡化,可以省略一些層/特徵。
此外,為了便於描述,本文中可以使用像是“在...下方”,“在...下方”,“在...下方”,“在...上方”,“在...上方”之類的空間相對術語,以便於描述以描述一個元素或特徵與如圖所示的另一個或多個元件或特徵。除了在圖中描述的方位之外,空間相對術語還意圖涵蓋設備在使用或操作中的不同方位。可以以其他方式定向設備(旋轉90度或其他方向),並且在此使用的空間相對描述語也可以相應地解釋。另外,術語“由...製成”可以表示“包含”或“由...組成”。此外,在隨後的製造過程中,在所描述的操作之間可以存在一個或多個附加操作,並且可以改變操作的順序。在本揭露中,用語「A、B和C之一」是指「A、和/或C」(A、B、C、A和B、A和C、B和C或A、B和C),除非另有說明,否則不表示來自A的一個元素、來自B的一個元素和來自C的一個元素。在整個揭露中,源極和汲極可互換使用,並且源極/汲極是指源極和汲極之一或兩者。在以下實施方式中,可以在其他實施方式中採用關於一個
實施方式所描述的材料、配置、尺寸、製程和/或操作(例如,一個或多個附圖),並且可以省略其詳細描述。
本揭露的實施方式有關於一種半導體元件,尤其有關於場效電晶體(FET)的閘極結構及其製造方法。此處揭露的實施方式通常不僅適用於平面式FET,而且還適用於鰭式FET(FinFET)、雙閘極FET、環繞閘極FET、Ω形閘極FET或全環繞閘極(GAA)FET(例如,橫向全環繞閘極FET或垂直全環繞閘極FET)和/或奈米線電晶體、奈米片電晶體、奈米叉形片電晶體、奈米平板電晶體或任何具有一個或多個在閘極結構中的功函數調整材料(WFM)層的適合元件。
隨著將尺寸更縮小的技術,半導體元件(例如,電晶體)被設置得更彼此靠近,並且鄰近效應(對附近元件的損壞)是必須注意的。在FET結構中,構建多個具有低Vt的Vt元件對於降低功耗和提升元件性能至關重要。金屬閘極薄膜的成分和厚度在定義元件功函數中起了至關重要的作用。可以透過調整閘極介電層的材料和/或厚度和/或設置在閘極介電層和體金屬閘極電極層(例如,W層)之間的一個或多個功函數調整材料層(WFM)來實現具有不同閾值電壓的多個FET。此外,高κ偶極層用於形成不同的Vt元件。當在附近的FET元件中將不同的高κ偶極層用作閘極介電層時,使用不同的閘極介電層的不同Vt元件之間的交叉污染(例如,La的擴散)可能是個問題。
本揭露係有關於一種用於防止La擴散跨越不同
Vt元件的邊界之方法和元件結構。
第1A圖和第1C圖根據本揭露之一實施方式繪示了剖面圖,而第1B圖根據本揭露之一實施方式繪示了半導體元件的透視圖。
在一些實施方式中,半導體元件包含設置在鰭狀結構20的通道區域上方的閘極堆疊80。如第1A圖所示,閘極堆疊80包含界面層81、閘極介電層82、作為蓋層的第一導電層83、作為第一阻障層的第二導電層84,功函數調整材料層或功函數調整層(WFM層)86、膠合層87和體閘極電極層88。在一些實施方式中,鰭狀結構20設置在基板10上方並且從隔離絕緣層30突出。此外,閘極側壁間隔物46設置在閘極堆疊80的相反側面上,並且一個或多個介電層(ILD層)50形成以覆蓋閘極側壁間隔物46。在一些實施方式中,一塊絕緣材料(虛設閘極介電層)42設置在閘極側壁間隔物46和隔離絕緣層30之間。此外,如第1B圖所示,源極/汲極磊晶層60形成在凹陷鰭狀結構上方。雖然第1A圖繪示了兩個鰭狀結構並且第1B圖繪示了三個鰭狀結構,但鰭狀結構的數量不限於第1A圖和第1B圖所示。
在一些實施方式中,鰭狀結構的通道區域由用於n型FET的Si和用於p型FET的SiGe製成。在一些實施方式中,SiGe的Ge濃度在約20原子%至約60原子%的範圍內,並且在其他實施方式中,在約30原子%至約50原子%的範圍內。在一些實施方式中,n型FET的通
道區域的含Ge量小於p型FET的SiGe通道的含Ge量。在其他實施方式中,p型FET和n型FET的通道區域均由Si或化合物半導體製成。
在一些實施方式中,第一導電層83包含金屬氮化物,例如WN、TaN、TiN和TiSiN。在一些實施方式中使用到TiN。在一些實施方式中,第一導電層83的厚度在約0.3nm至約30nm的範圍內,並且在其他實施方式中,在約0.5nm至約25nm的範圍內。在一些實施方式中,第一導電層83是具有例如柱狀晶粒的晶體。在一些實施方式中,不形成第一導電層83。在一些實施方式中,在透過濕式蝕刻製程進行退火操作之後,形成第一導電層83,然後將其去除。
在一些實施方式中,第二導電層84包含金屬氮化物,例如WN、WCN、Ru、TiAlN、AlN、TaN、TiN和TiSiN。在一些實施方式中使用到TaN。在一些實施方式中,第二導電層84的厚度在約0.3nm至約30nm的範圍內,並且在其他實施方式中,在約0.5nm至約25nm的範圍內。在一些實施方式中,第二導電層84用作阻障層或蝕刻停止層。在一些實施方式中,第二導電層84比第一導電層83薄。在一些實施方式中,不形成第二導電層84。
在一些實施方式中,WFM層86由像是TiN、WN、WCN、Ru、W、TaAlC、TiC、TaAl、TaC、Co、Al、TiAl或TiAlC的單層之導電材料,或是兩種或多種這些材料的多層所製成。對於具有Si通道的n型FET,
使用形成在其下之含鋁層,例如TiAl、TiAlC、TaAl和/或TaAlC和可選擇地形成TaN、TiN、WN、TiC、WCN、MoN和/或Co中的一種或多種。對於具有SiGe通道的p型FET,使用形成在其上的TaN、TiN、WN、TiC、WCN、MoN和/或Co中的一種或多種以及TiAl、TiAlC、TaAl和TaAlC中的一種或多種。
在一些實施方式中,膠合層87由TiN、Ti和Co中的一種或多種製成。在一些實施方式中,體閘極電極層88包含一層或多層導電材料,例如多晶矽、鋁、銅、鈦、鉭、鎢、鈷、鉬、氮化鉭、矽化鎳、矽化鈷、TiN、WN、WCN、Ru、TiAl、TiAlN、TaCN、TaC、TaSiN、金屬合金、其他適合的材料和/或其組合。
如上所述,在一些實施方式中不形成第一導電層83和第二導電層84。在這種情況下,一個或多個WFM層直接形成在閘極介電層82上。
第2A圖至第3F圖根據本揭露之一實施方式繪示了半導體元件的連續製程的各個階段的剖面圖。第3G圖根據本揭露之一實施方式繪示了製造半導體元件的處理流程。應當理解,在連續的製造過程中,可以在第2A圖至第3F圖所示的階段之前、之中和之後提供一個或多個附加操作,並且,以下描述的一些操作可以被替換或消除以用於該方法的另外的實施方式。操作/製程的順序可以互換。
如第2A圖所示,在基板10上方製造一個或多個鰭狀結構20。舉例來說,基板10是雜質濃度在約1×1015
cm-3至約1×1018cm-3的範圍內的p型矽基板。在其他實施方式中,基板10是雜質濃度在大約1×1015cm-3至大約1×1018cm-3的範圍內的n型矽基板。或者,基板10可以包含:其他元素半導體,像是鍺;一種化合物半導體包含IV-IV族化合物半導體,像是SiC和SiGe,III-V族化合物半導體像是GaAs、GaP、GaN、InP、InAs、InSb、GaAsP、AlGaN、AlInAs、AlGaAs、GaInAs、GaInP和/或GaInAsP;或其組合。在一個實施方式中,基板10是SOI(絕緣體上矽)基板的矽層。像是非晶矽或非晶SiC之類的非晶基板或像是氧化矽之類的絕緣材料也可以用作基板10。基板10可以包含已經適當地摻有雜質的各種區域(例如,p型或n型導電性)。
在一些實施方式中,透過蝕刻將用於p型FET的基板10的一部分凹陷,並在凹陷上方形成SiGe層。第2A圖至第3F圖繪示了n-FET的情況,但是大多數製程基本上和p型FET相同。
鰭狀結構20可以透過任何適合的方法來圖案化。舉例來說,可以使用一種或多種光微影製程來圖案化鰭狀結構20,光微影製程包含雙重圖案化或多重圖案化製程。通常,雙重圖案化或多重圖案化製程將光微影和自動對準製程相結合,而允許產生例如間距小於使用單次直接光微影製程可獲得的間距的圖案。舉例來說,在一個實施方式中,在基板上方形成犧牲層,並使用光微影製程對其進行圖案化。使用自動對準製程在圖案化的犧牲層旁邊形成間
隔物。之後去除犧牲層,然後可以使用剩餘的間隔物來圖案化鰭狀結構20。
如第2A圖所示,在Y方向上延伸的兩個鰭狀結構20在X方向上彼此相鄰設置。但是,鰭狀結構的數量不限於兩個。此數量可以是一個、三個、四個或五個或更多。除此之外,可以在鰭狀結構20的兩側附近設置多個虛設鰭狀結構中的一個,以提升圖案化製程中的圖案保真度。在一些實施方式中,鰭狀結構20的寬度在約5nm至約40nm的範圍內,並且在某些實施方式中在約7nm至約15nm的範圍內。在一些實施方式中,鰭狀結構20的高度在約100nm至約300nm的範圍內,並且在其他實施方式中在約50nm至約100nm的範圍內。在一些實施方式中,鰭狀結構20之間的間隔在約5nm至約80nm的範圍內,並且在其他實施方式中在約7nm至約15nm的範圍內。然而,本領域技術人員將理解到,貫穿說明書描述的尺寸和值僅是舉例,並且可以改變以適配於積體電路的不同規模。
如第2B圖所示,在形成鰭狀結構20之後,在鰭狀結構20上方形成隔離絕緣層30。
隔離絕緣層30包含一層或多層絕緣材料,例如透過LPCVD(低壓化學氣相沉積)、電漿CVD或可流動CVD形成的氧化矽、氧氮化矽或氮化矽。在可流動的CVD中,沉積可流動的介電材料而非氧化矽。顧名思義,可流動的介電材料可以在沉積過程中“流動”,以高長寬比填充
間隙或空間。通常,將各種化學物質添加到含矽前驅物中以使沉積的薄膜流動。在一些實施方式中,添加氫氮鍵。可流動的介電質前驅物,特別是可流動的氧化矽前驅物的實施例包含矽酸鹽、矽氧烷、甲基倍半矽氧烷(MSQ)、倍半矽氧烷氫(HSQ)、MSQ和HSQ的混合物、全氫矽氮烷(TCPS)、全氫聚矽氮烷(PSZ)、原矽酸四乙酯(TEOS)或甲矽烷基胺(例如,三甲矽烷基胺(TSA))。這些可流動的氧化矽材料是在多次操作製程中形成的。在沉積可流動薄膜之後,將其固化之後,並退火以去除不想要的元素以形成氧化矽。可流動薄膜可以摻雜有硼和/或磷。在一些實施方式中,隔離絕緣層30可以由旋塗玻璃(SOG)、SiO、SiON、SiOCN和/或摻有氟化物的矽酸鹽玻璃(FSG)中的一層或多層形成。
如第2B圖所示,在鰭狀結構20上方形成隔離絕緣層30之後,執行平坦化操作以去除部分隔離絕緣層30和遮罩層(例如,形成在墊氧化層上的襯墊氧化物層和氮化矽遮罩層)。平坦化操作可以包含化學機械拋光(CMP)和/或回蝕製程。然後,進一步去除隔離絕緣層30,從而暴露出成為通道層的鰭狀結構20的上部。
在某些實施方式中,使用濕式蝕刻製程例如透過將基板浸入氫氟酸(HF)中來執行部分去除隔離絕緣層30。在另一實施方式中,使用乾式蝕刻製程來執行部分去除隔離絕緣層30的操作。舉例來說,可以使用以CHF3或BF3作為蝕刻氣體的乾式蝕刻製程。
在形成隔離絕緣層30之後,可以執行熱處理,例如退火處理,以提升隔離絕緣層30的品質。在某些實施方式中,透過使用在惰性氣體環境(例如N2、Ar或He環境)中,在約900℃至約1050℃的溫度下約1.5秒鐘至約10秒鐘的快速熱退火(RTA)來執行熱處理。
然後,如第2C圖所示,在鰭狀結構20的一部分上方形成虛設閘極結構40。
在隔離絕緣層30和暴露的鰭狀結構20之上方形成介電層和多晶矽層,然後執行圖案化操作以獲得包含由多晶矽製成的虛設閘極電極層44和虛設閘極介電層42的虛設閘極結構40。在一些實施方式中,透過使用包含氮化矽層和氧化物層的硬遮罩來執行多晶矽層的圖案化。虛設閘極介電層42可以是透過CVD、物理氣相沉積(PVD)、原子層沉積(ALD)、電子束蒸發或其他適合的製程形成的氧化矽。在一些實施方式中,虛設閘極介電層42包含氧化矽、氮化矽、氮氧化矽或高κ介電質的一層或多層。在一些實施方式中,虛設閘極介電層42的厚度在約1nm至約5nm的範圍內。
在一些實施方式中,虛設閘極電極層44是具有均勻或非均勻摻雜的摻雜多晶矽。在本實施方式中,虛設閘極電極層44的寬度在約30nm至約60nm的範圍內。在一些實施方式中,虛設閘極電極層的厚度在約30nm至約50nm的範圍內。除此之外,可以在虛設閘極結構40的兩側附近設置多個虛設閘極結構中的一個,以提升圖案
化製程中的圖案保真度。在一些實施方式中,虛設閘極結構40的寬度在約5nm至約40nm的範圍內,並且在某些實施方式中在約7nm至約15nm的範圍內。
進一步地,如第2C圖和第2D圖所示,在虛設閘極結構40的相反側面上形成側壁間隔物46。第2D圖是在y-z平面中的剖面。在虛設閘極結構40上方形成用於側壁間隔物46的絕緣材料層。以共形的方式沉積絕緣材料層,使得絕緣材料層形成以在像是側壁、水平表面和虛設閘極結構40的頂部的垂直表面上具有基本上相等的厚度。在一些實施方式中,絕緣材料層的厚度在約5nm至約20nm的範圍內。絕緣材料層包含SiN、SiON和SiCN或任何其他適合的介電材料中的一種或多種。絕緣材料層可以透過ALD或CVD或任何其他適合的方法來形成。接著,透過各向異性蝕刻去除絕緣材料層的底部,從而形成閘極側壁間隔物46。在一些實施方式中,側壁間隔物46包含兩層至四層不同的絕緣材料。在一些實施方式中,虛設閘極介電層42的一部分設置在側壁間隔物46和隔離絕緣層30之間。在其他實施方式中,沒有虛設閘極介電層42的部分設置在側壁間隔物46和隔離絕緣層30之間。
隨後,在一些實施方式中,未被虛設閘極結構40覆蓋的鰭狀結構20的源極/汲極區域被蝕刻(凹陷)以形成源極/汲極凹槽。在形成源極/汲極凹槽之後,如第2D圖所示,在源極/汲極凹槽中形成一個或多個源極/汲極磊晶層60(也請參考第1B圖)。在一些實施方式中,形成第
一磊晶層、第二磊晶層和第三磊晶層。在其他實施方式中,沒有凹槽形成,並且在鰭狀結構上方形成磊晶層。
在一些實施方式中,第一磊晶層包含用於n型FinFET的SiP或SiCP,和用於p型FinFET的摻雜有B的SiGe或Ge。在一些實施方式中,第一磊晶層中的P(磷)的量在約1×1018原子/cm3至約1×1020原子/cm3的範圍內。在一些實施方式中,第一磊晶層的厚度在約5nm至20nm的範圍內,而在其他實施方式中,在約5nm至約15nm的範圍內。當第一磊晶層是SiGe時,在一些實施方式中,含Ge量為約25原子%至約32原子%,在其他實施方式中,為約28原子%至約30原子%。在一些實施方式中,第二磊晶層包含用於n型FinFET的SiP或SiCP,和用於p型FinFET的摻雜有B的SiGe。在一些實施方式中,第二磊晶層中的含磷量高於第一磊晶層中的含磷量,並且在約1×1020原子/cm3至約2×1020原子/cm3的範圍內。在此實施方式中,第二磊晶層的厚度在約20nm至約40nm的範圍內,或者在其他實施方式中,在約25nm至約35nm的範圍內。當第二磊晶層是SiGe時,在一些實施方式中,含Ge量為約35原子%至約55原子%,在其他實施方式中為約41原子%至約46原子%。在一些實施方式中,第三磊晶層包含SiP磊晶層。第三磊晶層是用於在源極/汲極中形成矽化物的犧牲層。在一些實施方式中,第三磊晶層中的含磷量小於第二磊晶層的含磷量,並且在約1×1018原子/cm3至約1×1021原子/cm3
的範圍內。當第三磊晶層是SiGe時,在一些實施方式中,含Ge量小於約20原子%,而在其他實施方式中,含Ge量為約1原子%至約18原子%。
在至少一個實施方式中,透過LPCVD製程、分子束磊晶、原子層沉積或任何其他適合的方法磊晶生長源極/汲極磊晶層60。LPCVD製程是在約400℃至約850℃的溫度和約1Torr至約200Torr的壓力下使用矽源氣體(例如,SiH4、Si2H6或Si3H8)執行的;鍺源氣體,(例如,GeH4或G2H6);碳源氣體(例如,CH4或SiH3CH3)和磷源氣體(例如,PH3)。
仍參考第2C圖和第2D圖,在源極/汲極磊晶層60和虛設閘極結構40上方形成層間介電(ILD)層50。ILD層50的材料包含含有Si、O、C和/或H的化合物,像是氧化矽、SiCOH和SiOC。有機材料,像是聚合物,也可以用於ILD層50。
如第2D圖所示,在形成ILD層50之後,執行像是CMP的平坦化操作,從而暴露出虛設閘極電極層44的頂部位。在一些實施方式中,在形成ILD層50之前,形成接觸蝕刻停止層,例如氮化矽層或氮氧化矽層。
然後,去除虛設閘極電極層44和虛設閘極介電層42,從而形成如第2E圖和第2F圖所示的閘極空間47。第2F圖是沿著Y方向(源極至汲極方向)的剖面。可以使用電漿乾式蝕刻和/或濕式蝕刻來去除虛設閘極結構。當虛設閘極電極層44是多晶矽並且ILD層50是氧化矽時,
可以使用像是TMAH溶液的濕式蝕刻劑來選擇性地去除虛設閘極電極層44。此後,使用電漿去除虛設閘極介電層42。乾式蝕刻和/或濕式蝕刻。
第3A圖繪示了在閘極空間47中暴露鰭狀結構20的通道區域之後的結構。第3A圖至第3F圖對應第2E圖中的區域GS,因此省略說明側壁間隔物46和ILD層50。
如第3B圖所示,在第3G的步驟S301中,界面層81形成在鰭狀結構20上,並且在第3G的步驟S303中,閘極介電層82形成在界面層81上。在一些實施方式中,透過使用化學氧化來形成界面層81。在一些實施方式中,界面層81包含氧化矽、氮化矽和氧化矽鍺中的一種。在一些實施方式中,當通道由Si製成時,界面層是氧化矽層81N,而當通道由SiGe製成時,界面層是氧化矽鍺層81P(見第4A圖)。在一些實施方式中,界面層81的厚度在約0.6nm至約2nm的範圍內。在一些實施方式中,閘極介電層82包含一層或多層介電材料,例如氧化矽、氮化矽或高κ介電材料、其他適合的介電材料和/或其組合。高κ介電材料的實施例包含HfO2、HfSiO、HfSiON、HfTaO、HfTiO、HfZrO、氧化鋯、氧化鋁、氧化鈦、二氧化鉿-氧化鋁(HfO2-Al2O3)合金、La2O3、HfO2-La2O3、Y2O3、Dy2O3、Sc2O3、MgO或其他適合的高κ介電質材料,和/或其組合。
閘極介電層82可以透過CVD、ALD或任何適合的方法形成。在一個實施方式中,使用像是ALD的高度保
形沉積製程來形成閘極介電層82,以確保在每個通道層周圍形成具有均勻厚度的閘極介電層。在一些實施方式中,閘極介電層82的厚度在約1nm至約30nm的範圍內。
在一些實施方式中,閘極介電層82包含摻雜La的氧化鉿或LaHfOx。在一些實施方式中,在閘極介電層82上形成如下所述的一個或多個高κ偶極層(例如,La氧化物),然後在形成偶極層之後執行退火操作。此外,在一些實施方式中,執行清潔操作以去除在偶極層的圖案化操作中產生的偶極層的殘留物。
然後,如第3C圖所示,在第3G的步驟S305中,形成第一導電層83。在一些實施方式中,第一導電層83可以透過CVD、ALD或任何適合的方法形成。在一些實施方式中,第一導電層83由TiN或TiSiN製成。在一些實施方式中,不形成第一導電層83。
在一些實施方式中,在第3G圖的步驟S307中,在形成第一導電層83之後,在約600℃至約950℃的溫度下執行約1奈秒至約360秒的第一退火操作(尖峰退火,像是激光退火和/或等溫退火)。第一退火有助於使閘極介電層82緻密化並且將氮結合到閘極介電層82中。氮氣有助於鈍化氧空位,減少洩漏並提升元件可靠性。第一退火還有助於形成穩定的混合層,這有助於為隨後的金屬閘極薄膜沉積到介電層上提供穩定的平台。當溫度太高時,第一退火會在高κ閘極介電層82中導致結晶和晶界形成,這影響界面層81的洩漏性能和再生長,這降低了元件速度。
相反地,當溫度太低時,第一退火可能不會在高κ閘極介電層中提供足夠的緻密化和/或氮化作用,並在隨後的金屬閘極沉積過程中導致元件不穩定/變化。在一些實施方式中,當不形成第一導電層83時,在此階段不執行退火操作。在一些實施方式中,形成第一導電層83,然後執行退火操作。之後,透過濕式蝕刻製程去除第一導電層83。
在一些實施方式中,將包含界面層81、閘極介電層82和第一導電層83的堆疊結構浸入含氟氣體(例如,F2和/或NF3)中在約室溫(25℃)至約550℃的溫度下約4秒至約15分鐘。摻入氟有助於改善功函數調整、降低PMOS元件的Vt、鈍化閘極介電層82中的氧空位、減少洩漏並減少閘極介電層中的懸空鍵。此後,在第一導電層83上方形成由例如晶體、多晶或非晶矽製成的覆蓋層,並執行第二退火操作(尖峰退火,例如激光退火)在大約550℃至大約1300℃的溫度下約1奈秒至約360秒。在一些實施方式中,退火溫度為900℃至1100℃。在一些實施方式中,這導致氟擴散到覆蓋層,第一導電層83和閘極介電層82中。在第二退火操作之後去除覆蓋層。使用Si覆蓋層的第二退火還有助於提升閘極介電層82的品質。在相對較低的溫度下形成像是高κ介電層的閘極介電層以避免結晶和晶界形成,同時金屬閘極薄膜在相對較高的溫度下沉積。因此,期望在金屬閘極沉積之前使高κ介電層更加熱穩定。在如上所述的溫度範圍內用覆蓋層進行第二次退火可以使高κ介電層緻密化,並且使其熱穩定,而在金屬
閘極沉積期間沒有任何熱氧化物反轉。第二退火還有助於將氟從外層(例如,覆蓋層)熱擴散到第一導電層83、閘極介電層82和界面層81中。覆蓋層用於保護閘極介電層82和第一導電層83不會受到不期望的氧化損傷,並使這些薄膜與退火氣氛隔離。在閘極介電層熱穩定之後,在最終的元件結構中不再需要覆蓋層,因此將其除去。
在其他實施方式中,不執行伴隨形成矽覆蓋層的氟浸泡操作和第二退火操作。
隨後,在第3G圖的步驟S309中,形成第二導電層84作為第一阻障層,然後在第3G圖的步驟S311中,形成一個或多個WFM層86。在第3G圖的步驟S313中,在功函數調整層86以上形成包含膠合層87和體閘極電極層(體金屬層)88的金屬閘極層。
在一些實施方式中,第二導電層84由TaN製成並且用作蝕刻停止阻障層。阻障層(WFM層)86在隨後形成以形成多個Vt元件的p型和n型WFM層的圖案化期間用作濕式蝕刻停止層。在一些實施方式中,不形成第二導電層84。
功函數調整材料(WFM)層86可以透過ALD、PVD、CVD、電子束蒸發或其他適合的製程形成。此外,可以針對可使用不同金屬層的n通道FET和p通道FET分別形成WFM層。可以透過CVD、ALD、電鍍或其他適合的方法來形成體閘極電極層(體金屬層)88和膠合層87。當未形成第一導電層和第二導電層時,在閘極介電層
82上直接形成WFM層86。在一些實施方式中,在退火操作步驟S307之後形成並去除第一導電層83,此後不形成第二導電層並且WFM層86直接形成在閘極介電層82上。
第4A圖根據本揭露之一實施方式繪示了具有不同閾值電壓的FET的閘極結構的剖面圖。第4B圖和第4C圖根據本揭露之一實施方式繪示了用於具有不同閾值電壓的多個FET的各種功函數調整材料層。
在一些實施方式中,半導體元件包含具有WFM層結構WF1的第一n型FET N1、具有WFM層結構WF2的第二n型FET N2、具有WFM層結構WF3的第三n型FET N3、具有WFM層結構WF3的第一p型FET P1、具有WFM層結構WF2的第二p型FET P2和具有WFM層結構WF1的第三p型FET P3。第一n型FET N1(超低壓FET)的閾值電壓的絕對值小於第二n型FET N2(低壓FET)的閾值電壓並且第二n型FET N2的閾值電壓的絕對值小於第三n型FET N3(標準電壓FET)的閾值電壓。類似地,第一p型FET P1(超低壓FET)的閾值電壓的絕對值小於第二p型FET P2(低壓FET)的閾值電壓並且第二p型FET P2的閾值電壓的絕對值小於第三p型FET P3(標準電壓FET)的閾值電壓。第一n型FET N1的閾值電壓的絕對值被設計為具有與第一p型FET P1的閾值電壓的絕對值(例如,±1mV)基本相同的閾值電壓,第二n型FET N2的閾值電壓的絕對值被設計為具有
與第二p型FET P2的閾值電壓的絕對值(例如,±1mV)基本相同的閾值電壓,而在第三n型FET N3的閾值電壓的絕對值被設計為具有與第三p型FET P3的閾值電壓的絕對值(例如,±1mV)基本相同的閾值電壓。
如第4A圖所示,在一些實施方式中,WFM層結構WF1包含第一WFM層100。WFM層結構WF2包含更靠近閘極介電層82、第二WFM層89-2和第一WFM層100。並且,第三WFM層結構WF3包含更靠近閘極介電層82、第三WFM層89-1、第二WFM層89-2和第一WFM層100。
在第4B圖中,半導體元件包含三個不同的閾值電壓電平。在其他實施方式中,如第4C圖所示,超過三個(例如,九個不同的閾值電壓)分別使用於n型FET和p型FET。在第4C圖中,不僅調整WFM層結構,而且調整閘極介電層82的配置HK1、HK2和HK3(例如,材料、厚度等)以獲得期望的閾值電壓。配置HK1、HK2和HK3由HfO2、HfSiO、HfSiON、HfTaO、HfTiO、HfZrO、氧化鋯、氧化鋁、氧化鈦、二氧化鉿-氧化鋁(HfO2-Al2O3)合金、La2O3、HfO2-La2O3、Y2O3、Dy2O3、Sc2O3、MgO,或其他適合的高κ介電質材料和/或它們的組合所組成。在一些實施方式中,配置HK1、HK2和HK3由具有一些不同濃度的稀土金屬和/或III族摻雜物(例如,La、Al、Mg、Sc、Dy、Y、Ti、Lu、Sr等)的高κ介電質組成。在一些實施方式中,配置HK3由HfOx組成,配置HK2
由HfLaOx(或HfYOx、HfLuOx、HfSrOx、HfScOx、HfDyOx)組成,而配置HK1由HfLaOx(或HfYOx、HfLuOx、HfSrOx、HfScOx、HfDyOx),使得配置HK1中的La(或Y、Lu、Sr、Sc、Dy)的含量高於配置HK2。在一些實施方式中,配置HK1由HfOx組成,配置HK2由HfAlOx(或HfZrOx、HfTiOx)組成,而配置HK3由HfAlOx(或HfZrOx、HfTiOx)組成,使得配置HK3中Al(或Zr、Ti)的含量比配置HK2更高。在一些實施方式中,配置HK2由HfOx組成,配置HK1由HfLaOx(或HfYOx、HfLuOx、HfSrOx、HfScOx、HfDyOx)組成,而配置HK3由HfAlOx(或HfZrOx、HfTiOx)組成。在一些實施方式中,配置HK1,HK2和HK3的厚度在約0.6nm至約30nm的範圍內。在一些實施方式中,使用多於三個不同的高κ介電質薄膜。
在一些實施方式中,配置HK1包含氧化鉿,配置HK2包含La摻雜的氧化鉿,而配置HK3包含具有比配置HK2高的La含量的La摻雜的氧化鉿。
在CMOS元件中,閘極電極通常用於n型FET和p型FET(由其共享),因此選擇具有基本相同的閾值電壓的n型FET和p型FET。舉例來說,具有超低壓FET的CMOS元件包含第一n型FET N1和第一p型FET P1。第5A圖繪示了此CMOS元件的平面圖(佈局)。
如第5A圖所示,閘極堆疊(閘極電極)80設置在一個或多個鰭狀結構20的通道區域上方。在一些實施方式
中,每個n型FET NFET和p型FET PFET中包含兩個鰭狀結構。在其他實施方式中,每個FET的鰭狀結構的數量是一個或三個或更多(例如,多達10個)。第5B圖繪示了對應於第5A圖的區域A1的剖面圖,第5C圖繪示了第5B圖的區域B1的放大圖。在第5B圖和第5C圖中,省略了膠合層87和體閘極電極層(體金屬層)88(以虛線表示)。
在一些實施方式中,n型FET NFET(例如,N1)和p型FET PFET具有不同的閘極介電層(不同的材料)。在一些實施方式中,n型FET包含高擴散偶極元素高κ介電層(偶極高κ介電層)82B(例如,高La摻雜的鉿氧化物),而p型FET包含閘極介電層(高κ介電層)82(例如,無La摻雜的鉿氧化物)。此外,n型FET NFET具有(僅)具備第一WFM層100的WFM層結構WF1,而p型FET(例如P1)具有具備第二和第三WFM層(89-2和89-1,在第5B圖中統稱為89)的WFM層結構WF3和第一WFM層100。如第5C圖所示,n型FET和p型FET的閘極介電層是不連續的,並且被第一WFM層100的一部分以及第二和第三WFM層89-1和89-2的一部分隔開。
類似地,在第6A圖至第6C圖中,具有閾值電壓Vt4的CMOS元件包含具有WFM層結構WF2的n型FET和具有WFM層結構WF2的p型FET(見第4C圖),並且n型FET NFET(例如,N1)和p型FET PFET
具有不同的閘極介電層(不同的材料)。在一些實施方式中,n型FET包含高擴散偶極元素高κ介電層(第二偶極高κ介電層)82B(例如,高La摻雜的氧化鉿),而p型FET包含低擴散偶極元素高κ介電層(第一偶極高κ介電層)82A(例如,低La摻雜的氧化鉿)。如第6C圖所示,n型FET和p型FET的閘極介電層是不連續的,並且被第二WFM層89-1的一部分隔開。
如上所述,由不同材料製成的閘極介電層在WFM層下彼此分離,因此可以抑制交叉污染,例如從高La區域到低La區域的La擴散。
第7A圖至第7I圖根據本揭露之一實施方式繪示了製造用於不同Vt元件的閘極介電層的各個階段。第7A圖、第7C圖、第7D圖、第7F圖和第7H圖繪示了閘極空間的三個區域,其中針對不同的Vt元件形成了閘極介電層。儘管如圖所示佈置了三個區域,但是區域的順序不限於此。儘管繪示了三個區域,但是在一些實施方式中,如第7B圖、第7E圖、第7G圖和第7I圖所示,僅兩個附近區域被提供給一個閘極空間。
如第3A圖所示形成有閘極空間的結構之後,如第7A圖和第7B圖所示,形成界面層(第7A圖和第7B圖中未示出),然後在界面層上形成高κ(非偶極)介電層(閘極介電層)82。此外,第一偶極高κ介電層182形成在高κ介電層(閘極介電層)82上。在一些實施方式中,第一偶極高κ介電層182包含La2O3、Lu2O3、Sc2O3、SrO、
ZrO2、Y2O3、DyOx、EuOx和Yb2O3。在某些實施方式中,第一偶極高κ介電層182由氧化鑭(La2O3)製成。在一些實施方式中,用於三個區域的高κ介電層(閘極介電層)82(基礎介電層)的材料中的至少一種不同於其他兩個區域。
然後,如第7C圖所示,對第一偶極高κ介電層182進行圖案化,使得第一偶極高κ介電層182從第一區域和第二區域(第7C圖中的左側和中央區域)去除並保留在第三區域中(第7C圖中的右側區域)。
接下來,如第7D圖所示,在第一和第二區域中的高κ介電層82(閘極介電層)上和在第三區域中的第一偶極高κ層182上形成第二偶極高κ介電層282,然後執行圖案化操作,使得第二偶極高κ介電層282從第一區域被去除並且保留在第二和第三區域中。第7E圖還繪示了在第二高κ偶極元素層282被圖案化之後的階段。第二偶極高κ介電層282由與第一偶極高κ介電層182相同或不同的材料製成,並且包含La2O3、Lu2O3、Sc2O3、SrO、CeO2、Y2O3、DyOx、EuOx和Yb2O3。
在一些實施方式中,在第7D圖和第7E圖所示的結構形成之後,在400℃至約700℃之間的溫度下執行退火操作約2秒至約100秒,以從第一和/或第二偶極高κ介電層182、282驅入偶極摻雜元素至基礎高κ介電層(閘極介電層)82內,以形成具有不同數量的摻雜物的低擴散偶極元素高κ介電層82A和高擴散偶極元素高κ介電層
82B(高κ介電層82A和82B),如第7F圖和第7G圖所示。在一些實施方式中,偶極摻雜元素包含La、Lu、Sc、Sr、Ce、Y、Dy、Eu和Yb中的一個或多個,它們包含在第一和第二偶極高κ介電層182、282中。在某些實施方式中,摻雜元素是La。
在一些實施方式中,低擴散偶極元素高κ介電層82A和高擴散偶極元素高κ介電層82B(偶極元素擴散層82A和82B)形成在高κ介電層(閘極介電層)82的一部分處,並且在其他實施方式中,高κ介電層(閘極介電層)82被完全轉換為低擴散偶極元素高κ介電層82A和高擴散偶極元素高κ介電層82B(偶極元素擴散層82A和82B)。在一些實施方式中,擴散到低擴散偶極元素高κ介電層82A中的偶極摻雜物元素的數量小於擴散到高擴散偶極元素高κ介電層82B中的偶極摻雜物元素的數量。當第二偶極高κ介電層282由與第一偶極高κ介電層182不同的材料製成時,高擴散偶極元素高κ介電層82B中的至少一種摻雜物不同於低擴散偶極元素高κ介電層82A。在一些實施方式中,如第7H圖和第7I圖所示,在退火操作之後,執行可選的濕式蝕刻以部分或完全去除偶極層182和282的殘留物。
在一些實施方式中,低擴散偶極元素高κ介電層82A中的偶極元素(例如,La)的摻雜量大於在高κ介電層82中的偶極元素的摻雜量約10至100倍。在高擴散偶極元素高κ介電層82B中的偶極元素的摻雜量大於在低擴
散偶極元素高κ介電層82A中的偶極元素的摻雜量約3至100倍。在一些實施方式中,低擴散偶極元素高κ介電層82A中的偶極元素的摻雜量(例如,La)在約2×1013原子/cm2至約3×1015原子/cm2的範圍內,並且高擴散偶極元素高κ介電層82B中的偶極元素的摻雜量大於低擴散偶極元素高κ介電層82A中的偶極元素的摻雜量,並且在約6×1013原子/cm2至約8×1017原子/cm2的範圍內。在一些實施方式中,正常的高κ介電層82可包含在約0原子/cm2至約5×1013原子/cm2的範圍內的偶極元素,其小於低擴散偶極元素高κ介電層82A的偶極元素。
如上所述,當偶極元素擴散的高κ介電層(例如82A和82B)與正常的高κ介電層相鄰時,或者不同濃度的層彼此相鄰時,偶極摻雜物元素擴散穿過整個高κ介電層邊界,這可能導致Vt漂移或其他電性問題。在本實施方式中,進行圖案化操作以在邊界處切割閘極介電層並用另一種材料填充所切割的區域(例如,凹槽)以抑制偶極摻雜物的擴散。
第8A圖至第8J圖根據本揭露的實施方式繪示了製造半導體元件的各個階段的剖面圖。可以理解,在連續的製造過程中,可以在第8A圖至第8J圖所示的階段之前、之中和之後提供一個或多個附加操作。並且,下面描述的一些操作可以被替換或消除以用於該方法的另外的實施方式。操作/製程的順序可以互換。如第1A圖至第7I圖的實施方式所描述的材料、配置、尺寸、製程和/或操作可以
在以下實施方式中採用,並且可以省略其詳細描述。
第8A圖繪示了如參照第7A圖至第7I圖所說明的形成摻雜有偶極元素的高擴散偶極元素高κ介電層82B之後的剖面圖。如第8A圖所示,在一些實施方式中,高κ介電層82與摻雜(高摻雜)有偶極元素的高擴散偶極元素高κ介電層82B接觸。在其他實施方式中,高κ介電層82與摻雜(低摻雜)有偶極元素的低擴散偶極元素高κ介電層82A接觸,或者摻雜(低摻雜)有偶極元素的低擴散偶極元素高κ介電層82A與摻雜(高摻雜)有偶極元素的高擴散偶極元素高κ介電層82B接觸。邊界位於隔離絕緣層30上方(參見第5C圖和第6C圖)。
然後,如第8B圖所示,在閘極介電層82和高擴散偶極元素高κ介電層82B上方形成硬遮罩層130,並且進一步在硬遮罩層130上方形成遮罩圖案135。
在一些實施方式中,硬遮罩層130包含氧化鋁、氮化鋁、氧氮化鋁、氧化鈦、氧氮化鈦、氮化鈦和氧氮化鉭中的一種或多種。在一些實施方式中,硬遮罩層130的厚度在約0.5nm至約20nm的範圍內,並且在其他實施方式中,在約0.8nm至約10nm的範圍內。當厚度太小時,硬遮罩層130可能不能充分用作硬遮罩,和/或與底部抗反射塗料(BARC)層的黏合性可能不足。而當厚度太大時,硬遮罩層的圖案化會是困難的。可以透過CVD、PVD、ALD、電子束蒸發或其他適合的製程來形成硬遮罩層130。
遮罩圖案135包含具有有機底部抗反射塗層(BARC)層或圖案化的BARC層的光阻劑圖案。遮罩圖案135是與第5A圖所示的區域A2相對應的開口(溝渠)76。在一些實施方式中,開口在X方向上的寬度W1在約10nm至約150nm的範圍內,並且在其他實施方式中在約14nm至約120nm的範圍內。最小寬度可以被限制為光微影分辨率,並且當寬度小於範圍時,阻障效應可能會不足。當寬度大於範圍時,溝渠會太靠近鰭狀結構,並且可能導致鰭狀結構的損壞。
如第8C圖所示,使用透過濕式和/或乾式蝕刻的遮罩圖案135作為蝕刻遮罩來對硬遮罩層130進行圖案化。在一些實施方式中,濕式蝕刻劑包含NH4OH、H2O2和/或HCl的水溶液,或NH4F和HF的水溶液。在一些實施方式中,乾式蝕刻使用包含BCl3或其他含氯氣體的蝕刻氣體。
此外,如第8D圖所示,透過使用遮罩圖案135來圖案化高κ閘極介電層82和高擴散偶極元素高κ介電層82B(高κ閘極介電層82和82B),並且圖案化的硬遮罩層130作為蝕刻遮罩,從而形成溝渠(或開口)76。然後,如第8E圖所示,遮罩圖案135被去除。當遮罩圖案135由像是BARC的有機材料製成時,將使用利用N2、H2、Cl2、O2和/或CF4氣體的電漿清洗製程。在其他實施方式中,在圖案化閘極介電層82和高擴散偶極元素高κ介電層82B(高κ閘極介電層82和82B)之前去除遮罩圖案
135,並且透過使用圖案化的硬遮罩層130作為蝕刻遮罩來圖案化高κ閘極介電層82和高擴散偶極元素高κ介電層82B(高κ閘極介電層82和82B)。
隨後,如第8F圖所示,去除圖案化的硬遮罩層130。在一些實施方式中,透過使用濕式蝕刻去除圖案化的硬遮罩層130。在一些實施方式中,濕式蝕刻劑包含NH4OH、H2O2和/或HCl的水溶液,或NH4F和HF的水溶液。在其他實施方式中,透過乾式蝕刻去除圖案化的硬遮罩層130。在一些實施方式中,蝕刻氣體包含BCl3或其他含氯氣體。
在一些實施方式中,如第8D圖和第8I圖所示,在高κ閘極介電層82和高擴散偶極元素高κ介電層82B(高κ閘極介電層82和82B)的蝕刻中,也蝕刻ILD層50的一部分和隔離絕緣層30的一部分。第8I圖是Y方向的剖面,而第8D圖是X方向的剖面。在一些實施方式中,ILD層50的蝕刻量D1在約2nm至約5nm的範圍內,並且隔離絕緣層30的蝕刻量D2在約1nm至約4nm的範圍內。如上所述,遮罩圖案135是與第5A圖所示的區域A2相對應的開口圖案,並且設置於兩個閘極空間上方。在其他實施方式中,遮罩圖案135僅設置在一個閘極空間上,或者設置在兩個以上閘極空間上。
如第8F圖所示,在將閘極介電層82和高擴散偶極元素高κ介電層82B(高κ閘極介電層82和82B)分離之後形成WFM層89,並且在WFM層89上方形成WFM
層(第一WFM層)100以填充高κ閘極介電層82和摻雜有偶極元素的高擴散偶極元素高κ介電層82B(高κ閘極介電層82和82B)之間的間隙,如第8G圖所示。此外,如第8H圖和第8J圖所示,形成膠合層87和體閘極電極層(體金屬層)88。在一些實施方式中,覆蓋層101形成在WFM層(第一WFM層)100上方。在一些實施方式中,覆蓋層101包含TiN、TiSiN、Ta或TaN中的一種或多種。如第8I圖和第8J圖所示,WFM層89的一部分穿透到隔離絕緣層30中。
在一些實施方式中,如第8K圖和第8L圖所示,相鄰的閘極電極透過連接圖案77連接。第8K圖是形成體閘極電極層(體金屬層)88之後的平面圖。在第8K圖和第8L圖中,為了簡化,省略了構成閘極電極的層。在一些實施方式中,如第8K圖和第8L圖所示,當在兩個閘極空間上形成溝渠(開口)76時,相鄰的閘極電極透過連接圖案77連接,該連接圖案77由與填充在溝渠76中的閘極電極相同的導電材料製成。NFET區域的偶極元素濃度與PFET區域中的閘極介電層不同。在其他實施方式中,由於金屬閘極結構被平坦化或凹陷,所以不存在閘極連接圖案(參見第8J圖)。
第9A圖至第9G圖根據本揭露的實施方式繪示了製造半導體元件的各個階段的剖面圖。可以理解,在連續的製造過程中,可以在第9A圖至第9G圖中所示的階段之前、之中和之後提供一個或多個附加操作,並且,下面描
述的一些操作可以被替換或消除以用於該方法的其他實施方式。操作/製程的順序可以互換。如第1A圖至第8J圖的實施方式所描述的材料、配置、尺寸、製程和/或操作可以在以下實施方式中採用,並且可以省略其詳細描述。
如第9A圖所示,在形成如第8A圖所示的結構之後,在閘極介電層82和高擴散偶極元素高κ介電層82B(高κ閘極介電層82和82B)上方形成另一介電層140。在一些實施方式中,另一介電層140包含高κ介電質材料,例如HfO2、HfSiO、HfSiON、HfTaO、HfTiO、HfZrO、氧化鋯、氧化鋁、氧化鈦、二氧化鉿-氧化鋁(HfO2-Al2O3)合金或任何其他適合的材料。在一些實施方式中,另一介電層140不包含偶極元素。另一介電層140用於抑制閘極介電層中的缺陷並提升整個閘極介電層的κ值。在一些實施方式中,另一介電層140由與高κ介電層82相同或不同的材料製成。
然後,如第9B圖所示,類似於第8B圖,在另一介電層140上方形成硬遮罩層130,並且在硬遮罩層130上方形成遮罩圖案135。
如第9C圖所示,類似於第8C圖,透過使用遮罩圖案135作為蝕刻遮罩來圖案化硬遮罩層130。此外,如第9D圖所示,透過使用遮罩圖案135和圖案化的硬遮罩層130作為蝕刻遮罩來圖案化另一介電層140和高κ閘極介電層82和高擴散偶極元素高κ介電層82B(高κ閘極介電層82和82B)。然後,如第9E圖所示,遮罩圖案135
被去除。
隨後,如第9F圖所示,透過濕式和/或乾式蝕刻去除圖案化的硬遮罩層130。如第9F圖所示,在將閘極介電層82和高擴散偶極元素高κ介電層82B(高κ閘極介電層82和82B)分離之後,形成WFM層89並且在WFM層89上方形成WFM層(第一WFM層)100以填充高κ閘極介電層82與偶極元素摻雜的高擴散偶極元素高κ介電層82B(高κ閘極介電層82和82B)之間的間隙,如第9G圖所示。此外,類似於第8H圖和第8J圖,形成膠合層87和體閘極電極層(體金屬層)88。
第10A圖至第10E圖根據本揭露的實施方式繪示了的製造半導體元件的各個階段的剖面圖。應當理解,在連續的製造過程中,可以在第10A圖至第10E圖所示的階段之前、之中和之後提供一個或多個附加操作,並且,以下描述的一些操作可以被替換或消除以用於該方法的其他實施方式。操作/製程的順序可以互換。如第1A圖至第9G圖的實施方式所描述的材料、配置、尺寸、製程和/或操作在以下實施方式中可以採用,並且可以省略其詳細描述。
第10A圖繪示了第8F圖中形成的結構。然後,如第10B圖所示,保形地形成另一介電層140以部分填充高κ介電層82和摻雜有偶極元素的高擴散偶極元素高κ介電層82B(高κ閘極介電層82和82B)之間的間隙。然後,如第10C圖所示,在另一介電層140上方形成WFM
層89,並且在WFM層89上方形成WFM層(第一WFM層)100。
在其他實施方式中,如第10D圖所示,形成另一介電層140以完全填充高κ介電層82與摻雜有偶極元素的高擴散偶極元素高κ介電層82B(高κ閘極介電層82和82B)之間的間隙。然後,如第10E圖所示,在另一介電層140上方形成WFM層89,並且在WFM層89上方形成WFM層(第一WFM層)100。
在第10C圖和第10E圖的實施方式中,至少另一介電層140用作阻障層以抑制偶極元素的擴散。
第11A圖至第11V圖根據本揭露的實施方式繪示了不同Vt元件之間的各種邊界配置。如第1A圖至第10E圖的實施方式所描述的材料、配置、尺寸、製程和/或操作可以在以下實施方式中採用,並且可以省略其詳細描述。
如第11A圖至第11C圖所示,透過WFM層89和WFM層(第一WFM層)100可以抑制偶極元素(例如,La)從較高摻雜濃度區域向較低或零摻雜濃度區域擴散。在第11D圖至第11F圖中,透過WFM層(第一WFM層)100和覆蓋層101可以抑制偶極元素從較高摻雜濃度區域向較低或零摻雜濃度區域擴散。在第11G圖至第11I圖所示,WFM層89可以抑制偶極元素從較高摻雜濃度區域向較低或零摻雜濃度區域擴散。
在第11J圖至第11K圖中,可以透過WFM層89和WFM層(第一WFM層)100抑制偶極元素(例如,
La)從較高摻雜濃度區域向較低或零摻雜濃度區域擴散。在第11M圖至第11O圖中,可以透過WFM層(第一WFM層)100和覆蓋層101抑制偶極元素從較高摻雜濃度區域向較低或零摻雜濃度區域擴散。在第11P圖至第11R圖中,WFM層89可以抑制偶極元素元素從較高的摻雜濃度向較低或零摻雜濃度區域擴散。
在第11S圖、第11T圖、第11U圖和第11V圖中,可以透過WFM層89和WFM層(第一WFM層)100抑制偶極元素從較高摻雜濃度區域向較低或零摻雜濃度區域擴散。
在一些實施方式中,溝渠76不必切割不同閘極介電層的邊界。如第11W圖所示,溝渠切割較低偶極元素濃度介電層(例如,82、82B),並且在溝渠中形成WFM阻障。
第12圖根據本揭露的實施方式繪示了SRAM電路佈局。在一些實施方式中,SRAM單元胞包含六個電晶體(兩個通過閘極電晶體PG、上拉電晶體PU和下拉電晶體PD),並且PD和PU具有不同的導電性,因此,就偶極摻雜而言會使用不同的閘極介電材料,並共享相同的閘極電極。在一些實施方式中,如上所述,在p型FET和n型FET之間切割形成的閘極介電層的邊界。在一些實施方式中,在每個PD和PU的兩個閘極空間上形成一個溝渠76。
第13圖繪示了應用本實施方式的各種電路佈局。
在一些實施方式中,就偶極元素摻雜而言,由具有不同的閘極介電材料的p型FET和n型FET共享閘極電極,在p-型FET和n型FET的邊界處或周圍的閘極空間上方形成溝渠76。但是,就偶極元素摻雜而言,當閘極電極由具有相同閘極介電材料的p型FET和n型FET共享時,不需要溝渠76。
在本揭露中,在設置於p型FET和n型FET上方並由其共享的閘極電極中,具有不同的偶極元素(La、Sc、Sr、Ce、Y、Dy、Eu、Yb、Al、Lu、Nb、W、Mo、V等)摻雜濃度的閘極介電層透過阻障層相互隔離,以抑制偶極元素擴散。阻障層可以是一個或多個WFM層(例如,TiAl、TiAlC、TaAl、TaAlC、TiN、TiSiN、Ru、WN、WCN、MoN等),另一介電層(氧化鉿、氧化鋯、氧化鋁等)或構成閘極電極的任何層(例如,TaN、W等)。透過抑制偶極元素的擴散,可以抑制Vt偏移,否則或由偶極元素的擴散引起的元件性能的任何其他的劣化。
將理解的是,在本文中並非必須敘述所有優點,對於所有實施方式或實施例不需要特定的優點,並且其他實施方式或實施例可以提供不同的優點。
根據本申請的一個方面,一種半導體元件包含第一場效電晶體(FET),其包含設置在第一通道區域上方的第一閘極結構,以及第二FET,其具有與第一FET不同的導電性並包含設置在第二通道區域上方的第二閘極結構。
第一閘極結構包含在第一通道區域上方的第一閘極介電層、在第一閘極介電層上方的第一功函數調整材料(WFM)層以及第一金屬閘極電極層。第二閘極結構包含在第二通道區域上方的第二閘極介電層,在第二閘極介電層上方的第二WFM層以及第二金屬閘極電極層。第一金屬閘極電極層和第二金屬閘極電極層連續地形成並且由相同的材料製成。第一閘極介電層與第二閘極介電層透過間隙分開。第一閘極介電層或第二閘極介電層中的至少一個包含摻雜物,並且第一閘極介電層和第二閘極介電層之間的摻雜物濃度不同。在前述實施方式和以下實施方式中的一個或多個中,摻雜物是選自由La、Sc、Sr、Ce、Y、Dy、Eu、Pb、Tr、Nd、Gd、Pm、Pr、Ho、Er、Tm、Sm、Yb、Al、Nb、Mo、W、Ti、Hf、Zr、Ta、V、Ba以及Mg所組成之群組中之至少一個。在前述和以下實施方式中的一個或多個中,第一閘極介電層和第二閘極介電層包含選自由氧化鉿、氧化鋯以及氧化鉿鋯組成之群組中之一個。在前述和以下實施方式中的一個或多個中,第一WFM層和第二WFM層連續地形成並且由相同的材料製成。在前述和以下實施方式中的一個或多個中,間隙由第一WFM層或第二WFM層的一部分填充。在前述和以下實施方式中的一個或多個中,第一WFM層和第二WFM層包含選自TiN、TiSiN、WN、WCN、MoN和Ru的一種材料的至少一層。在前述和以下實施方式中的一個或多個中,第一WFM層和第二WFM層包含選自由TaAl、TaAlC、TiAl或
TiAlC組成之群組中之一種材料的至少一層。在前述和以下實施方式中的一個或多個中,沿閘極延伸方向的間隙的寬度在從14nm至120nm的範圍內。在前述和以下實施方式中的一個或多個中,第一WFM層和第二WFM層中的每個包含由不同材料製成的一個或多個層,並且第一WFM的層結構與第二WFM的層結構不同。在前述和以下實施方式中的一個或多個中,間隙由第一WFM層的一部分和第二WFM層的一部分填充。
根據本揭露的另一方面,一種半導體元件包含第一場效電晶體(FET)其包含設置在第一通道區域上方的第一閘極結構,以及第二FET,其具有與第一FET不同的導電性並包含設置在第二通道區域上方的第二閘極結構。第一閘極結構包含在第一通道區域上方的第一閘極介電層、在第一閘極介電層上方設置的第二閘極介電層、在第二閘極介電層上方的第一功函數調整材料(WFM)層以及第一金屬閘極電極層。第二閘極結構包含在第二通道區域上方的第三閘極介電層、在第二通道區域上方的第四閘極介電層、在第四閘極介電層上方的第二WFM層以及第二金屬閘極電極層。連續地形成第一金屬閘極電極層和第二金屬閘極電極層,並且第一閘極介電層與第二閘極介電層透過間隙隔開。在前述和以下實施方式中的一個或多個中,第一閘極介電層或第三閘極介電層中的至少一個包含摻雜物,所述摻雜物是選自由La、Sc、Sr、Ce、Y、Dy、Eu以及Yb所組成的群組中之至少一個,並且第一閘極介電層
和第二閘極介電層之間的摻雜物濃度不同。在前述和以下實施方式中的一個或多個中,第二閘極介電層和第四閘極介電層由相同的材料製成。在前述和以下實施方式中的一個或多個中,第二閘極介電層與第四閘極介電層透過間隙分開。在前述和以下實施方式中的一個或多個中,第二閘極介電層和第四閘極介電層連續地形成並且至少部分地填充間隙。在前述和以下實施方式中的一個或多個中,第一閘極介電層和第三閘極介電層的成分不同於第二閘極介電層和第四閘極介電層的成分。
根據本揭露的另一方面,一種場效電晶體的閘極結構包含第一閘極介電層、第二閘極介電層以及設置在第一閘極介電層和第二閘極介電層上方的一個或多個導電層。第一閘極介電層透過填充有擴散阻擋層的間隙與第二閘極介電層隔開。在前述和以下實施方式中的一個或多個中,第一和第二閘極介電層包含選自由氧化鉿、氧化鋯以及氧化鉿鋯組成之群組中之一個,第一閘極介電層和第二閘極介電層中的至少一個包含La作為摻雜物。第一閘極介電層和第二閘極介電層之間的摻雜物濃度不同。在前述和以下實施方式中的一個或多個中,第一閘極介電層不包含摻雜物。在前述和以下實施方式中的一個或多個中,一個或多個導電層中的至少一層連續地設置在第一閘極介電層和第二閘極介電層上方。
根據本揭露的另一方面,在製造半導體元件的方法中,在閘極空間中形成閘極介電層,其中,閘極空間由一
個或多個絕緣層形成。透過形成溝渠將閘極介電層分為第一閘極介電層和第二閘極介電層。在第一閘極介電層和第二閘極介電層上方形成一個或多個功函數調整材料(WFM)層。體閘極電極層形成在一個或多個WFM層上方。在前述和以下實施方式中的一個或多個中,閘極介電層包含第一區域以及與第一區域相鄰的第二區域,第一區域或第二區域中的至少一個包含摻雜物,摻雜物濃度在第一區域與在第二區域不同,以及第一閘極介電層包含第一區域且第二閘極介電層包含第二區域。在前述和以下實施方式中的一個或多個中,摻雜物是選自由La、Sc、Sr、Ce、Y、Dy、Eu以及Yb組成的群組中之至少一種。在前述和以下實施方式中的一個或多個中,閘極介電層包含選自由氧化鉿、氧化鋯以及氧化鉿鋯組成之群組中之一層。在前述和以下實施方式中的一個或多個中,溝渠被一個或多個WFM層的一部分填充。在前述和以下實施方式中的一個或多個中,透過以下操作將閘極介電層隔開:在閘極介電層上方形成硬遮罩層、在硬遮罩層上方形成具有開口的遮罩圖案、透過使用遮罩圖案作為蝕刻遮罩來對硬遮罩層進行圖案化、藉由利用作為蝕刻遮罩的遮罩圖案圖案化硬遮罩層、藉由利用作為蝕刻遮罩之遮罩圖案或圖案化之硬遮罩層之至少一者圖案化閘極介電層,以及去除遮罩圖案以及圖案化的硬遮罩層。在前述和以下實施方式中的一個或多個中,硬遮罩層由與閘極介電層不同的材料製成,並且包含選自由一種或多種氧化鋁、氮化鋁、氮氧化鋁、氧化鈦
以及氮氧化鈦所組成之群組中之至少一種。在前述和以下實施方式中的一個或多個中,硬遮罩層的厚度在0.5nm至20nm的範圍內。在前述和以下實施方式中的一個或多個中,遮罩圖案由有機抗反射塗層材料製成。
根據本揭露的另一方面,在一種製造半導體元件的方法中,第一閘極介電層形成在由第一半導體材料製成的第一通道區域上方以及在隔離絕緣層上方,第二閘極介電層形成在由第二半導體材料製成的第二通道區域上方以及在隔離絕緣層上方。第一閘極介電層在隔離絕緣層上方的邊界處與第二閘極介電層橫向接觸。透過使用圖案化操作,第一閘極介電層和第二閘極介電層被溝渠分開。透過用介電材料或導電材料填充溝渠來形成擴散阻障。第一閘極介電層或第二閘極介電層中的至少一個包含摻雜物,第一閘極介電層和第二閘極介電層之間的摻雜物濃度不同,並且擴散阻障用作摻雜物的阻障。在前述和以下實施方式中的一個或多個中,第一閘極介電層和第二閘極介電層包含選自由氧化鉿、氧化鋯以及氧化鉿鋯組成之群組中之一個。在前述和以下實施方式中的一個或多個中,摻雜物是選自由La、Sc、Sr、Ce、Y、Dy、Eu以及Yb組成之群組中之至少一種。在前述和以下實施方式中的一個或多個中,在隔離第一閘極介電層以及第二閘極介電層時,在第一閘極介電層以及第二閘極介電層上方形成硬遮罩層。在硬遮罩層上方和邊界以上形成具有開口的遮罩圖案。藉由利用遮罩圖案作為蝕刻遮罩來圖案化硬遮罩層。透過使用遮罩
圖案或圖案化的硬遮罩層中的至少一個作為蝕刻遮罩來圖案化第一閘極介電層和第二閘極介電層。去除遮罩圖案和圖案化的硬遮罩層。在前述和以下實施方式中的一個或多個中,硬遮罩層包含選自由一種或多種氧化鋁、氮化鋁、氮氧化鋁、氧化鈦和氮氧化鈦所組成之群組中之至少一種。在前述和以下實施方式中的一個或多個中,溝渠的寬度在10nm至150nm的範圍內。在前述和以下實施方式中的一個或多個中,擴散阻障包含TiAl、TiAlC、TaAl、TaAlC、TiN、TiSiN、Ru、WN、WCN、MoN或TaN中之至少一層。在前述和以下實施方式中的一個或多個中,擴散阻障包含選自由一種或多種氧化鋁、氮化鋁、氮氧化鋁、氧化鈦以及氮氧化鈦所組成之群組中之至少一種,並且不包含或包含比第一閘極介電層或第二閘極介電層中之至少一個更低的摻雜物量。
根據本揭露的另一方面,在一種製造半導體元件的方法中,在由第一半導體材料製成的第一通道區域上方以及隔離絕緣層上方形成第一閘極介電層。在由第二半導體材料製成的第二通道區域上方以及隔離絕緣層上方形成第二閘極介電層。第一閘極介電層在隔離絕緣層上方的邊界處與第二閘極介電層橫向接觸。在第一閘極介電層以及第二閘極介電層上方形成第三閘極介電層。透過使用圖案化操作,第一閘極介電層以及第二閘極介電層被溝渠隔開。在第一閘極介電層上的第三閘極介電層上方以及第二閘極介電層上的第三閘極介電層上方形成一個或多個功函數調
整材料(WFM)層。體閘極電極層形成在一個或多個WFM層上方。一個或多個WFM層的一部分填充了溝渠。在前述和以下實施方式中的一個或多個中,第一閘極介電層或第二閘極介電層中的至少一個包含摻雜物,第一閘極介電層和第二閘極介電層之間的摻雜物濃度不同,並且摻雜物是選自由La、Sc、Sr、Ce、Y、Dy、Eu以及Yb所組成之群組中之至少一種。在前述和以下實施方式中的一個或多個中,第一閘極介電層、第二閘極介電層以及第三閘極介電層均包含選自由一種或多種氧化鋁、氮化鋁、氮氧化鋁、氧化鈦以及氮氧化鈦所組成之群組中之一個。
前述概述了幾個實施方式或實施例的特徵,使得本領域技術人員可以更好地理解本揭露的各方面。本領域技術人員應當理解,他們可以容易地將本揭露內容用作設計或修改其他製程和結構的基礎,以實現與本文介紹的實施方式或實施例相同的目的和/或實現相同的優點。本領域技術人員還應該認識到,這樣的等效構造不脫離本揭露的精神和範圍,並且在不脫離本揭露的精神和範圍的情況下,它們可以進行各種改變、替換和變更。
10:基板
20:鰭狀結構
30:隔離絕緣層
42:虛設閘極介電層
46:側壁間隔物
50:ILD層
80:閘極堆疊
81:界面層
82:閘極介電層
83:第一導電層
84:第二導電層
86:WFM層
87:膠合層
88:體閘極電極層
Claims (10)
- 一種半導體元件的製造方法,包含:形成一閘極介電層於一閘極空間中,該閘極空間由一或多個絕緣層形成;透過形成一溝渠將該閘極介電層分離成一第一閘極介電層以及一第二閘極介電層;形成一或多個功函數調整材料層於該第一閘極介電層以及該第二閘極介電層上方;以及形成一體閘極電極層於該一或多個功函數調整材料層上方。
- 如請求項1所述之半導體元件的製造方法,其中:該閘極介電層包含一第一區域以及鄰近該第一區域之一第二區域;該第一區域以及該第二區域之至少一者包含一摻雜物;於該第一區域以及於該第二區域之一摻雜物濃度相異;以及該第一閘極介電層包含該第一區域並且該第二閘極介電層包含該第二區域。
- 一種半導體元件的製造方法,包含:形成一第一閘極介電層於由一第一半導體材料製成之 一第一通道區域上方以及一隔離絕緣層上方;形成一第二閘極介電層於由一第二半導體材料製成之一第二通道區域上方以及該隔離絕緣層上方,該第一閘極介電層於位於該隔離絕緣層上方之一邊界與該第二閘極介電層橫向接觸;藉由利用一圖案化操作將該第一閘極介電層以及該第二閘極介電層以一溝渠隔開;以及藉由以一介電材料或一導電材料填充該溝渠以形成一擴散阻障,其中:該第一閘極介電層以及該第二閘極介電層之至少一者包含一摻雜物;該第一閘極介電層以及該第二閘極介電層之間之一摻雜物濃度相異;以及該擴散阻障用作對該摻雜物之一阻障。
- 如請求項3所述之半導體元件的製造方法,其中該第一閘極介電層以及該第二閘極介電層包含氧化鉿。
- 一種半導體元件,包含:一第一閘極介電層;一第二閘極介電層;以及一或多個導電層,設置於該第一閘極介電層以及該第二閘極介電層上, 其中該第一閘極介電層藉由填充有一擴散阻擋層之一間隙與該第二閘極介電層隔開。
- 如請求項5所述之半導體元件,其中:該第一閘極介電層以及該第二閘極介電層包含選自由氧化鉿、氧化鋯以及氧化鉿鋯所組成之群組中之一者;該第一閘極介電層以及該第二閘極介電層之至少一者包含鑭作為一摻雜物;以及該第一閘極介電層以及該第二閘極介電層之間之一摻雜物濃度相異。
- 一種半導體元件,包含:一第一場效電晶體,包含一第一閘極結構設置於一第一通道區域上方;以及一第二場效電晶體,具有與該第一場效電晶體不同之導電類型,並且包含設置於一第二通道區域上方之一第二閘極結構,其中:該第一閘極結構包含:一第一閘極介電層,於該第一通道區域上方;一第一功函數調整材料層,於該第一閘極介電層上方;以及一第一金屬閘極電極層,該第二閘極結構包含:一第二閘極介電層,於該第二通道區域上方; 一第二功函數調整材料層,於該第二閘極介電層上方;以及一第二金屬閘極電極層,該第一金屬閘極電極層以及該第二金屬閘極電極層係連續形成並且由相同之一材料製成,該第一閘極介電層藉由一間隙與該第二閘極介電層隔開,該第一閘極介電層或該第二閘極介電層中之至少一者包含一摻雜物,並且於該第一閘極介電層以及該第二閘極介電層中之一摻雜物濃度相異。
- 如請求項7所述之半導體元件,其中該摻雜物係選自由鑭、鈧、鍶、鈰、釔、鏑、銪以及鐿所組成之群組中之至少一者。
- 一種半導體元件,包含:一第一場效電晶體,包含一第一閘極結構設置於一第一通道區域上方;以及一第二場效電晶體,具有與該第一場效電晶體不同之導電類型,並且包含一第二閘極結構設置於一第二通道區域上方,其中:該第一閘極結構包含:一第一閘極介電層,設置於該第一通道區域上 方;一第二閘極介電層,設置於該第一閘極介電層上方;一第一功函數調整材料層,設置於該第二閘極介電層上方;以及一第一金屬閘極電極層,設置於該第一功函數調整材料層上方,該第二閘極結構包含:一第三閘極介電層,設置於該第二通道區域上方;一第四閘極介電層,設置於該第二通道區域上方;一第二功函數調整材料層,設置於該第四閘極電介質層上方;以及一第二金屬閘極電極層,設置於該第二功函數調整材料層上方,該第一金屬閘極電極層以及該第二金屬閘極電極層係連續形成,並且該第一閘極介電層藉由一間隙與該第二閘極介電層隔開。
- 如請求項9所述之半導體元件,其中:該第一閘極介電層或該第三閘極介電層中之至少一者包含一摻雜物, 該摻雜物係選自由鑭、鈧、鍶、鈰、釔、鏑、銪以及鐿所組成之群組中之至少一者,並且於該第一閘極介電層以及該第二閘極介電層中之一摻雜物濃度相異。
Applications Claiming Priority (6)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US202063045433P | 2020-06-29 | 2020-06-29 | |
US63/045,433 | 2020-06-29 | ||
US17/104,019 | 2020-11-25 | ||
US17/104,019 US11557649B2 (en) | 2020-06-29 | 2020-11-25 | Method of manufacturing semiconductor devices and semiconductor devices |
US17/184,150 US11955485B2 (en) | 2020-06-29 | 2021-02-24 | Method of manufacturing semiconductor devices and semiconductor devices |
US17/184,150 | 2021-02-24 |
Publications (2)
Publication Number | Publication Date |
---|---|
TW202201637A TW202201637A (zh) | 2022-01-01 |
TWI768862B true TWI768862B (zh) | 2022-06-21 |
Family
ID=79031469
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
TW110115629A TWI768862B (zh) | 2020-06-29 | 2021-04-29 | 半導體元件及其製造方法 |
Country Status (2)
Country | Link |
---|---|
US (2) | US11955485B2 (zh) |
TW (1) | TWI768862B (zh) |
Families Citing this family (2)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US11177180B2 (en) * | 2020-02-11 | 2021-11-16 | Taiwan Semiconductor Manufacturing Co., Ltd. | Profile control of a gap fill structure |
US12009400B2 (en) * | 2021-02-14 | 2024-06-11 | Taiwan Semiconductor Manufacturing Company, Ltd. | Device providing multiple threshold voltages and methods of making the same |
Citations (2)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US9330938B2 (en) * | 2014-07-24 | 2016-05-03 | International Business Machines Corporation | Method of patterning dopant films in high-k dielectrics in a soft mask integration scheme |
US10573563B2 (en) * | 2017-01-06 | 2020-02-25 | Semiconductor Manufacturing International (Shanghai) Corporation | Semiconductor structure and fabrication method thereof |
Family Cites Families (9)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
CN104810368B (zh) | 2014-01-28 | 2017-12-29 | 中芯国际集成电路制造(上海)有限公司 | Cmos晶体管及其形成方法 |
US9685520B1 (en) * | 2016-11-17 | 2017-06-20 | United Microelectronics Corp. | Manufacturing method of semiconductor device |
US10516032B2 (en) * | 2017-09-28 | 2019-12-24 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method of manufacturing a semiconductor device |
US10510621B2 (en) | 2018-04-13 | 2019-12-17 | Taiwan Semiconductor Manufacturing Co., Ltd. | Methods for threshold voltage tuning and structures formed thereby |
US10276676B1 (en) * | 2018-04-27 | 2019-04-30 | Taiwan Semiconductor Manufacturing Co., Ltd. | Methods of forming metal gate isolation |
US10510836B1 (en) | 2018-08-08 | 2019-12-17 | Infineon Technologies Austria Ag | Gate trench device with oxygen inserted si-layers |
US11158719B2 (en) | 2018-11-30 | 2021-10-26 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method of manufacturing semiconductor devices and semiconductor devices |
KR20200137256A (ko) * | 2019-05-29 | 2020-12-09 | 삼성전자주식회사 | 집적 회로 반도체 소자 및 그 제조 방법 |
US11557649B2 (en) * | 2020-06-29 | 2023-01-17 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method of manufacturing semiconductor devices and semiconductor devices |
-
2021
- 2021-02-24 US US17/184,150 patent/US11955485B2/en active Active
- 2021-04-29 TW TW110115629A patent/TWI768862B/zh active
-
2022
- 2022-07-27 US US17/875,277 patent/US20220375937A1/en active Pending
Patent Citations (2)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US9330938B2 (en) * | 2014-07-24 | 2016-05-03 | International Business Machines Corporation | Method of patterning dopant films in high-k dielectrics in a soft mask integration scheme |
US10573563B2 (en) * | 2017-01-06 | 2020-02-25 | Semiconductor Manufacturing International (Shanghai) Corporation | Semiconductor structure and fabrication method thereof |
Also Published As
Publication number | Publication date |
---|---|
US11955485B2 (en) | 2024-04-09 |
US20220375937A1 (en) | 2022-11-24 |
TW202201637A (zh) | 2022-01-01 |
US20210408001A1 (en) | 2021-12-30 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
US11728332B2 (en) | Semiconductor device and manufacturing method thereof | |
US11158719B2 (en) | Method of manufacturing semiconductor devices and semiconductor devices | |
KR102494304B1 (ko) | 반도체 디바이스들을 제조하는 방법 및 반도체 디바이스들 | |
US20180175036A1 (en) | Multi-Gate Device and Method of Fabrication Thereof | |
US9882022B2 (en) | Method for manufacturing transistor with SiCN/SiOCN multilayer spacer | |
US11430892B2 (en) | Inner spacers for gate-all-around transistors | |
US11342434B2 (en) | Method of manufacturing semiconductor devices and semiconductor devices | |
US11978675B2 (en) | Method of manufacturing semiconductor devices and semiconductor devices | |
US11824058B2 (en) | Method of forming semiconductor device | |
US20220375937A1 (en) | Method of manufacturing semiconductor devices and semiconductor devices | |
US11784187B2 (en) | Method of manufacturing semiconductor devices and semiconductor devices | |
CN113851426A (zh) | 半导体结构及其方法 | |
US20220367703A1 (en) | Inner spacers for gate-all-around transistors | |
US20220352160A1 (en) | Method of manufacturing semiconductor devices and semiconductor devices | |
TWI847035B (zh) | 半導體裝置及其製造方法 |