US11728332B2 - Semiconductor device and manufacturing method thereof - Google Patents

Semiconductor device and manufacturing method thereof Download PDF

Info

Publication number
US11728332B2
US11728332B2 US17/353,386 US202117353386A US11728332B2 US 11728332 B2 US11728332 B2 US 11728332B2 US 202117353386 A US202117353386 A US 202117353386A US 11728332 B2 US11728332 B2 US 11728332B2
Authority
US
United States
Prior art keywords
layer
gate
conductive
semiconductor device
disposed over
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active, expires
Application number
US17/353,386
Other versions
US20210343705A1 (en
Inventor
Chia-Wen Chang
Hong-Nien Lin
Chien-Hsing Lee
Chih-Sheng Chang
Ling-Yen Yeh
Wilman Tsai
Yee-Chia Yeo
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority to US17/353,386 priority Critical patent/US11728332B2/en
Assigned to TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD. reassignment TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: TSAI, WILMAN, YEH, LING-YEN, YEO, YEE-CHIA, CHANG, CHIA-WEN, CHANG, CHIH-SHENG, LEE, CHIEN-HSING, LIN, HONG-NIEN
Publication of US20210343705A1 publication Critical patent/US20210343705A1/en
Priority to US18/215,059 priority patent/US20230343781A1/en
Application granted granted Critical
Publication of US11728332B2 publication Critical patent/US11728332B2/en
Active legal-status Critical Current
Adjusted expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/06Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration
    • H01L27/0611Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration integrated circuits having a two-dimensional layout of components without a common active region
    • H01L27/0617Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration integrated circuits having a two-dimensional layout of components without a common active region comprising components of the field-effect type
    • H01L27/0629Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration integrated circuits having a two-dimensional layout of components without a common active region comprising components of the field-effect type in combination with diodes, or resistors, or capacitors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823437MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/82345MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes gate conductors with different gate conductor materials or different gate conductor implants, e.g. dual gate structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823437MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/823456MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes gate conductors with different shapes, lengths or dimensions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/0886Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L28/00Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
    • H01L28/40Capacitors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/401Multistep manufacturing processes
    • H01L29/4011Multistep manufacturing processes for data storage electrodes
    • H01L29/40111Multistep manufacturing processes for data storage electrodes the electrodes comprising a layer which is used for its ferroelectric properties
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41791Source or drain electrodes for field effect devices for transistors with a horizontal current flow in a vertical sidewall, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42356Disposition, e.g. buried gate electrode
    • H01L29/4236Disposition, e.g. buried gate electrode within a trench, e.g. trench gate electrode, groove gate electrode
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42372Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the conducting layer, e.g. the length, the sectional shape or the lay-out
    • H01L29/42376Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the conducting layer, e.g. the length, the sectional shape or the lay-out characterised by the length or the sectional shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/495Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a simple metal, e.g. W, Mo
    • H01L29/4958Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a simple metal, e.g. W, Mo with a multiple layer structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4966Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4966Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2
    • H01L29/4975Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2 being a silicide layer, e.g. TiSi2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/516Insulating materials associated therewith with at least one ferroelectric layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6684Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a ferroelectric gate insulator
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/78391Field effect transistors with field effect produced by an insulated gate the gate comprising a layer which is used for its ferroelectric properties
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7851Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with the body tied to the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7856Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with an non-uniform gate, e.g. varying doping structure, shape or composition on different sides of the fin, or different gate insulator thickness or composition on opposing fin sides
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B51/00Ferroelectric RAM [FeRAM] devices comprising ferroelectric memory transistors
    • H10B51/30Ferroelectric RAM [FeRAM] devices comprising ferroelectric memory transistors characterised by the memory core region
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate

Definitions

  • the disclosure relates to methods of manufacturing semiconductor integrated circuits, and more particularly to methods of manufacturing semiconductor devices including negative capacitance field effect transistors (NC-FETs), and semiconductor devices.
  • NC-FETs negative capacitance field effect transistors
  • the subthreshold swing is a feature of a transistor's current-voltage characteristic. In the subthreshold region the drain current behavior is similar to the exponentially increasing current of a forward biased diode. A plot of logarithmic drain current versus gate voltage with drain, source, and bulk voltages fixed will exhibit approximately logarithmic linear behavior in this metal-oxide-semiconductor (MOS) FET operating region.
  • MOS metal-oxide-semiconductor
  • NC-FET negative capacitance field effect transistor
  • FIG. 1 illustrates an exemplary structure of an NC-FET in accordance with some embodiments of the present disclosure.
  • FIG. 2 shows an exemplary cross sectional view illustrating one of the various stages for manufacturing a semiconductor device according to some embodiments of the present disclosure.
  • FIG. 3 shows an exemplary cross sectional view illustrating one of the various stages for manufacturing a semiconductor device according to some embodiments of the present disclosure.
  • FIG. 4 shows an exemplary cross sectional view illustrating one of the various stages for manufacturing a semiconductor device according to some embodiments of the present disclosure.
  • FIG. 5 shows an exemplary cross sectional view illustrating one of the various stages for manufacturing a semiconductor device according to some embodiments of the present disclosure.
  • FIG. 6 A shows an exemplary perspective view
  • FIGS. 6 B and 6 C are exemplary cross sectional views illustrating one of the various stages for manufacturing a semiconductor device according to some embodiments of the present disclosure.
  • FIG. 7 shows an exemplary cross sectional view illustrating one of the various stages for manufacturing a semiconductor device according to some embodiments of the present disclosure.
  • FIG. 8 shows an exemplary cross sectional view illustrating one of the various stages for manufacturing a semiconductor device according to some embodiments of the present disclosure.
  • FIGS. 9 A and 9 B show exemplary cross sectional views illustrating one of the various stages for manufacturing a semiconductor device according to some embodiments of the present disclosure.
  • FIG. 10 shows an exemplary perspective view illustrating one of the various stages for manufacturing a semiconductor device according to some embodiments of the present disclosure.
  • FIG. 11 shows an exemplary cross sectional view illustrating one of the various stages for manufacturing a semiconductor device according to some embodiments of the present disclosure.
  • FIG. 12 shows an exemplary perspective view illustrating one of the various stages for manufacturing a semiconductor device according to some embodiments of the present disclosure.
  • FIG. 13 A shows an exemplary perspective view illustrating one of the various stages for manufacturing a semiconductor device according to some embodiments of the present disclosure.
  • FIG. 13 B shows an exemplary cross sectional view illustrating one of the various stages for manufacturing a semiconductor device according to some embodiments of the present disclosure.
  • FIG. 14 shows an exemplary cross sectional view illustrating one of the various stages for manufacturing a semiconductor device according to some embodiments of the present disclosure.
  • FIG. 15 A shows an exemplary perspective view illustrating one of the various stages for manufacturing a semiconductor device according to some embodiments of the present disclosure.
  • FIG. 15 B shows an exemplary cross sectional view illustrating one of the various stages for manufacturing a semiconductor device according to some embodiments of the present disclosure.
  • FIG. 16 A shows an exemplary perspective view illustrating one of the various stages for manufacturing a semiconductor device according to some embodiments of the present disclosure.
  • FIG. 16 B shows an exemplary cross sectional view illustrating one of the various stages for manufacturing a semiconductor device according to some embodiments of the present disclosure.
  • FIG. 17 A shows an exemplary perspective view illustrating one of the various stages for manufacturing a semiconductor device according to some embodiments of the present disclosure.
  • FIG. 17 B shows an exemplary cross sectional view illustrating one of the various stages for manufacturing a semiconductor device according to some embodiments of the present disclosure.
  • FIG. 18 A shows an exemplary perspective view illustrating one of the various stages for manufacturing a semiconductor device according to some embodiments of the present disclosure.
  • FIG. 18 B shows an exemplary cross sectional view illustrating one of the various stages for manufacturing a semiconductor device according to some embodiments of the present disclosure.
  • FIG. 19 A shows an exemplary perspective view illustrating one of the various stages for manufacturing a semiconductor device according to some embodiments of the present disclosure.
  • FIG. 19 B shows an exemplary cross sectional view illustrating one of the various stages for manufacturing a semiconductor device according to some embodiments of the present disclosure.
  • FIG. 20 A shows an exemplary cross sectional view of a semiconductor device according to some embodiments of the present disclosure.
  • FIG. 20 B shows an exemplary cross sectional view of the NC-FinFET portion, and
  • FIG. 20 C shows an exemplary cross sectional view of the regular FinFET according to some embodiments of the present disclosure.
  • FIGS. 21 A- 21 D show exemplary cross sectional views illustrating one of the various stages for manufacturing a semiconductor device according to various embodiment of the present disclosure.
  • FIGS. 22 A- 22 D show exemplary cross sectional views illustrating one of the various stages for manufacturing a semiconductor device according to various embodiment of the present disclosure.
  • FIGS. 23 A- 23 D show exemplary perspective views illustrating one of the various stages for manufacturing a semiconductor device according to various embodiment of the present disclosure.
  • FIGS. 24 A- 24 D show exemplary cross sectional views illustrating one of the various stages for manufacturing a semiconductor device according to various embodiment of the present disclosure.
  • FIGS. 25 A- 25 D show exemplary cross sectional views illustrating one of the various stages for manufacturing a semiconductor device according to various embodiment of the present disclosure.
  • FIGS. 26 A- 26 D show exemplary cross sectional views illustrating one of the various stages for manufacturing a semiconductor device according to various embodiment of the present disclosure.
  • FIGS. 27 A and 27 B show exemplary cross sectional views illustrating gate structures of a semiconductor device according to various embodiment of the present disclosure.
  • first and second features are formed in direct contact
  • additional features may be formed interposing the first and second features, such that the first and second features may not be in direct contact.
  • Various features may be arbitrarily drawn in different scales for simplicity and clarity. In the accompanied drawings, some layers/features may be omitted for simplification.
  • spatially relative terms such as “beneath,” “below,” “lower,” “above,” “upper” and the like, may be used herein for ease of description to describe one element or feature's relationship to another element(s) or feature(s) as illustrated in the figures.
  • the spatially relative terms are intended to encompass different orientations of the device in use or operation in addition to the orientation depicted in the figures.
  • the device may be otherwise oriented (rotated 90 degrees or at other orientations) and the spatially relative descriptors used herein may likewise be interpreted accordingly.
  • the term “made of” may mean either “comprising” or “consisting of.” Further, in the following fabrication process, there may be one or more additional operations in/between the described operations, and the order of operations may be changed.
  • the following embodiments disclose embedded ferroelectric metal-insulator-metal (MIM) capacitor structures for multiple threshold voltage negative-capacitance fin field effect transistors (NC-FinFETs) with subthreshold swing improvement, and a hybrid gate-last manufacturing method for integrating multiple threshold voltage NC-FinFETs and FinFETs in a single chip.
  • MIM embedded ferroelectric metal-insulator-metal
  • An exemplary structure of an NC-FET is shown in FIG. 1 .
  • An NC-FET includes a substrate 200 , a channel 201 and source and drain 202 .
  • the source and drain 202 are appropriately doped with impurities. Further, the source and drain and the channel (active regions) are surrounded by an isolation insulating layer, such as shallow trench isolation (STI), made of, for example, silicon oxide.
  • STI shallow trench isolation
  • a first gate dielectric layer 203 is disposed over the channel 201 .
  • the first gate dielectric layer 203 is made of oxide, such as silicon oxide, or silicon oxynitride in some embodiments.
  • the first gate dielectric layer 203 includes one or more high-k dielectric (oxide) layers (e.g., having a dielectric constant greater than 3.9).
  • a first gate electrode 204 as an internal electrode is disposed on the first gate dielectric layer 203 .
  • the first gate electrode 204 may be a metal selected from a group of W, Cu, Ti, Ag, Al, TiAl, TiAlN, TaC, TaCN, TaSiN, Mn, Co, Pd, Ni, Re, Ir, Ru, Pt, and Zr.
  • the first gate electrode 204 includes a metal selected from a group of TiN, WN, TaN, and Ru.
  • Metal alloys such as Ti—Al, Ru—Ta, Ru—Zr, Pt—Ti, Co—Ni and Ni—Ta may be used and/or metal nitrides, such as WN x , TiN x , MoN x , TaN x , and TaSi x N y may be used.
  • TiN is used as the first gate electrode 204 .
  • a second gate dielectric layer 205 which is made of a ferroelectric material, is formed on the first gate electrode 204 .
  • a second gate electrode 206 as an external gate is disposed on the second gate dielectric layer 205 .
  • the second gate electrode 206 may be a metal selected from a group of W, Cu, Ti, Ag, Al, TiAl, TiAlN, TaC, TaCN, TaSiN, Mn, Co, Pd, Ni, Re, Ir, Ru, Pt, and Zr.
  • the second gate electrode 206 is made of the same material as or different material from the first gate electrode 204 .
  • the channel 201 , the gate dielectric layer 203 and the first gate electrode 204 constitute a MOS (metal-oxide-semiconductor) structure and the first gate electrode 204 , the ferroelectric layer 205 and the second gate electrode 206 constitute a MIM (metal-insulator-metal) structure.
  • MOS metal-oxide-semiconductor
  • MIM metal-insulator-metal
  • V FE (2 ⁇ Q+4 ⁇ Q 3 )*T FE , where ⁇ and ⁇ are anisotropy constant, Q is the surface charge density and T FE is the ferroelectric layer thickness.
  • the transport physics of the intrinsic MOSFET is still kept unchanged even when connecting the ferroelectric MIM capacitor in series with the MOSFET.
  • the dominant performance gain of an NC-FET is in the reduction of subthreshold swing, which provides the benefits of not only smaller voltage but also a lower off-state leakage current.
  • the gate dielectric layer may degrade due to subsequent processes, including a high temperature thermal process, which causes uncontrolled threshold voltage, higher gate leakage current and insufficient reliability issues.
  • a gate-last process flow it is possible to achieve adjustable threshold voltage and better gate dielectric quality due to a low thermal budget.
  • FETs fin field FETs
  • an aspect ratio of the gate space and fin spaces becomes higher, and then a conformal deposition of the ferroelectric layer and the external gate electrode becomes more difficult.
  • a semiconductor device includes multiple threshold voltage (Vth) transistors, for example, an n-channel ultra-low threshold voltage (N-uLVT) FET, an n-channel standard threshold voltage (N-SVT) FET, a p-channel standard threshold voltage (P-SVT) FET and a p-channel ultra-low threshold voltage (P-uLVT) FET, which are fabricated with different work-function adjustment metal (WFM) thicknesses. After the deposition of WFM of different thicknesses on the gate spaces, different aspect ratios of the gate spaces are obtained, which makes the formation of the subsequent ferroelectric layer and the external gate electrode layer difficult.
  • WFM work-function adjustment metal
  • a conformal ferroelectric layer and the external gate electrode layer are formed on the top of the fin.
  • the ferroelectric layer can fully fill the gate space, and the external gate layer may not fill the gate space. In such a case, a relatively thicker thickness and smaller area of the ferroelectric layer is formed on the top of the fin.
  • the external gate electrode may not be formed in the gate space to form an MIM structure, because the gate space is filled with the ferroelectric layer.
  • the circuit design will have more flexibility.
  • the standby power of the controlled logic-block can be reduced by connecting in series with large-area switching FinFETs.
  • the area consumption and standby power of switching transistors can be greatly reduced, while the logic-block can still keep the same circuit function with FinFET structures.
  • FIGS. 2 - 19 B show exemplary views of various stages for manufacturing FinFETs and NC FinFETs according to some embodiments of the present disclosure. It is understood that additional operations can be provided before, during, and after processes shown by FIGS. 2 - 19 B , and some of the operations described below can be replaced or eliminated, for additional embodiments of the method. The order of the operations/processes may be interchangeable.
  • the gate-last process is employed, and the operations shown by FIGS. 2 - 14 are the same for the regular FinFETs and the NC-FinFETs.
  • a mask layer 15 is formed over a substrate 10 .
  • the mask layer 15 is formed by, for example, a thermal oxidation process and/or a chemical vapor deposition (CVD) process.
  • the substrate 10 is made of a suitable elemental semiconductor, such as silicon, diamond or germanium; a suitable alloy or compound semiconductor, such as Group-IV compound semiconductors (silicon germanium (SiGe), silicon carbide (SiC), silicon germanium carbide (SiGeC), GeSn, SiSn, SiGeSn)), Group III-V compound semiconductors (e.g., gallium arsenide (GaAs), indium gallium arsenide (InGaAs), indium arsenide (InAs), indium phosphide (InP), indium antimonide (InSb), gallium arsenic phosphide (GaAsP), or gallium indium phosphide (GaInP)), or the like.
  • a suitable elemental semiconductor such as silicon, diamond or germanium
  • a suitable alloy or compound semiconductor such as Group-IV compound semiconductors (silicon germanium (SiGe), silicon carbide (SiC), silicon germanium carbide (S
  • the substrate 200 may include an epitaxial layer (epi-layer), which may be strained for performance enhancement, and/or may include a silicon-on-insulator (SOI) structure.
  • the Si substrate is, for example, a p-type silicon or germanium substrate with an impurity concentration in a range from about 1 ⁇ 10 15 cm ⁇ 3 to about 1 ⁇ 10 16 cm ⁇ 3 .
  • the substrate is an n-type silicon or germanium substrate with an impurity concentration in a range from about 1 ⁇ 10 15 cm ⁇ 3 to about 1 ⁇ 10 16 cm ⁇ 3 .
  • the mask layer 15 includes, for example, a pad oxide (e.g., silicon oxide) layer 15 A and a silicon nitride mask layer 15 B in some embodiments.
  • a pad oxide e.g., silicon oxide
  • a silicon nitride mask layer 15 B in some embodiments.
  • the pad oxide layer 15 A may be formed by using thermal oxidation or a CVD process.
  • the silicon nitride mask layer 15 B may be formed by a physical vapor deposition (PVD), such as a sputtering method, a CVD, plasma-enhanced chemical vapor deposition (PECVD), an atmospheric pressure chemical vapor deposition (APCVD), a low-pressure CVD (LPCVD), a high density plasma CVD (HDPCVD), an atomic layer deposition (ALD), and/or other processes.
  • PVD physical vapor deposition
  • PECVD plasma-enhanced chemical vapor deposition
  • APCVD atmospheric pressure chemical vapor deposition
  • LPCVD low-pressure CVD
  • HDPCVD high density plasma CVD
  • ALD atomic layer deposition
  • the thickness of the pad oxide layer 15 A is in a range from about 2 nm to about 15 nm and the thickness of the silicon nitride mask layer 15 B is in a range from about 2 nm to about 50 nm in some embodiments.
  • a mask pattern is further formed over the mask layer.
  • the mask pattern is, for example, a resist pattern formed by lithography operations.
  • a hard mask pattern 15 of the pad oxide layer and the silicon nitride mask layer is formed, as shown in FIG. 2 .
  • the substrate 10 is patterned into fin structures 20 by trench etching using a dry etching method and/or a wet etching method.
  • three fin structures 20 are disposed over the substrate 10 .
  • the number of the fin structures is not limited to three. The numbers may be as small as one, or more than three.
  • one or more dummy fin structures may be disposed adjacent both sides of the fin structure 20 to improve pattern fidelity in patterning processes.
  • the fin structure 20 may be made of the same material as the substrate 10 and may continuously extend from the substrate 10 .
  • the fin structure is made of Si.
  • the silicon layer of the fin structure 20 may be intrinsic, or appropriately doped with an n-type impurity or a p-type impurity.
  • the width W 1 of the fin structure 20 is in a range from about 5 nm to about 40 nm in some embodiments, and is in a range from about 7 nm to about 12 nm in other embodiments.
  • the space 51 between two fin structures is in a range from about 10 nm to about 50 nm in some embodiments.
  • the height (along the Z direction) of the fin structure 20 is in a range from about 100 nm to about 300 nm in some embodiments, and is in a range from about 50 nm to 100 nm in other embodiments.
  • the lower part of the fin structure 20 under the gate structure 40 may be referred to as a well region, and the upper part of the fin structure 20 may be referred to as a channel region.
  • the well region is embedded in the isolation insulating layer 30 (see, FIG. 6 A ), and the channel region protrudes from the isolation insulating layer 30 .
  • a lower part of the channel region may also be embedded in the isolation insulating layer 30 to a depth of about 1 nm to about 5 nm.
  • the height of the well region is in a range from about 60 nm to 100 nm in some embodiments, and the height of the channel region is in a range from about 40 nm to 60 nm, and is in a range from about 38 nm to about 55 nm in other embodiments.
  • the substrate 10 is further etched to form a mesa shape 10 M, as shown in FIG. 4 .
  • the mesa shape 10 M is first formed, and then the fin structures 20 are formed.
  • the isolation insulating layer 30 is formed in spaces between the fin structures and/or a space between one fin structure and another element formed over the substrate 10 .
  • the isolation insulating layer 30 may also be called a “shallow-trench-isolation (STI)” layer.
  • the insulating material for the isolation insulating layer 30 may include one or more layers of silicon oxide, silicon nitride, silicon oxynitride (SiON), SiOCN, fluorine-doped silicate glass (FSG), or a low-k dielectric material.
  • the isolation insulating layer is formed by LPCVD (low pressure chemical vapor deposition), plasma-CVD or flowable CVD.
  • flowable dielectric materials instead of silicon oxide may be deposited.
  • Flowable dielectric materials can “flow” during deposition to fill gaps or spaces with a high aspect ratio.
  • various chemistries are added to silicon-containing precursors to allow the deposited film to flow.
  • nitrogen hydride bonds are added.
  • flowable dielectric precursors particularly flowable silicon oxide precursors
  • examples of flowable dielectric precursors include a silicate, a siloxane, a methyl silsesquioxane (MSQ), a hydrogen silsesquioxane (HSQ), an MSQ/HSQ, a perhydrosilazane (TCPS), a perhydro-polysilazane (PSZ), a tetraethyl orthosilicate (TEOS), or a silyl-amine, such as trisilylamine (TSA).
  • These flowable silicon oxide materials are formed in a multiple-operation process. After the flowable film is deposited, it is cured and then annealed to remove un-desired element(s) to form silicon oxide.
  • the flowable film densifies and shrinks.
  • multiple anneal processes are conducted.
  • the flowable film is cured and annealed more than once.
  • the flowable film may be doped with boron and/or phosphorous.
  • the insulating layer 30 is first formed in a thick layer so that the fin structures are embedded in the thick layer, and the thick layer is recessed so as to expose the upper portions of the fin structures 20 , as shown in FIG. 5 .
  • the height H 1 of the fin structures from the upper surface of the isolation insulating layer 30 is in a range from about 20 nm to about 100 nm in some embodiments, and is in a range from about 30 nm to about 50 nm in other embodiments.
  • a thermal process for example, an anneal process, may be performed to improve the quality of the isolation insulating layer 30 .
  • the thermal process is performed by using rapid thermal annealing (RTA) at a temperature in a range from about 900° C. to about 1050° C. for about 1.5 seconds to about 10 seconds in an inert gas ambient, such as an N 2 , Ar or He ambient.
  • RTA rapid thermal annealing
  • FIG. 6 A is an exemplary perspective view
  • FIG. 6 B is an exemplary cross sectional view along line a-a of FIG. 6 A
  • FIG. 6 C is an exemplary cross sectional view along line b-b of FIG. 6 A .
  • the gate structure 40 extends in the X direction, while the fin structures 20 extend in the Y direction.
  • a dielectric layer and a poly silicon layer are formed over the isolation insulating layer 30 and the exposed fin structures 20 , and then patterning operations are performed so as to obtain gate structures including a gate pattern 44 made of poly silicon and a dielectric layer 42 .
  • the polysilicon layer is patterned by using a hard mask and the hard mask remains on the gate pattern 44 as a cap insulating layer 46 .
  • the hard mask (cap insulating layer 46 ) includes one or more layers of insulating material.
  • the cap insulating layer 46 includes a silicon nitride layer formed over a silicon oxide layer in some embodiments. In other embodiments, the cap insulating layer 46 includes a silicon oxide layer formed over a silicon nitride layer.
  • the insulating material for the cap insulating layer 46 may be formed by CVD, PVD, ALD, e-beam evaporation, or other suitable process.
  • the dielectric layer 42 may include one or more layers of silicon oxide, silicon nitride, silicon oxy-nitride, or high-k dielectrics.
  • a thickness of the dielectric layer 42 is in a range from about 2 nm to about 20 nm, and in a range from about 2 nm to about 10 nm in other embodiments.
  • the height H 2 of the gate structures is in a range from about 50 nm to about 400 nm in some embodiments, and is in a range from about 100 nm to 200 nm in other embodiments.
  • the gate pattern 44 and the dielectric layer 42 are a dummy gate electrode and a dummy gate dielectric layer, respectively, which are subsequently removed.
  • the gate structure 40 is a dummy gate structure.
  • gate sidewall spacers 48 are formed on both sidewalls of the gate pattern.
  • the sidewall spacers 48 include one or more layers of insulating material, such as SiO 2 , SiN, SiON, SiOCN or SiCN or any other suitable dielectric material, which are formed by CVD, PVD, ALD, e-beam evaporation, or other suitable process.
  • a low-k dielectric material may be used as the sidewall spacers.
  • the sidewall spacers 48 are formed by forming a blanket layer of insulating material and performing anisotropic etching.
  • the sidewall spacer layers are made of silicon nitride based material, such as SiN, SiON, SiOCN or SiCN.
  • the upper portion of the fin structures 20 are recessed by a dry etching and/or a wet etching operation.
  • the upper portion of the fin structures 20 are recessed (etched) down to the level equal to or below the upper surface isolation insulating layer 30 .
  • an epitaxial source/drain structure 60 is formed over the recessed fin structures 20 .
  • the epitaxial source/drain structure 60 is made of one or more layers of semiconductor material having a different lattice constant than the fin structures 20 (channel regions).
  • the epitaxial source/drain structure 60 includes SiP, SiC or SiCP for an n-channel Fin FET and SiGe or Ge for a p-channel Fin FET.
  • the epitaxial source/drain structure 60 is epitaxially formed over the upper portions of the recessed fin structures. Due to the crystal orientation of the substrate formed into the fin structures 20 , the epitaxial source/drain structure 60 grows laterally and has a hexagonal shape. In other embodiments, a diamond-like shape is obtained.
  • the source/drain epitaxial layer 60 may be grown at a temperature of about 600 to 800° C. under a pressure of about 80 to 150 Torr, by using a Si containing gas such as SiH 4 , Si 2 H 6 or SiCl 2 H 2 , a Ge containing gas, such as GeH 4 , Ge 2 H 6 or GeCl 2 H 2 , a C containing gas, such as CH 4 or C 2 H 6 , and/or a dopant gas, such as PH 3 .
  • the source/drain structure for an n-channel FET and the source/drain structure for a p-channel FET may be formed by separate epitaxial processes.
  • the source/drain epitaxial layers 60 are separately formed on respective recessed fin structures 20 .
  • the adjacent epitaxial source/drain structures formed over each of the recessed fin structures 20 are merged. In such a case, a void or a gap (an air gap) may be formed between the merged epitaxial source/drain structure 60 the upper surface of the isolation insulating layer 30 .
  • an etch-stop layer (ESL) 62 is formed over the source/drain epitaxial layer 60 and the dummy gate structure 40 . Further, a first interlayer dielectric (ILD) layer 70 is formed over the ESL 62 . In some embodiments, an additional dielectric layer 72 is formed over the ILD layer. Further, a planarization operation, such as chemical mechanical polishing, is performed, thereby obtaining the structures of FIGS. 9 A and 9 B .
  • FIG. 9 A is an exemplary cross sectional views along the X direction and FIG. 9 B is an exemplary cross sectional view along the Y direction. By the planarization process, the upper surface of the dummy gate electrode 44 is exposed.
  • the first ILD layer 70 may include a single layer or multiple layers.
  • the ILD layer 70 includes SiO 2 , SiCN, SiOC, SiON, SiOCN, SiN or a low-k material, but other suitable dielectric film may be used.
  • the ILD layer 70 maybe formed by CVD, PECVD or ALD, FCVD, or a spin-on-glass process.
  • the additional dielectric layer 72 is made of different material than the ILD layer 70 and is made of one or more layers of SiO 2 , SiCN, SiOC, SiON, SiOCN, SiN, or any other suitable dielectric material. In certain embodiments, the additional dielectric layer 72 is made of SiN.
  • FIGS. 10 - 18 B show various stages for manufacturing a regular FinFET and an NC-FinFET according to some embodiments of the present disclosure.
  • FIG. 10 shows an exemplary perspective view after gate spaces 90 are formed by removing the dummy gate electrode 44 and the dummy gate dielectric layer 42 .
  • the structure for an NC-FET and the structure for a regular FinFET are disposed adjacent to each other with a first ILD layer 70 interposed therebetween.
  • the structure for the NC-FET and the structure for the regular FinFET may not necessarily be disposed adjacent to each other.
  • a first fin liner layer 26 is formed on the lower portions 22 of the fin structures 20
  • a second fin liner layer 28 is formed on the first fin liner layer 26 .
  • Each of the liner layers has a thickness between about 1 nm and about 20 nm in some embodiments.
  • the first fin liner layer 26 includes silicon oxide and has a thickness between about 0.5 nm and about 5 nm
  • the second fin liner layer 28 includes silicon nitride and has a thickness between about 0.5 nm and about 5 nm.
  • the liner layers may be deposited through one or more processes such as physical vapor deposition (PVD), chemical vapor deposition (CVD), or atomic layer deposition (ALD), although any acceptable process may be utilized.
  • FIG. 11 is the cross sectional view corresponding the line Yl-Y 1 of FIG. 10 .
  • the gate dielectric layer 100 includes one or more high-k dielectric layers (e.g., having a dielectric constant greater than 3.9).
  • the one or more gate dielectric layers may include one or more layers of a metal oxide or a silicate of Hf, Al, Zr, combinations thereof, and multi-layers thereof.
  • suitable materials include La, Mg, Ba, Ti, Pb, Zr, in the form of metal oxides, metal alloy oxides, and combinations thereof.
  • Exemplary materials include MgO x , BaTi x O y , BaSr x Ti y O z , PbTi x O y , PbZr x Ti y O z , SiCN, SiON, SiN, Al 2 O 3 , La 2 O 3 , Ta 2 O 3 , Y 2 O 3 , HfO 2 , ZrO 2 , GeO 2 , ZrO 2 , HfZrO 2 , Ga 2 O 3 , Gd 2 O 3 , TaSiO 2 , TiO 2 . HfSiON, YGe x O y , YSi x O y and LaAlO 3 , and the like.
  • the formation methods of gate dielectric layer 100 include molecular-beam deposition (MBD), ALD, PVD, and the like. In some embodiments, the gate dielectric layer 100 has a thickness of about 0.5 nm to about 5 nm.
  • an interfacial layer may be formed over the channels 24 prior to forming the gate dielectric layer 100 , and the gate dielectric layer 100 is formed over the interfacial layer.
  • the interfacial layer helps buffer the subsequently formed high-k dielectric layer from the underlying semiconductor material.
  • the interfacial layer is a chemical silicon oxide, which may be formed by chemical reactions.
  • a chemical silicon oxide may be formed using deionized water+ozone (DIO 3 ), NH 4 OH+H 2 O 2 +H 2 O (APM), or other methods.
  • DIO 3 deionized water+ozone
  • API NH 4 OH+H 2 O 2 +H 2 O
  • Other embodiments may utilize a different material or processes for the interfacial layer.
  • the interfacial layer has a thickness of about 0.2 nm to about 1 nm.
  • a work function adjustment metal (WFM) layer 110 is formed over the gate dielectric layer 100 , as shown in FIG. 12 .
  • the WFM layer 110 is made of one or more layers of conductive material, such as a single layer of TiN, TaN, TaAlC, TiC, TaC, Co, Al, TiAl, HfTi, TiSi, TaSi or TiAlC, or a multilayer of two or more of these materials.
  • conductive material such as a single layer of TiN, TaN, TaAlC, TiC, TaC, Co, Al, TiAl, HfTi, TiSi, TaSi or TiAlC, or a multilayer of two or more of these materials.
  • conductive material such as a single layer of TiN, TaN, TaAlC, TiC, TaC, Co, Al, TiAl, HfTi, TiSi, TaSi or TiAlC, or a multilayer of two or more of these materials.
  • TaN, TaAlC, TiN, TiC, Co TiAl, HfTi, TiSi and TaSi
  • the thickness and the material of the WFM layer 110 can be selected for the types (p or n) of FETs and operational voltages.
  • the WFM layer 110 can be conformally formed on the bottom and the sides of the gate space 90 on which the gate dielectric layer 100 is formed, such that the gate space 90 is not filled with the WFM layer 110 , as shown in FIG. 12 .
  • the WFM layer 110 fills the gate space 90 on which the gate dielectric layer 100 is formed.
  • FIG. 13 B is the cross sectional view corresponding the line Y 1 -Y 1 of FIG. 13 A .
  • the first conductive layer 115 fills the gate space 90 , and may be formed over the insulating structure.
  • the conductive material for the first conductive layer 115 includes one or more material selected from a group of W, Cu, Ti, Ag, Al, TiAl, TiAlN, TaC, TaCN, TaSiN, Mn, Co, Pd, Ni, Re, Ir, Ru, Pt, Zr, TiN, WN, TaN, Ru, alloys such as Ti—Al, Ru—Ta, Ru—Zr, Pt—Ti, Co—Ni, WN x , TiN x , MoN x , TaN x , and TaSi x N y .
  • W is used as the first conductive layer 115 .
  • the first conductive layer 115 may be formed using a suitable process such as ALD, CVD, PVD, plating, or combinations thereof.
  • a planarization process such as a CMP, is performed to remove excess materials, as shown in FIG. 14 .
  • a metal gate structure for the regular FET is formed (except for a gate cap insulating layer).
  • FIG. 15 A is the cross sectional view corresponding the line Y-Y 1 of FIG. 15 A .
  • the mask layer 95 may be a photo resist pattern or a hard mask pattern.
  • the height H 11 of the remaining first conductive layer 115 from the channel 24 is in a range from about 5 nm to about 50 nm in some embodiments.
  • the WFM layer 110 is etched more than the first conductive layer 115 , and the remaining first conductive layer 115 protrudes from the WFM layer 110 .
  • the gate dielectric layer 100 is not etched. After the recess etching, the mask layer 95 is removed.
  • FIG. 16 B is the cross sectional view corresponding the line Y-Y 1 of FIG. 16 A .
  • the ferroelectric layer 120 is made of one or more material selected from the group consisting of Pb 3 Ge 5 O 11 (PGO), lead zirconate titanate (PZT), SrBi 2 Ta 2 O 9 (SBT or SBTO), SrB 4 O 7 (SBO), Sr a Bi b Ta c Nb d O x (SBTN), SrTiO 3 (STO), BaTiO 3 (BTO), (Bi x La y )Ti 3 O 12 (BLT), LaNiO 3 (LNO), YMnO 3 , ZrO 2 , zirconium silicate, ZrAlSiO, HfO 2 , HfZrO 2 , hafnium silicate, HfAlO, LaAlO, lanthanum oxide, HfO 2 doped with Si (HfSiO x ), and Ta 2 O 5 .
  • the thickness of the ferroelectric layer 120 is in a range from about 1 nm to about 20 nm in some embodiments, and may be formed by a suitable process such as ALD or CVD. As shown in FIG. 16 B , the ferroelectric layer 120 is conformally formed in some embodiments.
  • the conductive liner layer 125 is an adhesive layer for the second conductive layer, and is made of, for example, Ti, Ta, TiN and/or TaN.
  • the thickness of the conductive liner layer 125 is in a range from about 0.5 nm to about 10 nm in some embodiments, and may be formed by a suitable process such as ALD, CVD, PVD, plating, or combinations thereof. As shown in FIG. 16 B , the conductive liner layer 125 is conformally formed in some embodiments.
  • the second conductive layer 130 is made of the same as or similar material to the first conductive layer 115 , and may be formed using a suitable process such as ALD, CVD, PVD, plating, or combinations thereof. In one embodiment, W is used as the second conductive layer 130 .
  • an annealing operation is performed, thereby transforming the phase of the ferroelectric layer from a polycrystalline structure to a crystalline structure, for example, an orthorhombic structure which exhibits ferroelectricity.
  • the annealing operation includes rapid thermal annealing (RTA) performed at a temperature between about 400° C. to about 900° C., in some embodiments.
  • FIG. 17 B is the cross sectional view corresponding the line Y-Y 1 of FIG. 17 A .
  • a planarization process such as a CMP
  • a recess etching operation is performed, thereby reducing the height of the gate structure for the NC-FinFET and the height of the gate structure for the regular FET and forming a second recessed gate space 94 , as shown in FIGS. 18 A and 18 B .
  • a gate cap layer 140 is formed in the second recessed gate space 94 to protect the gate electrodes during subsequent processes.
  • the gate cap layer 140 includes SiO 2 , SiCN, SiON, SiN, Al 2 O 3 , La 2 O 3 , SiN, a combination thereof, or the like, but other suitable dielectric films may be used.
  • the gate cap layer 140 may be formed using, for example, CVD, PVD, spin-on-glass, or the like. Other suitable process steps may be used.
  • a planarization process, such as a CMP may be performed to remove excess materials. During the planarization process, the dielectric layer 72 is also removed, as shown in FIGS. 19 A and 19 B , in some embodiments.
  • the thickness of the gate cap layer 140 after the planarization process is in a range from about 5 nm to about 50 nm in some embodiments.
  • FIG. 20 A shows an exemplary cross sectional view of a semiconductor device along the X direction according to some embodiments of the present disclosure.
  • FIG. 20 B shows an exemplary cross sectional view of the NC-FinFET portion along the Y direction
  • FIG. 20 C shows an exemplary cross sectional view of the regular FinFET portion along the Y direction according to some embodiments of the present disclosure.
  • the NC-FinFET portion includes an MIM structure formed by the second conductive layer 130 , the conductive liner layer 125 , the ferroelectric layer 120 and the first conductive layer 115 , together with a MOS structure formed by the first conductive layer 115 , the WFM layer 110 , the gate dielectric layer 100 and the channel 24 , while the regular FinFET portion includes the MOS structure only.
  • the upper surface of the MIM structure is substantially flat, as shown in FIG. 20 B .
  • a bottom of the gate cap insulating layer 140 is substantially flat, which means that the variation is less than 1.0 nm.
  • the thickness H 21 of the WFM layer 110 above the channel (upper portion of the fin structure) 24 varies depending on the types of the NC-FET (conductivity type and/or operational voltage), and is in a range from about 0.5 nm to about 20 nm in some embodiments.
  • the thickness H 22 of the first conductive layer 115 above the channel 24 is in a range from about 5 nm to about 50 nm in some embodiments.
  • the thickness H 23 of the ferroelectric layer 120 above the first conductive layer (internal gate) 115 is in a range from about 2 nm to about 20 nm in some embodiments.
  • the thickness H 24 of the conductive liner layer 125 above the first conductive layer (internal gate) 115 is in a range from about 0.5 nm to about 10 nm in some embodiments.
  • the thickness H 25 of the second conductive layer 130 above the channel 24 is in a range from about 5 nm to about 50 nm in some embodiments.
  • H 22 is equal to or greater than H 25 , and in other embodiments, H 22 is smaller than H 25 .
  • the height H 25 of the metal gate (the first conductive layer 115 and the WFM layer 110 ) above the channel (upper portion of the fin structure) 24 is in a range from about 10 nm to about 110 nm in some embodiments.
  • the gate dielectric layer 100 and the WFM layer 110 have a “U-shape” in the Y directional cross section having a thin center portion and thick side portions, and as shown in FIG. 20 A , the gate dielectric layer 100 and the WFM layer 110 have a “U-shape” between adjacent channels 24 and/or between the sidewall spacer 48 and the channel 24 , in the X directional cross section.
  • the ferroelectric layer 120 , the conductive liner layer 125 and the second conductive layer 130 have a “U-shape” in the Y directional cross section
  • the ferroelectric layer 120 , the conductive liner layer 125 and the second conductive layer 130 have a “U-shape” between the sidewall spacers 48 , in the X directional cross section, although FIG. 20 A shows only one end portion of the U-shape.
  • CMOS processes are performed to form various features such as additional interlayer dielectric layers, contacts/vias, interconnect metal layers, and passivation layers, etc.
  • the semiconductor device includes multiple threshold voltage (Vth) transistors, for example, an n-channel ultra-low threshold voltage (N-uLVT) FET, an n-channel standard threshold voltage (N-SVT) FET, a p-channel standard threshold voltage (P-SVT) FET and a p-channel ultra-low threshold voltage (P-uLVT) FET.
  • Vth threshold voltage
  • N-uLVT n-channel ultra-low threshold voltage
  • N-SVT n-channel standard threshold voltage
  • P-SVT p-channel standard threshold voltage
  • P-uLVT p-channel ultra-low threshold voltage
  • the gates of these four types of FETs have different structures, in some embodiments.
  • FIGS. 21 A- 25 D show exemplary cross sectional views illustrating the various stages for manufacturing NC-FinFET portions of a semiconductor device according to some embodiments of the present disclosure.
  • the “A” figures show exemplary views for an N-uLVT FET
  • the “B” figures show exemplary views for an N-SVT FET
  • the “C” figures show exemplary views for a P-SVT FET
  • the “D” figures show exemplary views for a P-uLVT FET.
  • Material, configuration, dimensions and/or processes the same as or similar to the foregoing embodiments described with respect to FIGS. 1 - 20 B may be employed in the following embodiments, and detailed explanation thereof may be omitted.
  • FIGS. 21 A- 21 D show exemplary cross sectional views after the WFM layer 110 and the first conductive layer 115 are formed in the gate spaces 90 by the planarization operation.
  • the N-uLVT FET Comparing the N-uLVT FET and the N-SVT FET, the N-uLVT FET has a WFM layer 110 thinner than the N-SVT FET, as shown in FIGS. 21 A and 21 B .
  • the WFM layer 100 for the N-SVT FET completely fills the gate space and thus no second conductive layer is formed in the gate space.
  • the P-SVT FET has a WFM layer 110 thicker than the P-uLVT FET, as shown in FIGS. 21 C and 21 D .
  • the WFM layer 100 for the P-uLVT FET completely fills the gate space and thus no first conductive layer (for example, W) is formed in the gate space.
  • the N-uLVT FET has a WFM layer 110 thinner than the P-uLVT FET, as shown in FIGS. 21 A and 21 D .
  • the volume of the first conductive layer 115 for the N-uLVT FET is smaller than the volume of the first conductive layer 115 for the P-uLVT FET.
  • the area for the first conductive layer 115 for the N-uLVT FET is about 70% or less of the area of the first conductive layer 115 for the P-uLVT FET, in some embodiments.
  • the area for the first conductive layer 115 for the N-uLVT FET is about 1% to about 50% of the area of the first conductive layer 115 for the P-uLVT FET.
  • the WFM layer 110 and the first conductive layer 115 are formed in the gate spaces 90 , as shown in FIGS. 22 A- 22 D , the WFM layer 110 and/or the first conductive layer 115 are recessed, thereby forming a recessed gate space 92 , by operations similar to FIGS. 15 A and 15 B .
  • FIGS. 23 A- 24 D show exemplary views after the ferroelectric layer 120 and the conductive liner layer 125 are formed over the recessed WFM layer 110 and/or first conductive layer 115 .
  • FIGS. 23 A- 23 D show exemplary perspective views
  • FIGS. 24 A- 24 D show exemplary cross sectional view along the X direction
  • FIGS. 25 A- 25 D show exemplary cross sectional view along the Y direction.
  • the ferroelectric layer 120 is formed over the WFM layer 110 with no first conductive layer interposed therebetween. Further, the conductive liner layer 125 is formed in the ferroelectric layer 120 . For the N-uLVT FET and P-uLVT-FET, the ferroelectric layer 120 is formed over the WFM layer 110 and the first conductive layer 115 . The amount of the first conductive layer 115 for the N-uLVT FET is smaller than the amount of the first conductive layer 115 for the P-uLVT FET.
  • the ferroelectric layer 120 can be conformally formed in the recessed gate space 92 , without fully filling the recessed gate space 92 . Accordingly the thickness of the ferroelectric layer 120 at the center of the WFM layer 110 and/or the first conductive layer 115 is substantially the same for all four FETs.
  • the WFM layer 110 can be conformally formed over the channels 24 (upper portion of the fin structure), forming a U-shape cross section, while in FIGS. 24 B and 24 C , the WFM layer 110 fully fills spaces between the channels 24 .
  • FIGS. 26 A- 26 D the operations the same as or similar to those explained with FIGS. 16 A- 19 B are performed, thereby forming gate structures for multiple threshold voltage NC-FETs.
  • the structure formed by the ferroelectric layer 120 , the conductive liner layer 125 and the second conductive layer 130 are substantially the same for the N-uLVT FET, the N-SLV FET, the P-SLV FET and P-uLVT FET.
  • the ferroelectric layer 120 , the conductive liner layer 125 and the second conductive layer 130 have a “U-shape” in the Y directional cross section, and the ferroelectric layer 120 , the conductive liner layer 125 and the second conductive layer 130 have a “U-shape” between the sidewall spacers 48 , also in the X directional cross section.
  • the upper surface of the MIM structure is substantially flat, as shown in FIGS. 26 A- 26 D .
  • FIG. 27 A is an exemplary cross sectional view corresponding to FIG. 26 A
  • FIG. 27 B is an exemplary cross sectional view corresponding to FIGS. 26 B and 26 C
  • FIG. 20 B corresponds to FIG. 26 D .
  • the thickness H 31 of the WFM layer 110 above the channel 24 between the gate dielectric layer and the bottom of the first conductive layer 115 is in a range from about 5 nm to about 20 nm in some embodiments.
  • the thickness H 32 of the first conductive layer 115 is in a range from about 0.5 nm to about 5 nm in some embodiments.
  • the thickness H 33 of the WFM layer 110 above the channel 24 is in a range from about 5 nm to about 50 nm in some embodiments.
  • Different FETs with different threshold voltages have different WFM layer thicknesses and thus the amount (volume) of the first conductive layer 115 (e.g., W, Co, Ni and/or Cu) varies, including zero.
  • FinFETs are employed.
  • the foregoing technologies may be applied to planar type FET or any other suitable transistors formed by the gate replacement technology.
  • an NC-FET is formed by utilizing a gate replacement technology. After the materials/layers for the lower MOS structure is formed in the gate space, the materials/layers for the lower MOS structure is recessed to make a space for the upper MIM structure. Accordingly, the ferroelectric material layer can be conformally formed in the space, regardless of the lower MOS structures for FETs with various threshold voltages. Further, the NC-FET can be formed with a regular FET with an additional one photolithography operation, and thus, an increase in cost can be minimized.
  • a semiconductor device includes a first channel region disposed over a substrate, and a first gate structure disposed over the first channel region.
  • the first gate structure includes a gate dielectric layer disposed over the channel region, a lower conductive gate layer disposed over the gate dielectric layer, a ferroelectric material layer disposed over the lower conductive gate layer, and an upper conductive gate layer disposed over the ferroelectric material layer.
  • the ferroelectric material layer is in direct contact with the gate dielectric layer and the lower gate conductive layer, and has a U-shape cross section.
  • a semiconductor device includes a first field effect transistor (FET) and a second FET.
  • a gate structure of the first FET includes a first gate dielectric layer made of a dielectric material, a first conductive layer made of a first conductive material, a second conductive layer made of a second conductive material and a first gate cap insulating layer disposed on the second conductive layer.
  • a gate structure of the second FET includes a second gate dielectric layer made of the dielectric material, a third conductive layer made of the first conductive material, a ferroelectric material layer disposed over the second gate dielectric layer and the third conductive layer, a fourth conductive layer disposed over the ferroelectric material layer, and a second gate cap insulating layer disposed on the fourth conductive layer.
  • a fin field effect transistor (FinFET) structure is formed.
  • the FinFET structure has a fin structure including a channel region and a dummy gate structure disposed over the channel region.
  • the dummy gate structure is removed, thereby forming a gate space.
  • a gate dielectric layer is formed in the gate space over the channel region.
  • a lower gate electrode layer is formed over the gate dielectric layer.
  • the gate dielectric layer and the lower gate electrode layer are recessed, thereby forming a recessed gate space.
  • a ferroelectric material layer is formed on the recessed gate dielectric layer and lower gate electrode layer in the recessed gate space.
  • An upper gate electrode layer is formed on the ferroelectric material layer.

Abstract

A semiconductor device includes a first channel region disposed over a substrate, and a first gate structure disposed over the first channel region. The first gate structure includes a gate dielectric layer disposed over the channel region, a lower conductive gate layer disposed over the gate dielectric layer, a ferroelectric material layer disposed over the lower conductive gate layer, and an upper conductive gate layer disposed over the ferroelectric material layer. The ferroelectric material layer is in direct contact with the gate dielectric layer and the lower gate conductive layer, and has a U-shape cross section.

Description

CROSS-REFERENCE TO RELATED APPLICATIONS
This application is a Continuation of U.S. patent application Ser. No. 16/049,172 filed Jul. 30, 2018, which is a Divisional of U.S. patent application Ser. No. 15/476,221 filed Mar. 31, 2017, which claims priority to U.S. Provisional Patent Application 62/427,638 filed Nov. 29, 2016, the entire disclosure of which is incorporated herein by reference.
TECHNICAL FIELD
The disclosure relates to methods of manufacturing semiconductor integrated circuits, and more particularly to methods of manufacturing semiconductor devices including negative capacitance field effect transistors (NC-FETs), and semiconductor devices.
BACKGROUND
The subthreshold swing is a feature of a transistor's current-voltage characteristic. In the subthreshold region the drain current behavior is similar to the exponentially increasing current of a forward biased diode. A plot of logarithmic drain current versus gate voltage with drain, source, and bulk voltages fixed will exhibit approximately logarithmic linear behavior in this metal-oxide-semiconductor (MOS) FET operating region. To improve the subthreshold properties, a negative capacitance field effect transistor (NC-FET) using a ferroelectric material has been proposed.
BRIEF DESCRIPTION OF THE DRAWINGS
Aspects of the present disclosure are best understood from the following detailed description when read with the accompanying figures. It is noted that, in accordance with the standard practice in the industry, various features are not drawn to scale. In fact, the dimensions of the various features may be arbitrarily increased or reduced for clarity of discussion.
FIG. 1 illustrates an exemplary structure of an NC-FET in accordance with some embodiments of the present disclosure.
FIG. 2 shows an exemplary cross sectional view illustrating one of the various stages for manufacturing a semiconductor device according to some embodiments of the present disclosure.
FIG. 3 shows an exemplary cross sectional view illustrating one of the various stages for manufacturing a semiconductor device according to some embodiments of the present disclosure.
FIG. 4 shows an exemplary cross sectional view illustrating one of the various stages for manufacturing a semiconductor device according to some embodiments of the present disclosure.
FIG. 5 shows an exemplary cross sectional view illustrating one of the various stages for manufacturing a semiconductor device according to some embodiments of the present disclosure.
FIG. 6A shows an exemplary perspective view and FIGS. 6B and 6C are exemplary cross sectional views illustrating one of the various stages for manufacturing a semiconductor device according to some embodiments of the present disclosure.
FIG. 7 shows an exemplary cross sectional view illustrating one of the various stages for manufacturing a semiconductor device according to some embodiments of the present disclosure.
FIG. 8 shows an exemplary cross sectional view illustrating one of the various stages for manufacturing a semiconductor device according to some embodiments of the present disclosure.
FIGS. 9A and 9B show exemplary cross sectional views illustrating one of the various stages for manufacturing a semiconductor device according to some embodiments of the present disclosure.
FIG. 10 shows an exemplary perspective view illustrating one of the various stages for manufacturing a semiconductor device according to some embodiments of the present disclosure.
FIG. 11 shows an exemplary cross sectional view illustrating one of the various stages for manufacturing a semiconductor device according to some embodiments of the present disclosure.
FIG. 12 shows an exemplary perspective view illustrating one of the various stages for manufacturing a semiconductor device according to some embodiments of the present disclosure.
FIG. 13A shows an exemplary perspective view illustrating one of the various stages for manufacturing a semiconductor device according to some embodiments of the present disclosure. FIG. 13B shows an exemplary cross sectional view illustrating one of the various stages for manufacturing a semiconductor device according to some embodiments of the present disclosure.
FIG. 14 shows an exemplary cross sectional view illustrating one of the various stages for manufacturing a semiconductor device according to some embodiments of the present disclosure.
FIG. 15A shows an exemplary perspective view illustrating one of the various stages for manufacturing a semiconductor device according to some embodiments of the present disclosure. FIG. 15B shows an exemplary cross sectional view illustrating one of the various stages for manufacturing a semiconductor device according to some embodiments of the present disclosure.
FIG. 16A shows an exemplary perspective view illustrating one of the various stages for manufacturing a semiconductor device according to some embodiments of the present disclosure. FIG. 16B shows an exemplary cross sectional view illustrating one of the various stages for manufacturing a semiconductor device according to some embodiments of the present disclosure.
FIG. 17A shows an exemplary perspective view illustrating one of the various stages for manufacturing a semiconductor device according to some embodiments of the present disclosure. FIG. 17B shows an exemplary cross sectional view illustrating one of the various stages for manufacturing a semiconductor device according to some embodiments of the present disclosure.
FIG. 18A shows an exemplary perspective view illustrating one of the various stages for manufacturing a semiconductor device according to some embodiments of the present disclosure. FIG. 18B shows an exemplary cross sectional view illustrating one of the various stages for manufacturing a semiconductor device according to some embodiments of the present disclosure.
FIG. 19A shows an exemplary perspective view illustrating one of the various stages for manufacturing a semiconductor device according to some embodiments of the present disclosure. FIG. 19B shows an exemplary cross sectional view illustrating one of the various stages for manufacturing a semiconductor device according to some embodiments of the present disclosure.
FIG. 20A shows an exemplary cross sectional view of a semiconductor device according to some embodiments of the present disclosure. FIG. 20B shows an exemplary cross sectional view of the NC-FinFET portion, and FIG. 20C shows an exemplary cross sectional view of the regular FinFET according to some embodiments of the present disclosure.
FIGS. 21A-21D show exemplary cross sectional views illustrating one of the various stages for manufacturing a semiconductor device according to various embodiment of the present disclosure.
FIGS. 22A-22D show exemplary cross sectional views illustrating one of the various stages for manufacturing a semiconductor device according to various embodiment of the present disclosure.
FIGS. 23A-23D show exemplary perspective views illustrating one of the various stages for manufacturing a semiconductor device according to various embodiment of the present disclosure.
FIGS. 24A-24D show exemplary cross sectional views illustrating one of the various stages for manufacturing a semiconductor device according to various embodiment of the present disclosure.
FIGS. 25A-25D show exemplary cross sectional views illustrating one of the various stages for manufacturing a semiconductor device according to various embodiment of the present disclosure.
FIGS. 26A-26D show exemplary cross sectional views illustrating one of the various stages for manufacturing a semiconductor device according to various embodiment of the present disclosure.
FIGS. 27A and 27B show exemplary cross sectional views illustrating gate structures of a semiconductor device according to various embodiment of the present disclosure.
DETAILED DESCRIPTION
It is to be understood that the following disclosure provides many different embodiments, or examples, for implementing different features of the invention. Specific embodiments or examples of components and arrangements are described below to simplify the present disclosure. These are, of course, merely examples and are not intended to be limiting. For example, dimensions of elements are not limited to the disclosed range or values, but may depend upon process conditions and/or desired properties of the device. Moreover, the formation of a first feature over or on a second feature in the description that follows may include embodiments in which the first and second features are formed in direct contact, and may also include embodiments in which additional features may be formed interposing the first and second features, such that the first and second features may not be in direct contact. Various features may be arbitrarily drawn in different scales for simplicity and clarity. In the accompanied drawings, some layers/features may be omitted for simplification.
Further, spatially relative terms, such as “beneath,” “below,” “lower,” “above,” “upper” and the like, may be used herein for ease of description to describe one element or feature's relationship to another element(s) or feature(s) as illustrated in the figures. The spatially relative terms are intended to encompass different orientations of the device in use or operation in addition to the orientation depicted in the figures. The device may be otherwise oriented (rotated 90 degrees or at other orientations) and the spatially relative descriptors used herein may likewise be interpreted accordingly. In addition, the term “made of” may mean either “comprising” or “consisting of.” Further, in the following fabrication process, there may be one or more additional operations in/between the described operations, and the order of operations may be changed.
The following embodiments disclose embedded ferroelectric metal-insulator-metal (MIM) capacitor structures for multiple threshold voltage negative-capacitance fin field effect transistors (NC-FinFETs) with subthreshold swing improvement, and a hybrid gate-last manufacturing method for integrating multiple threshold voltage NC-FinFETs and FinFETs in a single chip.
As the transistor size is scaled down, continuously scaling down of voltage (e.g., power supply) is rather important for ultra-low power devices. However, voltage scaling down will meet the bottleneck of physical limitation of subthreshold swing with 60 mV/decade, which is accompanied with a higher off-state leakage current. An NC-FET, which introduces a negative ferroelectric metal-insulator-metal (MIM) capacitor onto a gate electrode (internal gate) of MOSFET, will overcome the problem.
An exemplary structure of an NC-FET is shown in FIG. 1 . An NC-FET includes a substrate 200, a channel 201 and source and drain 202. The source and drain 202 are appropriately doped with impurities. Further, the source and drain and the channel (active regions) are surrounded by an isolation insulating layer, such as shallow trench isolation (STI), made of, for example, silicon oxide.
A first gate dielectric layer 203 is disposed over the channel 201. The first gate dielectric layer 203 is made of oxide, such as silicon oxide, or silicon oxynitride in some embodiments. In other embodiments, the first gate dielectric layer 203 includes one or more high-k dielectric (oxide) layers (e.g., having a dielectric constant greater than 3.9).
A first gate electrode 204 as an internal electrode is disposed on the first gate dielectric layer 203. The first gate electrode 204 may be a metal selected from a group of W, Cu, Ti, Ag, Al, TiAl, TiAlN, TaC, TaCN, TaSiN, Mn, Co, Pd, Ni, Re, Ir, Ru, Pt, and Zr. In some embodiments, the first gate electrode 204 includes a metal selected from a group of TiN, WN, TaN, and Ru. Metal alloys such as Ti—Al, Ru—Ta, Ru—Zr, Pt—Ti, Co—Ni and Ni—Ta may be used and/or metal nitrides, such as WNx, TiNx, MoNx, TaNx, and TaSixNy may be used. In some embodiments, TiN is used as the first gate electrode 204.
A second gate dielectric layer 205, which is made of a ferroelectric material, is formed on the first gate electrode 204.
Further, a second gate electrode 206 as an external gate is disposed on the second gate dielectric layer 205. The second gate electrode 206 may be a metal selected from a group of W, Cu, Ti, Ag, Al, TiAl, TiAlN, TaC, TaCN, TaSiN, Mn, Co, Pd, Ni, Re, Ir, Ru, Pt, and Zr. The second gate electrode 206 is made of the same material as or different material from the first gate electrode 204.
The channel 201, the gate dielectric layer 203 and the first gate electrode 204 constitute a MOS (metal-oxide-semiconductor) structure and the first gate electrode 204, the ferroelectric layer 205 and the second gate electrode 206 constitute a MIM (metal-insulator-metal) structure.
The voltage across the ferroelectric MIM capacitor (VFE) formed by the first gate electrode 204, the ferroelectric layer 205 and the second gate electrode 206 is calculated from the ferroelectric equation: VFE=(2□Q+4□Q3)*TFE, where □ and □ are anisotropy constant, Q is the surface charge density and TFE is the ferroelectric layer thickness. When imposing a voltage on the ferroelectric MIM capacitor, the internal gate (layer 204) voltage is amplified due to the induced negative voltage across the ferroelectric MIM capacitor, resulting in a sub-60 mV/decade subthreshold swing for an NC-FET. The transport physics of the intrinsic MOSFET is still kept unchanged even when connecting the ferroelectric MIM capacitor in series with the MOSFET. The dominant performance gain of an NC-FET is in the reduction of subthreshold swing, which provides the benefits of not only smaller voltage but also a lower off-state leakage current.
When an FET is formed by a gate-first process flow, the gate dielectric layer may degrade due to subsequent processes, including a high temperature thermal process, which causes uncontrolled threshold voltage, higher gate leakage current and insufficient reliability issues. In contrast, in a gate-last process flow, it is possible to achieve adjustable threshold voltage and better gate dielectric quality due to a low thermal budget.
However, in the gate-last process flow, as the dimensions of FETs, in particular fin field FETs (FinFETs) become further smaller, after a dummy gate removal, an aspect ratio of the gate space and fin spaces becomes higher, and then a conformal deposition of the ferroelectric layer and the external gate electrode becomes more difficult.
Further, a semiconductor device includes multiple threshold voltage (Vth) transistors, for example, an n-channel ultra-low threshold voltage (N-uLVT) FET, an n-channel standard threshold voltage (N-SVT) FET, a p-channel standard threshold voltage (P-SVT) FET and a p-channel ultra-low threshold voltage (P-uLVT) FET, which are fabricated with different work-function adjustment metal (WFM) thicknesses. After the deposition of WFM of different thicknesses on the gate spaces, different aspect ratios of the gate spaces are obtained, which makes the formation of the subsequent ferroelectric layer and the external gate electrode layer difficult.
For example, for a P-uLVT FET with the thinnest WFM, a conformal ferroelectric layer and the external gate electrode layer are formed on the top of the fin. However, for an N-uLVT FET, an N-SVT FET and a P-SVT FET with a thicker WFM, the ferroelectric layer can fully fill the gate space, and the external gate layer may not fill the gate space. In such a case, a relatively thicker thickness and smaller area of the ferroelectric layer is formed on the top of the fin. However, in some cases, the external gate electrode may not be formed in the gate space to form an MIM structure, because the gate space is filled with the ferroelectric layer.
In the circuit operation perspective, if regular FinFETs and NC-FinFETs coexist in one semiconductor device (chip), the circuit design will have more flexibility. For example, in the power gating technique, the standby power of the controlled logic-block can be reduced by connecting in series with large-area switching FinFETs. Generally, for switching transistors, if multiple threshold voltage NC-FinFETs are substituted for FinFETs, the area consumption and standby power of switching transistors can be greatly reduced, while the logic-block can still keep the same circuit function with FinFET structures.
In the following embodiments, methods and structures for integrating FinFETs and NC-FinFETs in a single chip will be described.
FIGS. 2-19B show exemplary views of various stages for manufacturing FinFETs and NC FinFETs according to some embodiments of the present disclosure. It is understood that additional operations can be provided before, during, and after processes shown by FIGS. 2-19B, and some of the operations described below can be replaced or eliminated, for additional embodiments of the method. The order of the operations/processes may be interchangeable.
In the present disclosure, the gate-last process is employed, and the operations shown by FIGS. 2-14 are the same for the regular FinFETs and the NC-FinFETs.
A mask layer 15 is formed over a substrate 10. The mask layer 15 is formed by, for example, a thermal oxidation process and/or a chemical vapor deposition (CVD) process.
In some embodiments, the substrate 10 is made of a suitable elemental semiconductor, such as silicon, diamond or germanium; a suitable alloy or compound semiconductor, such as Group-IV compound semiconductors (silicon germanium (SiGe), silicon carbide (SiC), silicon germanium carbide (SiGeC), GeSn, SiSn, SiGeSn)), Group III-V compound semiconductors (e.g., gallium arsenide (GaAs), indium gallium arsenide (InGaAs), indium arsenide (InAs), indium phosphide (InP), indium antimonide (InSb), gallium arsenic phosphide (GaAsP), or gallium indium phosphide (GaInP)), or the like. Further, the substrate 200 may include an epitaxial layer (epi-layer), which may be strained for performance enhancement, and/or may include a silicon-on-insulator (SOI) structure. When the substrate 10 is Si, the Si substrate is, for example, a p-type silicon or germanium substrate with an impurity concentration in a range from about 1×1015 cm−3 to about 1×1016 cm−3. In other embodiments, the substrate is an n-type silicon or germanium substrate with an impurity concentration in a range from about 1×1015 cm−3 to about 1×1016 cm−3.
The mask layer 15 includes, for example, a pad oxide (e.g., silicon oxide) layer 15A and a silicon nitride mask layer 15B in some embodiments.
The pad oxide layer 15A may be formed by using thermal oxidation or a CVD process. The silicon nitride mask layer 15B may be formed by a physical vapor deposition (PVD), such as a sputtering method, a CVD, plasma-enhanced chemical vapor deposition (PECVD), an atmospheric pressure chemical vapor deposition (APCVD), a low-pressure CVD (LPCVD), a high density plasma CVD (HDPCVD), an atomic layer deposition (ALD), and/or other processes.
The thickness of the pad oxide layer 15A is in a range from about 2 nm to about 15 nm and the thickness of the silicon nitride mask layer 15B is in a range from about 2 nm to about 50 nm in some embodiments. A mask pattern is further formed over the mask layer. The mask pattern is, for example, a resist pattern formed by lithography operations.
By using the mask pattern as an etching mask, a hard mask pattern 15 of the pad oxide layer and the silicon nitride mask layer is formed, as shown in FIG. 2 .
Then, as shown in FIG. 3 , by using the hard mask pattern 15 as an etching mask, the substrate 10 is patterned into fin structures 20 by trench etching using a dry etching method and/or a wet etching method.
In FIG. 3 , three fin structures 20 are disposed over the substrate 10. However, the number of the fin structures is not limited to three. The numbers may be as small as one, or more than three. In addition, one or more dummy fin structures may be disposed adjacent both sides of the fin structure 20 to improve pattern fidelity in patterning processes.
The fin structure 20 may be made of the same material as the substrate 10 and may continuously extend from the substrate 10. In this embodiment, the fin structure is made of Si. The silicon layer of the fin structure 20 may be intrinsic, or appropriately doped with an n-type impurity or a p-type impurity.
The width W1 of the fin structure 20 is in a range from about 5 nm to about 40 nm in some embodiments, and is in a range from about 7 nm to about 12 nm in other embodiments. The space 51 between two fin structures is in a range from about 10 nm to about 50 nm in some embodiments. The height (along the Z direction) of the fin structure 20 is in a range from about 100 nm to about 300 nm in some embodiments, and is in a range from about 50 nm to 100 nm in other embodiments.
The lower part of the fin structure 20 under the gate structure 40 (see, FIG. 6A) may be referred to as a well region, and the upper part of the fin structure 20 may be referred to as a channel region. Under the gate structure 40, the well region is embedded in the isolation insulating layer 30 (see, FIG. 6A), and the channel region protrudes from the isolation insulating layer 30. A lower part of the channel region may also be embedded in the isolation insulating layer 30 to a depth of about 1 nm to about 5 nm.
The height of the well region is in a range from about 60 nm to 100 nm in some embodiments, and the height of the channel region is in a range from about 40 nm to 60 nm, and is in a range from about 38 nm to about 55 nm in other embodiments.
After the fin structures 20 are formed, the substrate 10 is further etched to form a mesa shape 10M, as shown in FIG. 4 . In other embodiments, the mesa shape 10M is first formed, and then the fin structures 20 are formed.
After the fin structures 20 and the mesa shape 10M are formed, the isolation insulating layer 30 is formed in spaces between the fin structures and/or a space between one fin structure and another element formed over the substrate 10. The isolation insulating layer 30 may also be called a “shallow-trench-isolation (STI)” layer. The insulating material for the isolation insulating layer 30 may include one or more layers of silicon oxide, silicon nitride, silicon oxynitride (SiON), SiOCN, fluorine-doped silicate glass (FSG), or a low-k dielectric material. The isolation insulating layer is formed by LPCVD (low pressure chemical vapor deposition), plasma-CVD or flowable CVD. In the flowable CVD, flowable dielectric materials instead of silicon oxide may be deposited. Flowable dielectric materials, as their name suggest, can “flow” during deposition to fill gaps or spaces with a high aspect ratio. Usually, various chemistries are added to silicon-containing precursors to allow the deposited film to flow. In some embodiments, nitrogen hydride bonds are added. Examples of flowable dielectric precursors, particularly flowable silicon oxide precursors, include a silicate, a siloxane, a methyl silsesquioxane (MSQ), a hydrogen silsesquioxane (HSQ), an MSQ/HSQ, a perhydrosilazane (TCPS), a perhydro-polysilazane (PSZ), a tetraethyl orthosilicate (TEOS), or a silyl-amine, such as trisilylamine (TSA). These flowable silicon oxide materials are formed in a multiple-operation process. After the flowable film is deposited, it is cured and then annealed to remove un-desired element(s) to form silicon oxide. When the un-desired element(s) is removed, the flowable film densifies and shrinks. In some embodiments, multiple anneal processes are conducted. The flowable film is cured and annealed more than once. The flowable film may be doped with boron and/or phosphorous.
The insulating layer 30 is first formed in a thick layer so that the fin structures are embedded in the thick layer, and the thick layer is recessed so as to expose the upper portions of the fin structures 20, as shown in FIG. 5 . The height H1 of the fin structures from the upper surface of the isolation insulating layer 30 is in a range from about 20 nm to about 100 nm in some embodiments, and is in a range from about 30 nm to about 50 nm in other embodiments. After or before recessing the isolation insulating layer 30, a thermal process, for example, an anneal process, may be performed to improve the quality of the isolation insulating layer 30. In certain embodiments, the thermal process is performed by using rapid thermal annealing (RTA) at a temperature in a range from about 900° C. to about 1050° C. for about 1.5 seconds to about 10 seconds in an inert gas ambient, such as an N2, Ar or He ambient.
After the insulating layer 30 is formed, a gate structure 40 is formed over the fin structures 20, as shown in FIGS. 6A-6C. FIG. 6A is an exemplary perspective view, FIG. 6B is an exemplary cross sectional view along line a-a of FIG. 6A and FIG. 6C is an exemplary cross sectional view along line b-b of FIG. 6A.
As shown in FIG. 6A, the gate structure 40 extends in the X direction, while the fin structures 20 extend in the Y direction.
To fabricate the gate structure 40, a dielectric layer and a poly silicon layer are formed over the isolation insulating layer 30 and the exposed fin structures 20, and then patterning operations are performed so as to obtain gate structures including a gate pattern 44 made of poly silicon and a dielectric layer 42. In some embodiments, the polysilicon layer is patterned by using a hard mask and the hard mask remains on the gate pattern 44 as a cap insulating layer 46. The hard mask (cap insulating layer 46) includes one or more layers of insulating material. The cap insulating layer 46 includes a silicon nitride layer formed over a silicon oxide layer in some embodiments. In other embodiments, the cap insulating layer 46 includes a silicon oxide layer formed over a silicon nitride layer. The insulating material for the cap insulating layer 46 may be formed by CVD, PVD, ALD, e-beam evaporation, or other suitable process. In some embodiments, the dielectric layer 42 may include one or more layers of silicon oxide, silicon nitride, silicon oxy-nitride, or high-k dielectrics. In some embodiments, a thickness of the dielectric layer 42 is in a range from about 2 nm to about 20 nm, and in a range from about 2 nm to about 10 nm in other embodiments. The height H2 of the gate structures is in a range from about 50 nm to about 400 nm in some embodiments, and is in a range from about 100 nm to 200 nm in other embodiments.
In present embodiments, a gate replacement technology is employed, and the gate pattern 44 and the dielectric layer 42 are a dummy gate electrode and a dummy gate dielectric layer, respectively, which are subsequently removed. Thus, the gate structure 40 is a dummy gate structure.
Further, gate sidewall spacers 48 are formed on both sidewalls of the gate pattern. The sidewall spacers 48 include one or more layers of insulating material, such as SiO2, SiN, SiON, SiOCN or SiCN or any other suitable dielectric material, which are formed by CVD, PVD, ALD, e-beam evaporation, or other suitable process. A low-k dielectric material may be used as the sidewall spacers. The sidewall spacers 48 are formed by forming a blanket layer of insulating material and performing anisotropic etching. In one embodiment, the sidewall spacer layers are made of silicon nitride based material, such as SiN, SiON, SiOCN or SiCN.
Then, as shown in FIG. 7 , the upper portion of the fin structures 20 are recessed by a dry etching and/or a wet etching operation. In some embodiments, the upper portion of the fin structures 20 are recessed (etched) down to the level equal to or below the upper surface isolation insulating layer 30.
Then, as shown in FIG. 8 , an epitaxial source/drain structure 60 is formed over the recessed fin structures 20. The epitaxial source/drain structure 60 is made of one or more layers of semiconductor material having a different lattice constant than the fin structures 20 (channel regions). When the fin structures are made of Si, the epitaxial source/drain structure 60 includes SiP, SiC or SiCP for an n-channel Fin FET and SiGe or Ge for a p-channel Fin FET. The epitaxial source/drain structure 60 is epitaxially formed over the upper portions of the recessed fin structures. Due to the crystal orientation of the substrate formed into the fin structures 20, the epitaxial source/drain structure 60 grows laterally and has a hexagonal shape. In other embodiments, a diamond-like shape is obtained.
The source/drain epitaxial layer 60 may be grown at a temperature of about 600 to 800° C. under a pressure of about 80 to 150 Torr, by using a Si containing gas such as SiH4, Si2H6 or SiCl2H2, a Ge containing gas, such as GeH4, Ge2H6 or GeCl2H2, a C containing gas, such as CH4 or C2H6, and/or a dopant gas, such as PH3. The source/drain structure for an n-channel FET and the source/drain structure for a p-channel FET may be formed by separate epitaxial processes.
In some embodiments, the source/drain epitaxial layers 60 are separately formed on respective recessed fin structures 20. In other embodiments, the adjacent epitaxial source/drain structures formed over each of the recessed fin structures 20 are merged. In such a case, a void or a gap (an air gap) may be formed between the merged epitaxial source/drain structure 60 the upper surface of the isolation insulating layer 30.
Subsequently, an etch-stop layer (ESL) 62 is formed over the source/drain epitaxial layer 60 and the dummy gate structure 40. Further, a first interlayer dielectric (ILD) layer 70 is formed over the ESL 62. In some embodiments, an additional dielectric layer 72 is formed over the ILD layer. Further, a planarization operation, such as chemical mechanical polishing, is performed, thereby obtaining the structures of FIGS. 9A and 9B. FIG. 9A is an exemplary cross sectional views along the X direction and FIG. 9B is an exemplary cross sectional view along the Y direction. By the planarization process, the upper surface of the dummy gate electrode 44 is exposed.
The first ILD layer 70 may include a single layer or multiple layers. In some embodiments, the ILD layer 70 includes SiO2, SiCN, SiOC, SiON, SiOCN, SiN or a low-k material, but other suitable dielectric film may be used. The ILD layer 70 maybe formed by CVD, PECVD or ALD, FCVD, or a spin-on-glass process. The additional dielectric layer 72 is made of different material than the ILD layer 70 and is made of one or more layers of SiO2, SiCN, SiOC, SiON, SiOCN, SiN, or any other suitable dielectric material. In certain embodiments, the additional dielectric layer 72 is made of SiN.
FIGS. 10-18B show various stages for manufacturing a regular FinFET and an NC-FinFET according to some embodiments of the present disclosure.
FIG. 10 shows an exemplary perspective view after gate spaces 90 are formed by removing the dummy gate electrode 44 and the dummy gate dielectric layer 42. In FIG. 10 , the structure for an NC-FET and the structure for a regular FinFET are disposed adjacent to each other with a first ILD layer 70 interposed therebetween. Of course, the structure for the NC-FET and the structure for the regular FinFET may not necessarily be disposed adjacent to each other.
After the dummy gate electrode 44 and the dummy gate dielectric layer 42 are removed, upper portions 24 of the fin structures 20, which become channels, are exposed in the gate spaces 90, while lower portions 22 of the fin structures 20 are embedded in the isolation insulating layer 30. In some embodiments, a first fin liner layer 26 is formed on the lower portions 22 of the fin structures 20, and a second fin liner layer 28 is formed on the first fin liner layer 26. Each of the liner layers has a thickness between about 1 nm and about 20 nm in some embodiments. In some embodiments, the first fin liner layer 26 includes silicon oxide and has a thickness between about 0.5 nm and about 5 nm, and the second fin liner layer 28 includes silicon nitride and has a thickness between about 0.5 nm and about 5 nm. The liner layers may be deposited through one or more processes such as physical vapor deposition (PVD), chemical vapor deposition (CVD), or atomic layer deposition (ALD), although any acceptable process may be utilized.
After the dummy gate electrode 44 and the dummy gate dielectric layer 42 are removed, a gate dielectric layer 100 is conformally formed over the upper portions 24 (channels) of the fin structures, side faces of the insulating structure including the ILD layer 70, the sidewall spacers 48 and the dielectric layer 72, as show in FIG. 11 . FIG. 11 is the cross sectional view corresponding the line Yl-Y1 of FIG. 10 .
In some embodiments, the gate dielectric layer 100 includes one or more high-k dielectric layers (e.g., having a dielectric constant greater than 3.9). For example, the one or more gate dielectric layers may include one or more layers of a metal oxide or a silicate of Hf, Al, Zr, combinations thereof, and multi-layers thereof. Other suitable materials include La, Mg, Ba, Ti, Pb, Zr, in the form of metal oxides, metal alloy oxides, and combinations thereof. Exemplary materials include MgOx, BaTixOy, BaSrxTiyOz, PbTixOy, PbZrxTiyOz, SiCN, SiON, SiN, Al2O3, La2O3, Ta2O3, Y2O3, HfO2, ZrO2, GeO2, ZrO2, HfZrO2, Ga2O3, Gd2O3, TaSiO2, TiO2. HfSiON, YGexOy, YSixOy and LaAlO3, and the like. The formation methods of gate dielectric layer 100 include molecular-beam deposition (MBD), ALD, PVD, and the like. In some embodiments, the gate dielectric layer 100 has a thickness of about 0.5 nm to about 5 nm.
In some embodiments, an interfacial layer (not shown) may be formed over the channels 24 prior to forming the gate dielectric layer 100, and the gate dielectric layer 100 is formed over the interfacial layer. The interfacial layer helps buffer the subsequently formed high-k dielectric layer from the underlying semiconductor material. In some embodiments, the interfacial layer is a chemical silicon oxide, which may be formed by chemical reactions. For example, a chemical silicon oxide may be formed using deionized water+ozone (DIO3), NH4OH+H2O2+H2O (APM), or other methods. Other embodiments may utilize a different material or processes for the interfacial layer. In an embodiment, the interfacial layer has a thickness of about 0.2 nm to about 1 nm.
Subsequently, a work function adjustment metal (WFM) layer 110 is formed over the gate dielectric layer 100, as shown in FIG. 12 .
The WFM layer 110 is made of one or more layers of conductive material, such as a single layer of TiN, TaN, TaAlC, TiC, TaC, Co, Al, TiAl, HfTi, TiSi, TaSi or TiAlC, or a multilayer of two or more of these materials. For the n-channel FinFET, one or more of TaN, TaAlC, TiN, TiC, Co, TiAl, HfTi, TiSi and TaSi is used as the work function adjustment layer, and for the p-channel FinFET, one or more of TiAlC, Al, TiAl, TaN, TaAlC, TiN, TiC and Co is used as the work function adjustment layer.
The thickness and the material of the WFM layer 110 can be selected for the types (p or n) of FETs and operational voltages. When the thickness is WFM layer 110 is small with respect to the aspect ratio of the gate space 90, the WFM layer 110 can be conformally formed on the bottom and the sides of the gate space 90 on which the gate dielectric layer 100 is formed, such that the gate space 90 is not filled with the WFM layer 110, as shown in FIG. 12 . When the thickness is WFM layer 110 is large with respect to the aspect ratio of the gate space 90, the WFM layer 110 fills the gate space 90 on which the gate dielectric layer 100 is formed.
Then, a first conductive layer 115 for a first gate electrode (internal gate) for the NC-FET and a metal gate electrode for the regular FET is formed over the WFM layer 110, as shown in FIGS. 13A and 13B. FIG. 13B is the cross sectional view corresponding the line Y1-Y1 of FIG. 13A. The first conductive layer 115 fills the gate space 90, and may be formed over the insulating structure.
The conductive material for the first conductive layer 115 includes one or more material selected from a group of W, Cu, Ti, Ag, Al, TiAl, TiAlN, TaC, TaCN, TaSiN, Mn, Co, Pd, Ni, Re, Ir, Ru, Pt, Zr, TiN, WN, TaN, Ru, alloys such as Ti—Al, Ru—Ta, Ru—Zr, Pt—Ti, Co—Ni, WNx, TiNx, MoNx, TaNx, and TaSixNy. In one embodiment, W is used as the first conductive layer 115. In some embodiments, the first conductive layer 115 may be formed using a suitable process such as ALD, CVD, PVD, plating, or combinations thereof.
Subsequently, a planarization process, such as a CMP, is performed to remove excess materials, as shown in FIG. 14 . By this operation, a metal gate structure for the regular FET is formed (except for a gate cap insulating layer).
Then, the structures for the regular FETs are covered by a mask layer 95 as shown in FIG. 15A, and the first conductive layer 115, the WFM layer 110 and the gate dielectric layer 100 for the NC-FETs are recessed by using an etching operation, thereby forming a recessed gate space 92 as shown in FIGS. 15A and 15B. FIG. 15B is the cross sectional view corresponding the line Y-Y 1 of FIG. 15A. The mask layer 95 may be a photo resist pattern or a hard mask pattern.
In some embodiments, the height H11 of the remaining first conductive layer 115 from the channel 24 is in a range from about 5 nm to about 50 nm in some embodiments. In certain embodiments, due to different etching rates, the WFM layer 110 is etched more than the first conductive layer 115, and the remaining first conductive layer 115 protrudes from the WFM layer 110. In certain embodiments, the gate dielectric layer 100 is not etched. After the recess etching, the mask layer 95 is removed.
Then, the ferroelectric layer 120, a conductive liner layer 125 and a second conductive layer 130 are sequentially formed in the recessed gate space 92, as shown in FIGS. 16A and 16B. FIG. 16B is the cross sectional view corresponding the line Y-Y 1 of FIG. 16A.
The ferroelectric layer 120 is made of one or more material selected from the group consisting of Pb3Ge5O11 (PGO), lead zirconate titanate (PZT), SrBi2Ta2O9 (SBT or SBTO), SrB4O7 (SBO), SraBibTacNbdOx (SBTN), SrTiO3 (STO), BaTiO3 (BTO), (BixLay)Ti3O12 (BLT), LaNiO3 (LNO), YMnO3, ZrO2, zirconium silicate, ZrAlSiO, HfO2, HfZrO2, hafnium silicate, HfAlO, LaAlO, lanthanum oxide, HfO2 doped with Si (HfSiOx), and Ta2O5. In some embodiments, PbZr0.5Ti0.5O3 or Hf0.5Zr0.5O2 is used as the ferroelectric layer 120.
The thickness of the ferroelectric layer 120 is in a range from about 1 nm to about 20 nm in some embodiments, and may be formed by a suitable process such as ALD or CVD. As shown in FIG. 16B, the ferroelectric layer 120 is conformally formed in some embodiments.
The conductive liner layer 125 is an adhesive layer for the second conductive layer, and is made of, for example, Ti, Ta, TiN and/or TaN. The thickness of the conductive liner layer 125 is in a range from about 0.5 nm to about 10 nm in some embodiments, and may be formed by a suitable process such as ALD, CVD, PVD, plating, or combinations thereof. As shown in FIG. 16B, the conductive liner layer 125 is conformally formed in some embodiments.
The second conductive layer 130 is made of the same as or similar material to the first conductive layer 115, and may be formed using a suitable process such as ALD, CVD, PVD, plating, or combinations thereof. In one embodiment, W is used as the second conductive layer 130.
After the second conductive layer 130, an annealing operation is performed, thereby transforming the phase of the ferroelectric layer from a polycrystalline structure to a crystalline structure, for example, an orthorhombic structure which exhibits ferroelectricity. The annealing operation includes rapid thermal annealing (RTA) performed at a temperature between about 400° C. to about 900° C., in some embodiments.
Subsequently, a planarization process, such as a CMP, is performed to remove excess materials, as shown in FIGS. 17A and 17B. FIG. 17B is the cross sectional view corresponding the line Y-Y 1 of FIG. 17A. By this operation, upper portions of the sidewall spacers 48, the ESL layer 62 and the dielectric layer 72 are exposed. The ferroelectric layer 120 and the conductive liner layer 125 formed in the regular FET region are removed by the planarization operation.
Then, a recess etching operation is performed, thereby reducing the height of the gate structure for the NC-FinFET and the height of the gate structure for the regular FET and forming a second recessed gate space 94, as shown in FIGS. 18A and 18B.
Further, as shown in FIGS. 19A and 19B, the recess etching operation, a gate cap layer 140 is formed in the second recessed gate space 94 to protect the gate electrodes during subsequent processes. In some embodiments, the gate cap layer 140 includes SiO2, SiCN, SiON, SiN, Al2O3, La2O3, SiN, a combination thereof, or the like, but other suitable dielectric films may be used. The gate cap layer 140 may be formed using, for example, CVD, PVD, spin-on-glass, or the like. Other suitable process steps may be used. A planarization process, such as a CMP, may be performed to remove excess materials. During the planarization process, the dielectric layer 72 is also removed, as shown in FIGS. 19A and 19B, in some embodiments. The thickness of the gate cap layer 140 after the planarization process is in a range from about 5 nm to about 50 nm in some embodiments.
FIG. 20A shows an exemplary cross sectional view of a semiconductor device along the X direction according to some embodiments of the present disclosure. FIG. 20B shows an exemplary cross sectional view of the NC-FinFET portion along the Y direction, and FIG. 20C shows an exemplary cross sectional view of the regular FinFET portion along the Y direction according to some embodiments of the present disclosure.
As shown in FIG. 20A, the NC-FinFET portion includes an MIM structure formed by the second conductive layer 130, the conductive liner layer 125, the ferroelectric layer 120 and the first conductive layer 115, together with a MOS structure formed by the first conductive layer 115, the WFM layer 110, the gate dielectric layer 100 and the channel 24, while the regular FinFET portion includes the MOS structure only.
In the NC-FinFET portion, the upper surface of the MIM structure is substantially flat, as shown in FIG. 20B. In other words, a bottom of the gate cap insulating layer 140 is substantially flat, which means that the variation is less than 1.0 nm.
The thickness H21 of the WFM layer 110 above the channel (upper portion of the fin structure) 24 varies depending on the types of the NC-FET (conductivity type and/or operational voltage), and is in a range from about 0.5 nm to about 20 nm in some embodiments. The thickness H22 of the first conductive layer 115 above the channel 24 is in a range from about 5 nm to about 50 nm in some embodiments. The thickness H23 of the ferroelectric layer 120 above the first conductive layer (internal gate) 115 is in a range from about 2 nm to about 20 nm in some embodiments. The thickness H24 of the conductive liner layer 125 above the first conductive layer (internal gate) 115 is in a range from about 0.5 nm to about 10 nm in some embodiments. The thickness H25 of the second conductive layer 130 above the channel 24 is in a range from about 5 nm to about 50 nm in some embodiments. In certain embodiments, H22 is equal to or greater than H25, and in other embodiments, H22 is smaller than H25.
In the regular FinFET portion, the height H25 of the metal gate (the first conductive layer 115 and the WFM layer 110) above the channel (upper portion of the fin structure) 24 is in a range from about 10 nm to about 110 nm in some embodiments.
As shown in FIGS. 20B and 20C, the gate dielectric layer 100 and the WFM layer 110 have a “U-shape” in the Y directional cross section having a thin center portion and thick side portions, and as shown in FIG. 20A, the gate dielectric layer 100 and the WFM layer 110 have a “U-shape” between adjacent channels 24 and/or between the sidewall spacer 48 and the channel 24, in the X directional cross section.
Further, as shown in FIG. 20B, the ferroelectric layer 120, the conductive liner layer 125 and the second conductive layer 130 have a “U-shape” in the Y directional cross section, as shown in FIG. 20A, the ferroelectric layer 120, the conductive liner layer 125 and the second conductive layer 130 have a “U-shape” between the sidewall spacers 48, in the X directional cross section, although FIG. 20A shows only one end portion of the U-shape.
After forming the gate cap layer 140 to be in direct contact with the second conductive layer 130 for the NC-FET and with the first conductive layer 115 for the regular FET, further CMOS processes are performed to form various features such as additional interlayer dielectric layers, contacts/vias, interconnect metal layers, and passivation layers, etc.
In the present disclosure, as set forth above, the semiconductor device includes multiple threshold voltage (Vth) transistors, for example, an n-channel ultra-low threshold voltage (N-uLVT) FET, an n-channel standard threshold voltage (N-SVT) FET, a p-channel standard threshold voltage (P-SVT) FET and a p-channel ultra-low threshold voltage (P-uLVT) FET. Depending on the thicknesses of the WFM layer, the gates of these four types of FETs have different structures, in some embodiments.
FIGS. 21A-25D show exemplary cross sectional views illustrating the various stages for manufacturing NC-FinFET portions of a semiconductor device according to some embodiments of the present disclosure. In FIGS. 21A-25D, the “A” figures show exemplary views for an N-uLVT FET, the “B” figures show exemplary views for an N-SVT FET, the “C” figures show exemplary views for a P-SVT FET, and the “D” figures show exemplary views for a P-uLVT FET. Material, configuration, dimensions and/or processes the same as or similar to the foregoing embodiments described with respect to FIGS. 1-20B may be employed in the following embodiments, and detailed explanation thereof may be omitted.
FIGS. 21A-21D show exemplary cross sectional views after the WFM layer 110 and the first conductive layer 115 are formed in the gate spaces 90 by the planarization operation. Comparing the N-uLVT FET and the N-SVT FET, the N-uLVT FET has a WFM layer 110 thinner than the N-SVT FET, as shown in FIGS. 21A and 21B. In some embodiments, the WFM layer 100 for the N-SVT FET completely fills the gate space and thus no second conductive layer is formed in the gate space. Comparing the P-SVT FET and the P-uLVT FET, the P-SVT FET has a WFM layer 110 thicker than the P-uLVT FET, as shown in FIGS. 21C and 21D. In some embodiments, the WFM layer 100 for the P-uLVT FET completely fills the gate space and thus no first conductive layer (for example, W) is formed in the gate space.
Comparing the N-uLVT FET and the P-uLVT FET, the N-uLVT FET has a WFM layer 110 thinner than the P-uLVT FET, as shown in FIGS. 21A and 21D. In some embodiments, the volume of the first conductive layer 115 for the N-uLVT FET is smaller than the volume of the first conductive layer 115 for the P-uLVT FET. For example, in the cross sections along the Y direction, the area for the first conductive layer 115 for the N-uLVT FET is about 70% or less of the area of the first conductive layer 115 for the P-uLVT FET, in some embodiments. In other embodiments, the area for the first conductive layer 115 for the N-uLVT FET is about 1% to about 50% of the area of the first conductive layer 115 for the P-uLVT FET.
After the WFM layer 110 and the first conductive layer 115 are formed in the gate spaces 90, as shown in FIGS. 22A-22D, the WFM layer 110 and/or the first conductive layer 115 are recessed, thereby forming a recessed gate space 92, by operations similar to FIGS. 15A and 15B.
FIGS. 23A-24D show exemplary views after the ferroelectric layer 120 and the conductive liner layer 125 are formed over the recessed WFM layer 110 and/or first conductive layer 115. FIGS. 23A-23D show exemplary perspective views, FIGS. 24A-24D show exemplary cross sectional view along the X direction, and FIGS. 25A-25D show exemplary cross sectional view along the Y direction.
For the N-SVT FET and P-SVT-FET, the ferroelectric layer 120 is formed over the WFM layer 110 with no first conductive layer interposed therebetween. Further, the conductive liner layer 125 is formed in the ferroelectric layer 120. For the N-uLVT FET and P-uLVT-FET, the ferroelectric layer 120 is formed over the WFM layer 110 and the first conductive layer 115. The amount of the first conductive layer 115 for the N-uLVT FET is smaller than the amount of the first conductive layer 115 for the P-uLVT FET.
In for all four FETs, since the WFM layer 110 and/or the first conductive layer 115 are recessed to form the recessed gate space, of which width along the Y direction is defined by the sidewall spacers 48, the ferroelectric layer 120 can be conformally formed in the recessed gate space 92, without fully filling the recessed gate space 92. Accordingly the thickness of the ferroelectric layer 120 at the center of the WFM layer 110 and/or the first conductive layer 115 is substantially the same for all four FETs.
As shown in FIGS. 24A and 24D, the WFM layer 110 can be conformally formed over the channels 24 (upper portion of the fin structure), forming a U-shape cross section, while in FIGS. 24B and 24C, the WFM layer 110 fully fills spaces between the channels 24.
Further, as shown in FIGS. 26A-26D, the operations the same as or similar to those explained with FIGS. 16A-19B are performed, thereby forming gate structures for multiple threshold voltage NC-FETs. As set forth above, the structure formed by the ferroelectric layer 120, the conductive liner layer 125 and the second conductive layer 130 are substantially the same for the N-uLVT FET, the N-SLV FET, the P-SLV FET and P-uLVT FET. In particular, as shown in FIGS. 26A-26D, the ferroelectric layer 120, the conductive liner layer 125 and the second conductive layer 130 have a “U-shape” in the Y directional cross section, and the ferroelectric layer 120, the conductive liner layer 125 and the second conductive layer 130 have a “U-shape” between the sidewall spacers 48, also in the X directional cross section. Further, the upper surface of the MIM structure is substantially flat, as shown in FIGS. 26A-26D.
FIG. 27A is an exemplary cross sectional view corresponding to FIG. 26A, and FIG. 27B is an exemplary cross sectional view corresponding to FIGS. 26B and 26C. FIG. 20B corresponds to FIG. 26D.
In FIG. 27A, the thickness H31 of the WFM layer 110 above the channel 24 between the gate dielectric layer and the bottom of the first conductive layer 115 is in a range from about 5 nm to about 20 nm in some embodiments. The thickness H32 of the first conductive layer 115 is in a range from about 0.5 nm to about 5 nm in some embodiments. In FIG. 27B, the thickness H33 of the WFM layer 110 above the channel 24 is in a range from about 5 nm to about 50 nm in some embodiments. Different FETs with different threshold voltages have different WFM layer thicknesses and thus the amount (volume) of the first conductive layer 115 (e.g., W, Co, Ni and/or Cu) varies, including zero.
In the foregoing embodiments, FinFETs are employed. However, the foregoing technologies may be applied to planar type FET or any other suitable transistors formed by the gate replacement technology.
It will be understood that not all advantages have been necessarily discussed herein, no particular advantage is required for all embodiments or examples, and other embodiments or examples may offer different advantages.
For example, in the present disclosure, an NC-FET is formed by utilizing a gate replacement technology. After the materials/layers for the lower MOS structure is formed in the gate space, the materials/layers for the lower MOS structure is recessed to make a space for the upper MIM structure. Accordingly, the ferroelectric material layer can be conformally formed in the space, regardless of the lower MOS structures for FETs with various threshold voltages. Further, the NC-FET can be formed with a regular FET with an additional one photolithography operation, and thus, an increase in cost can be minimized.
In accordance with an aspect of the present disclosure, a semiconductor device includes a first channel region disposed over a substrate, and a first gate structure disposed over the first channel region. The first gate structure includes a gate dielectric layer disposed over the channel region, a lower conductive gate layer disposed over the gate dielectric layer, a ferroelectric material layer disposed over the lower conductive gate layer, and an upper conductive gate layer disposed over the ferroelectric material layer. The ferroelectric material layer is in direct contact with the gate dielectric layer and the lower gate conductive layer, and has a U-shape cross section.
In accordance with another aspect of the present disclosure, a semiconductor device includes a first field effect transistor (FET) and a second FET. A gate structure of the first FET includes a first gate dielectric layer made of a dielectric material, a first conductive layer made of a first conductive material, a second conductive layer made of a second conductive material and a first gate cap insulating layer disposed on the second conductive layer. A gate structure of the second FET includes a second gate dielectric layer made of the dielectric material, a third conductive layer made of the first conductive material, a ferroelectric material layer disposed over the second gate dielectric layer and the third conductive layer, a fourth conductive layer disposed over the ferroelectric material layer, and a second gate cap insulating layer disposed on the fourth conductive layer.
In accordance with another aspect of the present disclosure, in a method of manufacturing a semiconductor device, a fin field effect transistor (FinFET) structure is formed. The FinFET structure has a fin structure including a channel region and a dummy gate structure disposed over the channel region. The dummy gate structure is removed, thereby forming a gate space. A gate dielectric layer is formed in the gate space over the channel region. A lower gate electrode layer is formed over the gate dielectric layer. The gate dielectric layer and the lower gate electrode layer are recessed, thereby forming a recessed gate space. A ferroelectric material layer is formed on the recessed gate dielectric layer and lower gate electrode layer in the recessed gate space. An upper gate electrode layer is formed on the ferroelectric material layer.
The foregoing outlines features of several embodiments or examples so that those skilled in the art may better understand the aspects of the present disclosure. Those skilled in the art should appreciate that they may readily use the present disclosure as a basis for designing or modifying other processes and structures for carrying out the same purposes and/or achieving the same advantages of the embodiments or examples introduced herein. Those skilled in the art should also realize that such equivalent constructions do not depart from the spirit and scope of the present disclosure, and that they may make various changes, substitutions, and alterations herein without departing from the spirit and scope of the present disclosure.

Claims (20)

What is claimed is:
1. A semiconductor device, comprising:
a channel region disposed over a substrate and extending in a first direction; and
a gate structure disposed over the channel region, wherein:
the gate structure includes:
a gate dielectric layer disposed over the channel region and entirely made of a high-k dielectric material;
a work function adjustment material (WFM) layer disposed over the gate dielectric layer;
a ferroelectric material layer disposed over the WFM layer; and
an upper conductive layer disposed over the ferroelectric material layer, and
the ferroelectric material layer has a U-shape cross section along the first direction,
the U-shape has a horizontal portion and vertical portions vertically extending from ends of the horizontal portion in a direction away from the substrate,
the ferroelectric material layer fully covers and is in direct contact with an uppermost portion, which is a farthest portion from the substrate in the direction away from the substrate, of the gate dielectric layer and the WFM layer, and
the WFM layer fully fills a space defined by the gate dielectric layer and the horizontal portion of the ferroelectric material layer.
2. The semiconductor device of claim 1, wherein the WFM layer does not have a U-shape cross section along the first direction.
3. The semiconductor device of claim 2, wherein the WFM layer includes one or more layers of Ti, TiN, TaN, TiC, Co, HfTi, TiSi or TaSi.
4. The semiconductor device of claim 2, wherein the WFM layer includes one or more layers of TiAl, TiAlC, TaAlC, or Al.
5. The semiconductor device of claim 1, wherein:
the upper conductive layer includes:
a first conductive layer disposed on the ferroelectric material layer; and
a second conductive layer disposed over the first conductive layer, and the first conductive layer has a U-shape cross section along the first direction.
6. The semiconductor device of claim 5, wherein the second conductive layer does not have a U-shape cross section along the first direction.
7. The semiconductor device of claim 5, wherein:
the first conductive layer includes one or more layers of Ti, TiN, Ta and TaN, and
the second conductive layer includes one or more layers of W, Co, Ni and Cu.
8. The semiconductor device of claim 1, wherein the ferroelectric material layer includes one or more layers of ZrAlSiO, HfO2, HfZrO2, hafnium silicate, HfAlO, or HfO2 doped with Si (HfSiOx).
9. The semiconductor device of claim 1, wherein:
the gate structure further includes a gate cap insulating layer disposed over the upper conductive layer, and
a bottom of the gate cap insulating layer is substantially flat.
10. The semiconductor device of claim 1, wherein a thickness of the WFM layer is in a range from 5 nm to 50 nm.
11. A semiconductor device, comprising:
a channel region, which is a part of a fin structure, disposed over a substrate and extending in a first direction; and
a gate structure disposed over the channel region, wherein:
the gate structure includes:
a gate dielectric layer disposed over the channel region and entirely made of a high-k dielectric material;
a lower conductive gate layer disposed over the gate dielectric layer;
a ferroelectric material layer disposed over the lower conductive gate layer;
an upper conductive gate layer disposed over the ferroelectric material layer; and
sidewall spacers in direct contact with the gate dielectric layer and the ferroelectric material layer,
the ferroelectric material layer fully covers and is in direct contact with an uppermost portion of the gate dielectric layer, which is a farthest part of the gate dielectric layer in a direction away from the substrate, and the lower conductive gate layer, and has a U-shape cross section along the first direction, the U-shape having a horizontal portion and vertical portions vertically extending from ends of the horizontal portion in the direction away from the substrate,
the uppermost portion of the gate dielectric layer is located below a bottommost portion, which is a closest portion to the substrate, of the upper conductive gate layer, and
the lower conductive gate layer does not have a U-shape and an entire upper surface of the lower conductive gate layer is in contact with the ferroelectric material layer.
12. The semiconductor device of claim 11, wherein the ferroelectric material layer is one selected from the group consisting of HfZrO2 and HfSiOx.
13. The semiconductor device of claim 11, wherein a thickness of the lower conductive gate layer is in a range from 5 nm to 50 nm.
14. The semiconductor device of claim 11, wherein a thickness of the ferroelectric material layer above the lower conductive gate layer is in a range from 2 nm to 20 nm.
15. A semiconductor device, comprising:
a first field effect transistor (FET) including a first gate structure, wherein:
the first gate structure of the first FET includes:
a first gate dielectric layer made of a dielectric material;
a first conductive layer made of a first conductive material;
a ferroelectric material layer disposed over the first gate dielectric layer and the first conductive layer;
a second conductive layer disposed over the ferroelectric material layer; and
a first gate cap insulating layer disposed in direct contact with the ferroelectric material layer and the second conductive layer,
the first gate structure extends in a first direction,
the ferroelectric material layer is in direct contact with the first gate dielectric layer and the first conductive layer, and has a U-shape in a cross section along a second direction crossing the first direction,
the U-shape has a horizontal portion and vertical portions vertically extending from ends of the horizontal portion in a direction away from the substrate, and
the first conductive layer fully fills a space defined by the first gate dielectric layer and the horizontal portion of the ferroelectric material layer.
16. The semiconductor device of claim 15, wherein:
the first gate structure of the first FET further includes a third conductive layer disposed over the second conductive layer and the ferroelectric material layer,
the second conductive layer of the first gate structure has a U-shape in a cross section along the second direction, and
the U-shape has a horizontal portion and vertical portions vertically extending from ends of the horizontal portion in a direction away from the substrate.
17. The semiconductor device of claim 16, wherein:
the third conductive layer does not have a U-shape and an entire upper surface of the third conductive layer is in contact with the first gate cap insulating layer.
18. The semiconductor device of claim 16, further comprising a second FET including a second gate structure having a different structure than the first gate structure,
wherein the second gate structure of the second FET includes:
a second gate dielectric layer made of the dielectric material;
a fourth conductive layer made of the first conductive material;
a fifth conductive layer made of a second conductive material; and
a second gate cap insulating layer disposed in direct contact with the fourth conductive layer and the fifth conductive layer.
19. The semiconductor device of claim 18, wherein the first gate structure includes no layer made of the second conductive material below the ferroelectric material layer.
20. The semiconductor device of claim 16, wherein a bottom of the first gate cap insulating layer is substantially flat.
US17/353,386 2016-11-29 2021-06-21 Semiconductor device and manufacturing method thereof Active 2037-05-02 US11728332B2 (en)

Priority Applications (2)

Application Number Priority Date Filing Date Title
US17/353,386 US11728332B2 (en) 2016-11-29 2021-06-21 Semiconductor device and manufacturing method thereof
US18/215,059 US20230343781A1 (en) 2016-11-29 2023-06-27 Semiconductor device and manufacturing method thereof

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201662427638P 2016-11-29 2016-11-29
US15/476,221 US10937783B2 (en) 2016-11-29 2017-03-31 Semiconductor device and manufacturing method thereof
US16/049,172 US11043489B2 (en) 2016-11-29 2018-07-30 Semiconductor device and manufacturing method thereof
US17/353,386 US11728332B2 (en) 2016-11-29 2021-06-21 Semiconductor device and manufacturing method thereof

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US16/049,172 Continuation US11043489B2 (en) 2016-11-29 2018-07-30 Semiconductor device and manufacturing method thereof

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US18/215,059 Continuation US20230343781A1 (en) 2016-11-29 2023-06-27 Semiconductor device and manufacturing method thereof

Publications (2)

Publication Number Publication Date
US20210343705A1 US20210343705A1 (en) 2021-11-04
US11728332B2 true US11728332B2 (en) 2023-08-15

Family

ID=62117339

Family Applications (4)

Application Number Title Priority Date Filing Date
US15/476,221 Active US10937783B2 (en) 2016-11-29 2017-03-31 Semiconductor device and manufacturing method thereof
US16/049,172 Active US11043489B2 (en) 2016-11-29 2018-07-30 Semiconductor device and manufacturing method thereof
US17/353,386 Active 2037-05-02 US11728332B2 (en) 2016-11-29 2021-06-21 Semiconductor device and manufacturing method thereof
US18/215,059 Pending US20230343781A1 (en) 2016-11-29 2023-06-27 Semiconductor device and manufacturing method thereof

Family Applications Before (2)

Application Number Title Priority Date Filing Date
US15/476,221 Active US10937783B2 (en) 2016-11-29 2017-03-31 Semiconductor device and manufacturing method thereof
US16/049,172 Active US11043489B2 (en) 2016-11-29 2018-07-30 Semiconductor device and manufacturing method thereof

Family Applications After (1)

Application Number Title Priority Date Filing Date
US18/215,059 Pending US20230343781A1 (en) 2016-11-29 2023-06-27 Semiconductor device and manufacturing method thereof

Country Status (5)

Country Link
US (4) US10937783B2 (en)
KR (1) KR101949598B1 (en)
CN (1) CN108122909B (en)
DE (1) DE102017110434A1 (en)
TW (1) TWI667790B (en)

Families Citing this family (39)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN105702737B (en) * 2016-02-05 2019-01-18 中国科学院微电子研究所 It is connected with the multiple-grid FinFET and its manufacturing method and electronic equipment of negative capacitance
CN109148607B (en) * 2017-06-27 2021-08-13 中芯国际集成电路制造(上海)有限公司 Semiconductor device, MOS capacitor and manufacturing method thereof
US10868132B2 (en) 2017-09-18 2020-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device including standard cells with header/footer switch including negative capacitance
US10516032B2 (en) 2017-09-28 2019-12-24 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device
US10490458B2 (en) * 2017-09-29 2019-11-26 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of cutting metal gates and structures formed thereof
US10741678B2 (en) 2017-10-30 2020-08-11 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US10679688B2 (en) * 2018-04-16 2020-06-09 Samsung Electronics Co., Ltd. Ferroelectric-based memory cell usable in on-logic chip memory
US11296204B2 (en) 2018-07-05 2022-04-05 Samsung Electronics Co., Ltd. Semiconductor devices
US10950709B2 (en) 2018-07-06 2021-03-16 Samsung Electronics Co., Ltd. Semiconductor device
KR102486469B1 (en) 2018-07-06 2023-01-09 삼성전자주식회사 Semiconductor device
US10937887B2 (en) 2018-07-06 2021-03-02 Samsung Electronics Co., Ltd. Semiconductor device
US10985275B2 (en) * 2018-07-06 2021-04-20 Samsung Electronics Co., Ltd. Semiconductor device
DE102018213062B3 (en) 2018-08-03 2019-11-14 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. Integrated electronic circuit comprising a first transistor and a ferroelectric capacitor and method for its production
CN110858578B (en) * 2018-08-23 2021-07-13 联华电子股份有限公司 Die seal ring and manufacturing method thereof
US11222958B2 (en) * 2018-09-28 2022-01-11 Taiwan Semiconductor Manufacturing Co., Ltd. Negative capacitance transistor with external ferroelectric structure
KR102638794B1 (en) * 2018-10-11 2024-02-20 에스케이하이닉스 주식회사 semiconductor device having ferroelectric material and method of fabricating the same
CN112997318A (en) * 2018-10-31 2021-06-18 华为技术有限公司 Preparation method of negative-capacitance fin type field effect transistor and negative-capacitance fin type field effect transistor
US11069791B2 (en) * 2018-10-31 2021-07-20 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing semiconductor devices and semiconductor devices
US11011426B2 (en) * 2018-11-21 2021-05-18 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US11158719B2 (en) * 2018-11-30 2021-10-26 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing semiconductor devices and semiconductor devices
US10937886B2 (en) * 2018-12-06 2021-03-02 Nanya Technology Corporation Semiconductor device with negative capacitance material in buried channel
KR20200072985A (en) * 2018-12-13 2020-06-23 삼성전자주식회사 An integrated circuit including a plurality of transistors and a method of manufacturing the same
US10943819B2 (en) * 2018-12-20 2021-03-09 Nanya Technology Corporation Semiconductor structure having a plurality of capped protrusions
CN117038460A (en) * 2019-01-21 2023-11-10 中芯国际集成电路制造(上海)有限公司 Semiconductor structure and forming method thereof
CN110335899B (en) * 2019-06-14 2022-12-09 上海集成电路研发中心有限公司 Transistor device structure with adjustable performance
US11145740B2 (en) 2019-07-23 2021-10-12 National Tsing Hua University Ferroelectric field effect transistor device
US11380708B2 (en) * 2019-08-30 2022-07-05 Taiwan Semiconductor Manufacturing Company, Ltd. Analog non-volatile memory device using poly ferroelectric film with random polarization directions
US11183431B2 (en) * 2019-09-05 2021-11-23 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing semiconductor devices and semiconductor devices
US11424165B2 (en) 2019-10-16 2022-08-23 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing semiconductor devices having different gate dielectric thickness within one transistor
US11139315B2 (en) * 2019-10-31 2021-10-05 Qualcomm Incorporated Ferroelectric transistor
US11289602B2 (en) * 2020-01-03 2022-03-29 Taiwan Semiconductor Manufacturing Company, Ltd. FeFET of 3D structure for capacitance matching
US10867101B1 (en) 2020-02-24 2020-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Leakage reduction between two transistor devices on a same continuous fin
US11289584B2 (en) * 2020-04-24 2022-03-29 Taiwan Semiconductor Manufacturing Co., Ltd. Inner spacer features for multi-gate transistors
US20210408235A1 (en) * 2020-06-25 2021-12-30 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device with silicide gate fill structure
US11557609B2 (en) * 2021-03-04 2023-01-17 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit structure and method of forming the same
US11552195B2 (en) * 2021-04-14 2023-01-10 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices and methods of manufacturing thereof
US20220367187A1 (en) * 2021-05-13 2022-11-17 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor Device and Method of Manufacture
KR102605396B1 (en) * 2021-11-11 2023-11-23 인하대학교 산학협력단 Ferroelectric device and method of fabricating the same
TWI796001B (en) * 2021-11-16 2023-03-11 旺宏電子股份有限公司 Semiconductor device and manufacturing method thereof

Citations (96)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5384729A (en) 1991-10-28 1995-01-24 Rohm Co., Ltd. Semiconductor storage device having ferroelectric film
US5416735A (en) 1991-07-02 1995-05-16 Sharp Kabushiki Kaisha Non-volatile random access memory with ferroelectric capacitor
US5477071A (en) 1992-03-19 1995-12-19 Kabushiki Kaisha Toshiba MOS random access memory having array of trench type one-capacitor/one-transistor memory cells
US5516710A (en) 1994-11-10 1996-05-14 Northern Telecom Limited Method of forming a transistor
US5580814A (en) * 1991-05-29 1996-12-03 Ramtron International Corporation Method for making a ferroelectric memory cell with a ferroelectric capacitor overlying a memory transistor
US5777356A (en) 1996-01-03 1998-07-07 Bell Communications Research, Inc. Platinum-free ferroelectric memory cell with intermetallic barrier layer and method of making same
US5907762A (en) 1997-12-04 1999-05-25 Sharp Microelectronics Technology, Inc. Method of manufacture of single transistor ferroelectric memory cell using chemical-mechanical polishing
US5932904A (en) 1997-03-07 1999-08-03 Sharp Laboratories Of America, Inc. Two transistor ferroelectric memory cell
US5940705A (en) 1997-01-10 1999-08-17 Samsung Electronics Co., Ltd. Methods of forming floating-gate FFRAM devices
US6075264A (en) 1999-01-25 2000-06-13 Samsung Electronics Co., Ltd. Structure of a ferroelectric memory cell and method of fabricating it
US6194752B1 (en) 1997-06-16 2001-02-27 Sanyo Electric Co., Ltd. Dielectric device, dielectric memory and method of fabricating the same
US6262451B1 (en) 1997-03-13 2001-07-17 Motorola, Inc. Electrode structure for transistors, non-volatile memories and the like
US6278152B1 (en) 1997-06-27 2001-08-21 Kabushiki Kaisha Toshiba Semiconductor device and method of manufacturing the same
US6288431B1 (en) 1997-04-04 2001-09-11 Nippon Steel Corporation Semiconductor device and a method of manufacturing the same
US6346741B1 (en) 1997-11-20 2002-02-12 Advanced Technology Materials, Inc. Compositions and structures for chemical mechanical polishing of FeRAM capacitors and method of fabricating FeRAM capacitors using same
US6472124B1 (en) 2000-11-10 2002-10-29 Macronix International Co., Ltd. Self-aligned metal-insulator-metal capacitor for integrated circuits
US6518609B1 (en) * 2000-08-31 2003-02-11 University Of Maryland Niobium or vanadium substituted strontium titanate barrier intermediate a silicon underlayer and a functional metal oxide film
US6566148B2 (en) 2001-08-13 2003-05-20 Sharp Laboratories Of America, Inc. Method of making a ferroelectric memory transistor
US6586793B2 (en) 2000-10-30 2003-07-01 Kabushiki Kaisha Toshiba Ferroelectric memory and manufacturing method thereof
US6642563B2 (en) 2000-09-28 2003-11-04 Kabushiki Kaisha Toshiba Semiconductor memory including ferroelectric gate capacitor structure, and method of fabricating the same
US6673664B2 (en) 2001-10-16 2004-01-06 Sharp Laboratories Of America, Inc. Method of making a self-aligned ferroelectric memory transistor
US6744087B2 (en) 2002-09-27 2004-06-01 International Business Machines Corporation Non-volatile memory using ferroelectric gate field-effect transistors
US6951825B2 (en) 2003-03-17 2005-10-04 Sharp Laboratories Of America, Inc. Method of etching a SiN/Ir/TaN or SiN/Ir/Ti stack using an aluminum hard mask
US20060071255A1 (en) 2004-09-24 2006-04-06 Bomy Chen Non-destructive read ferroelectric memory cell, array and integrated circuit device
US20070004049A1 (en) * 2005-06-30 2007-01-04 Hayato Nasu Semiconductor device having ferroelectric film as gate insulating film and manufacturing method thereof
US7329580B2 (en) 2005-10-26 2008-02-12 Samsung Electronics Co., Ltd. Method of fabricating a semiconductor device having self-aligned floating gate and related device
US7374953B2 (en) 2004-08-16 2008-05-20 Samsung Electronics Co., Ltd. Ferroelectric random access memories (FRAMS) having lower electrodes respectively self-aligned to node conductive layer patterns and methods of forming the same
US7420237B2 (en) 2004-01-29 2008-09-02 Matsushita Electric Industrial Co., Ltd. Capacitor element
US7462538B2 (en) 2005-11-15 2008-12-09 Infineon Technologies Ag Methods of manufacturing multiple gate CMOS transistors having different gate dielectric materials
US7491631B2 (en) 2005-04-06 2009-02-17 International Business Machines Corporation Method of doping a gate electrode of a field effect transistor
US7518189B1 (en) 2003-07-03 2009-04-14 American Semiconductor, Inc. Independently-double-gated field effect transistor
US20090206405A1 (en) 2008-02-15 2009-08-20 Doyle Brian S Fin field effect transistor structures having two dielectric thicknesses
US7651935B2 (en) 2005-09-27 2010-01-26 Freescale Semiconductor, Inc. Process of forming an electronic device including active regions and gate electrodes of different compositions overlying the active regions
US7659157B2 (en) 2007-09-25 2010-02-09 International Business Machines Corporation Dual metal gate finFETs with single or dual high-K gate dielectric
US20100110753A1 (en) 2008-10-31 2010-05-06 Qimonda Ag Ferroelectric Memory Cell Arrays and Method of Operating the Same
US7821014B2 (en) 2006-03-13 2010-10-26 Fuji Electric Systems Co., Ltd. Semiconductor device and manufacturing method thereof with a recessed backside substrate for breakdown voltage blocking
US7842990B2 (en) 2006-02-17 2010-11-30 Hynix Semiconductor Inc. Nonvolatile ferroelectric memory device including trench capacitor
US7910967B2 (en) 2005-09-05 2011-03-22 Samsung Electronics Co., Ltd. Ferroelectric capacitor having three-dimensional structure, nonvolatile memory device having the same and method of fabricating the same
US7915112B2 (en) 2008-09-23 2011-03-29 Taiwan Semiconductor Manufacturing Company, Ltd. Metal gate stress film for mobility enhancement in FinFET device
US8154089B2 (en) 2007-11-21 2012-04-10 Kabushiki Kaisha Toshiba Semiconductor device
US8216902B2 (en) 2009-08-06 2012-07-10 International Business Machines Corporation Nanomesh SRAM cell
US20120175696A1 (en) 2010-11-09 2012-07-12 North Carolina State University Multilayer floating gate field-effect transistor (fet) devices and related methods
US8232188B2 (en) 2009-11-30 2012-07-31 Globalfoundries Inc. High-K metal gate electrode structures formed by separate removal of placeholder materials using a masking regime prior to gate patterning
US8304823B2 (en) 2008-04-21 2012-11-06 Namlab Ggmbh Integrated circuit including a ferroelectric memory cell and method of manufacturing the same
US8367495B2 (en) 2009-03-31 2013-02-05 Globalfoundries Inc. Method for forming CMOS transistors having metal-containing gate electrodes formed on a high-K gate dielectric material
US8518781B2 (en) 2011-11-24 2013-08-27 Semiconductor Manufacturing International Corporation Semiconductor device and manufacturing method thereof
US8722472B2 (en) 2011-12-16 2014-05-13 International Business Machines Corporation Hybrid CMOS nanowire mesh device and FINFET device
US8765533B2 (en) 2012-12-04 2014-07-01 Taiwan Semiconductor Manufacturing Company, Ltd. Fin-like field effect transistor (FinFET) channel profile engineering method and associated device
US8796085B2 (en) 2012-10-12 2014-08-05 Viktor Koldiaev Vertical super-thin body semiconductor on dielectric wall devices and methods of their fabrication
US8871583B2 (en) 2011-11-24 2014-10-28 Semiconductor Manufacturing International (Shanghai) Corporation Semiconductor device and manufacturing method thereof
US9012975B2 (en) 2012-06-14 2015-04-21 United Microelectronics Corp. Field effect transistor and manufacturing method thereof
US9059164B2 (en) 2013-10-22 2015-06-16 International Business Machines Corporation Embedded interlevel dielectric barrier layers for replacement metal gate field effect transistors
US9087886B2 (en) 2013-04-08 2015-07-21 Samsung Electronics Co., Ltd. Semiconductor device
WO2015164141A1 (en) 2014-04-24 2015-10-29 Micron Technology, Inc. Ferroelectric field effect transistors, pluralities of ferroelectric field effect transistors arrayed in row lines and column lines, and methods of forming a plurality of ferroelectric field effect transistors
US9196542B2 (en) 2013-05-22 2015-11-24 United Microelectronics Corp. Method for manufacturing semiconductor devices
US20160035856A1 (en) 2014-07-29 2016-02-04 Globalfoundries Inc. Semiconductor structure including a ferroelectric transistor and method for the formation thereof
US9269792B2 (en) 2014-06-09 2016-02-23 International Business Machines Corporation Method and structure for robust finFET replacement metal gate integration
US20160071947A1 (en) 2014-09-10 2016-03-10 Globalfoundries Inc. Method including a replacement of a dummy gate structure with a gate structure including a ferroelectric material
US9293373B1 (en) 2015-05-26 2016-03-22 International Business Machines Corporation Method for fabricating CMOS finFETs with dual channel material
US20160099354A1 (en) 2014-10-07 2016-04-07 Micron Technology, Inc. Recessed Transistors Containing Ferroelectric Material
US9312268B2 (en) 2014-09-02 2016-04-12 Globalfoundries Singapore Pte. Ltd. Integrated circuits with FinFET nonvolatile memory
US9349842B2 (en) 2012-04-12 2016-05-24 Globalfoundries Inc. Methods of forming semiconductor devices comprising ferroelectric elements and fast high-K metal gate transistors
US20160181384A1 (en) 2014-12-23 2016-06-23 Stmicroelectronics, Inc. Reduced trench profile for a gate
US9412822B2 (en) 2014-03-07 2016-08-09 Globalfoundries Inc. Methods of forming stressed channel regions for a FinFET semiconductor device and the resulting device
US9449972B1 (en) 2015-03-06 2016-09-20 Globalfoundries Inc. Ferroelectric FinFET
US9490335B1 (en) 2015-12-30 2016-11-08 International Business Machines Corporation Extra gate device for nanosheet
US20160336312A1 (en) 2015-05-15 2016-11-17 Taiwan Semiconductor Manufacturing Company Limited Semiconductor device and transistor
US20160336319A1 (en) 2015-05-15 2016-11-17 Taiwan Semiconductor Manufacturing Co., Ltd. Dual nitride stressor for semiconductor device and method of manufacturing
US20160343827A1 (en) 2015-05-22 2016-11-24 Taiwan Semiconductor Manufacturing Co., Ltd Structure and formation method of semiconductor device structure
US9558804B2 (en) 2014-07-23 2017-01-31 Namlab Ggmbh Charge storage ferroelectric memory hybrid and erase scheme
US9570588B2 (en) 2014-12-29 2017-02-14 Globalfoundries Inc. Methods of forming transistor structures including forming channel material after formation processes to prevent damage to the channel material
US9576801B2 (en) 2014-12-01 2017-02-21 Qualcomm Incorporated High dielectric constant/metal gate (HK/MG) compatible floating gate (FG)/ferroelectric dipole non-volatile memory
US9590104B2 (en) 2013-10-25 2017-03-07 Taiwan Semiconductor Manufacturing Company, Ltd. Gate device over strained fin structure
US9653602B1 (en) 2016-03-21 2017-05-16 International Business Machines Corporation Tensile and compressive fins for vertical field effect transistors
US9653394B2 (en) 2014-06-10 2017-05-16 Samsung Electronics Co., Ltd. Logic cell, semiconductor device including logic cell, and method of manufacturing the logic cell and semiconductor device
US9685560B2 (en) 2015-03-02 2017-06-20 Semiconductor Energy Laboratory Co., Ltd. Transistor, method for manufacturing transistor, semiconductor device, and electronic device
US9722043B2 (en) 2015-06-15 2017-08-01 International Business Machines Corporation Self-aligned trench silicide process for preventing gate contact to silicide shorts
US9722093B1 (en) 2016-06-30 2017-08-01 United Microelectronics Corp. Oxide semiconductor transistor and manufacturing method thereof
US9735287B2 (en) 2013-05-03 2017-08-15 Institute of Microelectronics, Chinese Academy of Sciences Memory devices, methods of manufacturing the same, and methods of accessing the same
US9748239B2 (en) 2016-01-27 2017-08-29 International Business Machines Corporation Fin-double-gated junction field effect transistor
US9768181B2 (en) 2014-04-28 2017-09-19 Micron Technology, Inc. Ferroelectric memory and methods of forming the same
US9818875B1 (en) 2016-10-17 2017-11-14 International Business Machines Corporation Approach to minimization of strain loss in strained fin field effect transistors
US9837546B2 (en) 2015-03-27 2017-12-05 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and electronic device
US9843007B2 (en) 2016-04-28 2017-12-12 National Chiao Tung University Field effect transistor structure with gate structure having a wall and floor portions
US9847109B2 (en) 2015-12-21 2017-12-19 Imec Vzw Memory cell
US9853211B2 (en) 2015-07-24 2017-12-26 Micron Technology, Inc. Array of cross point memory cells individually comprising a select device and a programmable device
US9865608B2 (en) 2014-08-26 2018-01-09 Globalfoundries Inc. Method of forming a device including a floating gate electrode and a layer of ferroelectric material
US9893064B2 (en) 2015-03-25 2018-02-13 Samsung Electronics Co., Ltd. Integrated circuit device and method of manufacturing the same
US20180053830A1 (en) 2016-08-19 2018-02-22 International Business Machines Corporation Semiconductor device including enhanced low-k spacer
US20180076334A1 (en) 2016-09-13 2018-03-15 International Business Machines Corporation Integrated ferroelectric capacitor/ field effect transistor structure
US9966473B2 (en) 2015-05-11 2018-05-08 Semiconductor Energy Laboratory Co., Ltd. Manufacturing method of semiconductor device
US9972541B2 (en) 2014-08-29 2018-05-15 Intel Corporation Technique for filling high aspect ratio, narrow structures with multiple metal layers and associated configurations
US10229921B2 (en) * 2017-02-03 2019-03-12 International Business Machines Corporation Structure featuring ferroelectric capacitance in interconnect level for steep sub-threshold complementary metal oxide semiconductor transistors
US10615265B2 (en) 2017-11-30 2020-04-07 Intel Corporation Gate cut and fin trim isolation for advanced integrated circuit structure fabrication
US10741678B2 (en) * 2017-10-30 2020-08-11 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US11107919B2 (en) * 2017-08-31 2021-08-31 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing semiconductor device including ferroelectric layer having columnar-shaped crystals

Patent Citations (104)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5580814A (en) * 1991-05-29 1996-12-03 Ramtron International Corporation Method for making a ferroelectric memory cell with a ferroelectric capacitor overlying a memory transistor
US5416735A (en) 1991-07-02 1995-05-16 Sharp Kabushiki Kaisha Non-volatile random access memory with ferroelectric capacitor
US5384729A (en) 1991-10-28 1995-01-24 Rohm Co., Ltd. Semiconductor storage device having ferroelectric film
US5477071A (en) 1992-03-19 1995-12-19 Kabushiki Kaisha Toshiba MOS random access memory having array of trench type one-capacitor/one-transistor memory cells
US5516710A (en) 1994-11-10 1996-05-14 Northern Telecom Limited Method of forming a transistor
US5777356A (en) 1996-01-03 1998-07-07 Bell Communications Research, Inc. Platinum-free ferroelectric memory cell with intermetallic barrier layer and method of making same
US5940705A (en) 1997-01-10 1999-08-17 Samsung Electronics Co., Ltd. Methods of forming floating-gate FFRAM devices
US5932904A (en) 1997-03-07 1999-08-03 Sharp Laboratories Of America, Inc. Two transistor ferroelectric memory cell
US6262451B1 (en) 1997-03-13 2001-07-17 Motorola, Inc. Electrode structure for transistors, non-volatile memories and the like
US6288431B1 (en) 1997-04-04 2001-09-11 Nippon Steel Corporation Semiconductor device and a method of manufacturing the same
US6194752B1 (en) 1997-06-16 2001-02-27 Sanyo Electric Co., Ltd. Dielectric device, dielectric memory and method of fabricating the same
US6278152B1 (en) 1997-06-27 2001-08-21 Kabushiki Kaisha Toshiba Semiconductor device and method of manufacturing the same
US6346741B1 (en) 1997-11-20 2002-02-12 Advanced Technology Materials, Inc. Compositions and structures for chemical mechanical polishing of FeRAM capacitors and method of fabricating FeRAM capacitors using same
US5907762A (en) 1997-12-04 1999-05-25 Sharp Microelectronics Technology, Inc. Method of manufacture of single transistor ferroelectric memory cell using chemical-mechanical polishing
US6075264A (en) 1999-01-25 2000-06-13 Samsung Electronics Co., Ltd. Structure of a ferroelectric memory cell and method of fabricating it
US6518609B1 (en) * 2000-08-31 2003-02-11 University Of Maryland Niobium or vanadium substituted strontium titanate barrier intermediate a silicon underlayer and a functional metal oxide film
US6642539B2 (en) 2000-08-31 2003-11-04 University Of Maryland Epitaxial template and barrier for the integration of functional thin film metal oxide heterostructures on silicon
US6642563B2 (en) 2000-09-28 2003-11-04 Kabushiki Kaisha Toshiba Semiconductor memory including ferroelectric gate capacitor structure, and method of fabricating the same
US6586793B2 (en) 2000-10-30 2003-07-01 Kabushiki Kaisha Toshiba Ferroelectric memory and manufacturing method thereof
US6472124B1 (en) 2000-11-10 2002-10-29 Macronix International Co., Ltd. Self-aligned metal-insulator-metal capacitor for integrated circuits
US6566148B2 (en) 2001-08-13 2003-05-20 Sharp Laboratories Of America, Inc. Method of making a ferroelectric memory transistor
US6673664B2 (en) 2001-10-16 2004-01-06 Sharp Laboratories Of America, Inc. Method of making a self-aligned ferroelectric memory transistor
US6744087B2 (en) 2002-09-27 2004-06-01 International Business Machines Corporation Non-volatile memory using ferroelectric gate field-effect transistors
US6951825B2 (en) 2003-03-17 2005-10-04 Sharp Laboratories Of America, Inc. Method of etching a SiN/Ir/TaN or SiN/Ir/Ti stack using an aluminum hard mask
US7518189B1 (en) 2003-07-03 2009-04-14 American Semiconductor, Inc. Independently-double-gated field effect transistor
US7420237B2 (en) 2004-01-29 2008-09-02 Matsushita Electric Industrial Co., Ltd. Capacitor element
US7374953B2 (en) 2004-08-16 2008-05-20 Samsung Electronics Co., Ltd. Ferroelectric random access memories (FRAMS) having lower electrodes respectively self-aligned to node conductive layer patterns and methods of forming the same
US20060071255A1 (en) 2004-09-24 2006-04-06 Bomy Chen Non-destructive read ferroelectric memory cell, array and integrated circuit device
US7491631B2 (en) 2005-04-06 2009-02-17 International Business Machines Corporation Method of doping a gate electrode of a field effect transistor
US20070004049A1 (en) * 2005-06-30 2007-01-04 Hayato Nasu Semiconductor device having ferroelectric film as gate insulating film and manufacturing method thereof
US7910967B2 (en) 2005-09-05 2011-03-22 Samsung Electronics Co., Ltd. Ferroelectric capacitor having three-dimensional structure, nonvolatile memory device having the same and method of fabricating the same
US7651935B2 (en) 2005-09-27 2010-01-26 Freescale Semiconductor, Inc. Process of forming an electronic device including active regions and gate electrodes of different compositions overlying the active regions
US7329580B2 (en) 2005-10-26 2008-02-12 Samsung Electronics Co., Ltd. Method of fabricating a semiconductor device having self-aligned floating gate and related device
US7462538B2 (en) 2005-11-15 2008-12-09 Infineon Technologies Ag Methods of manufacturing multiple gate CMOS transistors having different gate dielectric materials
US7842990B2 (en) 2006-02-17 2010-11-30 Hynix Semiconductor Inc. Nonvolatile ferroelectric memory device including trench capacitor
US7821014B2 (en) 2006-03-13 2010-10-26 Fuji Electric Systems Co., Ltd. Semiconductor device and manufacturing method thereof with a recessed backside substrate for breakdown voltage blocking
US7659157B2 (en) 2007-09-25 2010-02-09 International Business Machines Corporation Dual metal gate finFETs with single or dual high-K gate dielectric
US8154089B2 (en) 2007-11-21 2012-04-10 Kabushiki Kaisha Toshiba Semiconductor device
US20090206405A1 (en) 2008-02-15 2009-08-20 Doyle Brian S Fin field effect transistor structures having two dielectric thicknesses
US8304823B2 (en) 2008-04-21 2012-11-06 Namlab Ggmbh Integrated circuit including a ferroelectric memory cell and method of manufacturing the same
US7915112B2 (en) 2008-09-23 2011-03-29 Taiwan Semiconductor Manufacturing Company, Ltd. Metal gate stress film for mobility enhancement in FinFET device
US20100110753A1 (en) 2008-10-31 2010-05-06 Qimonda Ag Ferroelectric Memory Cell Arrays and Method of Operating the Same
US8367495B2 (en) 2009-03-31 2013-02-05 Globalfoundries Inc. Method for forming CMOS transistors having metal-containing gate electrodes formed on a high-K gate dielectric material
US8216902B2 (en) 2009-08-06 2012-07-10 International Business Machines Corporation Nanomesh SRAM cell
US8232188B2 (en) 2009-11-30 2012-07-31 Globalfoundries Inc. High-K metal gate electrode structures formed by separate removal of placeholder materials using a masking regime prior to gate patterning
US20120175696A1 (en) 2010-11-09 2012-07-12 North Carolina State University Multilayer floating gate field-effect transistor (fet) devices and related methods
US8518781B2 (en) 2011-11-24 2013-08-27 Semiconductor Manufacturing International Corporation Semiconductor device and manufacturing method thereof
US8871583B2 (en) 2011-11-24 2014-10-28 Semiconductor Manufacturing International (Shanghai) Corporation Semiconductor device and manufacturing method thereof
US9190331B2 (en) 2011-11-24 2015-11-17 Semiconductor Manufacturing International (Shanghai) Corporation Semiconductor device and manufacturing method thereof
US8722472B2 (en) 2011-12-16 2014-05-13 International Business Machines Corporation Hybrid CMOS nanowire mesh device and FINFET device
US9349842B2 (en) 2012-04-12 2016-05-24 Globalfoundries Inc. Methods of forming semiconductor devices comprising ferroelectric elements and fast high-K metal gate transistors
US9012975B2 (en) 2012-06-14 2015-04-21 United Microelectronics Corp. Field effect transistor and manufacturing method thereof
US8796085B2 (en) 2012-10-12 2014-08-05 Viktor Koldiaev Vertical super-thin body semiconductor on dielectric wall devices and methods of their fabrication
US8765533B2 (en) 2012-12-04 2014-07-01 Taiwan Semiconductor Manufacturing Company, Ltd. Fin-like field effect transistor (FinFET) channel profile engineering method and associated device
US9087886B2 (en) 2013-04-08 2015-07-21 Samsung Electronics Co., Ltd. Semiconductor device
US9735287B2 (en) 2013-05-03 2017-08-15 Institute of Microelectronics, Chinese Academy of Sciences Memory devices, methods of manufacturing the same, and methods of accessing the same
US9196542B2 (en) 2013-05-22 2015-11-24 United Microelectronics Corp. Method for manufacturing semiconductor devices
US9059164B2 (en) 2013-10-22 2015-06-16 International Business Machines Corporation Embedded interlevel dielectric barrier layers for replacement metal gate field effect transistors
US9590104B2 (en) 2013-10-25 2017-03-07 Taiwan Semiconductor Manufacturing Company, Ltd. Gate device over strained fin structure
US9412822B2 (en) 2014-03-07 2016-08-09 Globalfoundries Inc. Methods of forming stressed channel regions for a FinFET semiconductor device and the resulting device
WO2015164141A1 (en) 2014-04-24 2015-10-29 Micron Technology, Inc. Ferroelectric field effect transistors, pluralities of ferroelectric field effect transistors arrayed in row lines and column lines, and methods of forming a plurality of ferroelectric field effect transistors
US9263577B2 (en) 2014-04-24 2016-02-16 Micron Technology, Inc. Ferroelectric field effect transistors, pluralities of ferroelectric field effect transistors arrayed in row lines and column lines, and methods of forming a plurality of ferroelectric field effect transistors
US9768181B2 (en) 2014-04-28 2017-09-19 Micron Technology, Inc. Ferroelectric memory and methods of forming the same
US9269792B2 (en) 2014-06-09 2016-02-23 International Business Machines Corporation Method and structure for robust finFET replacement metal gate integration
US9653394B2 (en) 2014-06-10 2017-05-16 Samsung Electronics Co., Ltd. Logic cell, semiconductor device including logic cell, and method of manufacturing the logic cell and semiconductor device
US9558804B2 (en) 2014-07-23 2017-01-31 Namlab Ggmbh Charge storage ferroelectric memory hybrid and erase scheme
US9818468B2 (en) 2014-07-23 2017-11-14 Namlab Ggmbh Charge storage ferroelectric memory hybrid and erase scheme
US20160035856A1 (en) 2014-07-29 2016-02-04 Globalfoundries Inc. Semiconductor structure including a ferroelectric transistor and method for the formation thereof
US9865608B2 (en) 2014-08-26 2018-01-09 Globalfoundries Inc. Method of forming a device including a floating gate electrode and a layer of ferroelectric material
US9972541B2 (en) 2014-08-29 2018-05-15 Intel Corporation Technique for filling high aspect ratio, narrow structures with multiple metal layers and associated configurations
US9312268B2 (en) 2014-09-02 2016-04-12 Globalfoundries Singapore Pte. Ltd. Integrated circuits with FinFET nonvolatile memory
US20160071947A1 (en) 2014-09-10 2016-03-10 Globalfoundries Inc. Method including a replacement of a dummy gate structure with a gate structure including a ferroelectric material
US20160099354A1 (en) 2014-10-07 2016-04-07 Micron Technology, Inc. Recessed Transistors Containing Ferroelectric Material
US9608111B2 (en) 2014-10-07 2017-03-28 Micro Technology, Inc. Recessed transistors containing ferroelectric material
US9576801B2 (en) 2014-12-01 2017-02-21 Qualcomm Incorporated High dielectric constant/metal gate (HK/MG) compatible floating gate (FG)/ferroelectric dipole non-volatile memory
US20160181384A1 (en) 2014-12-23 2016-06-23 Stmicroelectronics, Inc. Reduced trench profile for a gate
US9570588B2 (en) 2014-12-29 2017-02-14 Globalfoundries Inc. Methods of forming transistor structures including forming channel material after formation processes to prevent damage to the channel material
US9685560B2 (en) 2015-03-02 2017-06-20 Semiconductor Energy Laboratory Co., Ltd. Transistor, method for manufacturing transistor, semiconductor device, and electronic device
US9449972B1 (en) 2015-03-06 2016-09-20 Globalfoundries Inc. Ferroelectric FinFET
US9893064B2 (en) 2015-03-25 2018-02-13 Samsung Electronics Co., Ltd. Integrated circuit device and method of manufacturing the same
US9837546B2 (en) 2015-03-27 2017-12-05 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and electronic device
US9966473B2 (en) 2015-05-11 2018-05-08 Semiconductor Energy Laboratory Co., Ltd. Manufacturing method of semiconductor device
US9679893B2 (en) 2015-05-15 2017-06-13 Taiwan Semiconductor Manufacturing Company Limited Semiconductor device and transistor
US20160336319A1 (en) 2015-05-15 2016-11-17 Taiwan Semiconductor Manufacturing Co., Ltd. Dual nitride stressor for semiconductor device and method of manufacturing
US20160336312A1 (en) 2015-05-15 2016-11-17 Taiwan Semiconductor Manufacturing Company Limited Semiconductor device and transistor
US20160343827A1 (en) 2015-05-22 2016-11-24 Taiwan Semiconductor Manufacturing Co., Ltd Structure and formation method of semiconductor device structure
US10411113B2 (en) 2015-05-22 2019-09-10 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of semiconductor device structure
US9293373B1 (en) 2015-05-26 2016-03-22 International Business Machines Corporation Method for fabricating CMOS finFETs with dual channel material
US9722043B2 (en) 2015-06-15 2017-08-01 International Business Machines Corporation Self-aligned trench silicide process for preventing gate contact to silicide shorts
US9853211B2 (en) 2015-07-24 2017-12-26 Micron Technology, Inc. Array of cross point memory cells individually comprising a select device and a programmable device
US9847109B2 (en) 2015-12-21 2017-12-19 Imec Vzw Memory cell
US9490335B1 (en) 2015-12-30 2016-11-08 International Business Machines Corporation Extra gate device for nanosheet
US9748239B2 (en) 2016-01-27 2017-08-29 International Business Machines Corporation Fin-double-gated junction field effect transistor
US9653602B1 (en) 2016-03-21 2017-05-16 International Business Machines Corporation Tensile and compressive fins for vertical field effect transistors
US9843007B2 (en) 2016-04-28 2017-12-12 National Chiao Tung University Field effect transistor structure with gate structure having a wall and floor portions
US9722093B1 (en) 2016-06-30 2017-08-01 United Microelectronics Corp. Oxide semiconductor transistor and manufacturing method thereof
US20180053830A1 (en) 2016-08-19 2018-02-22 International Business Machines Corporation Semiconductor device including enhanced low-k spacer
US20180076334A1 (en) 2016-09-13 2018-03-15 International Business Machines Corporation Integrated ferroelectric capacitor/ field effect transistor structure
US10050143B2 (en) 2016-09-13 2018-08-14 International Business Machines Corporation Integrated ferroelectric capacitor/ field effect transistor structure
US9818875B1 (en) 2016-10-17 2017-11-14 International Business Machines Corporation Approach to minimization of strain loss in strained fin field effect transistors
US10229921B2 (en) * 2017-02-03 2019-03-12 International Business Machines Corporation Structure featuring ferroelectric capacitance in interconnect level for steep sub-threshold complementary metal oxide semiconductor transistors
US11107919B2 (en) * 2017-08-31 2021-08-31 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing semiconductor device including ferroelectric layer having columnar-shaped crystals
US10741678B2 (en) * 2017-10-30 2020-08-11 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US10615265B2 (en) 2017-11-30 2020-04-07 Intel Corporation Gate cut and fin trim isolation for advanced integrated circuit structure fabrication

Non-Patent Citations (14)

* Cited by examiner, † Cited by third party
Title
Advisory Action issued in U.S. Appl. No. 15/476,221, dated Nov. 9, 2018.
Advisory Action issued in U.S. Appl. No. 15/476,221, dated Sep. 27, 2019.
Asif I. Khan et al., "Ferroelectric Negative Capacitance MOSFET: Capacitance Tuning & Antiferroelectric Operation", Deparlment of Electrical Engineering & Computer Science, University of California, Berkeley CA, 2011, pp. 11.3.1-11.3-4.
Cheng-I LIN et al., "Effects of the Variation of Ferroelectric Properties on Negative Capacitance FET Characteristics", IEEE Transactions on Electron Devices, pp. 1-3.
Chenming Hu et al., "0.2V Adiabatic NC-FinFET with 0.6mA/μm ION and 0.1nA/μm IOFF", Dept. of EECS, University of California, Berkeley, CA, 2015, pp. 39-40.
Final Office Action issued in U.S. Appl. No. 15/476,221, dated Aug. 22, 2019.
Final Office Action issued in U.S. Appl. No. 15/476,221, dated Aug. 24, 2018.
Final Office Action issued in U.S. Appl. No. 16/049,172, dated May 4, 2020.
Kai-Shin Li et al., "Sub-60mV-Swing Negative-Capacitance FinFET without Hysteresis", National Taiwan University, Taipei, Taiwan, 4 pgs.
Non-Final Office Action issued in U.S. Appl. No. 15/476,221, dated May 21, 2020.
Non-Final Office Action issued in U.S. Appl. No. 15/476,221, dated May 9, 2019.
Non-Final Office Action issued in U.S. Appl. No. 16/049,172, dated Oct. 29, 2019.
Notice of Allowance issued in U.S. Appl. No. 16/049,172, dated Jul. 21, 2020.
U.S. Non-Final Office Action dated Feb. 2, 2018 issued in U.S. Appl. No. 15/476,221.

Also Published As

Publication number Publication date
KR20180060918A (en) 2018-06-07
CN108122909B (en) 2021-02-05
DE102017110434A1 (en) 2018-05-30
US20180151745A1 (en) 2018-05-31
KR101949598B1 (en) 2019-02-18
US11043489B2 (en) 2021-06-22
TW201830694A (en) 2018-08-16
US20230343781A1 (en) 2023-10-26
TWI667790B (en) 2019-08-01
CN108122909A (en) 2018-06-05
US10937783B2 (en) 2021-03-02
US20180350800A1 (en) 2018-12-06
US20210343705A1 (en) 2021-11-04

Similar Documents

Publication Publication Date Title
US11728332B2 (en) Semiconductor device and manufacturing method thereof
US11398567B2 (en) Semiconductor device with negative capacitance comprising ferroelectric layer including amorphous and crystals
US11631755B2 (en) Semiconductor device and manufacturing method thereof
US11563102B2 (en) Semiconductor device and manufacturing method thereof
US10014227B2 (en) Semiconductor device having strained fin structure and method of making the same
US10686072B2 (en) Semiconductor device and manufacturing methods thereof
US11557649B2 (en) Method of manufacturing semiconductor devices and semiconductor devices
US20190096870A1 (en) Semiconductor Device Layout
US11545560B2 (en) Semiconductor device and method for fabricating the same
US20210391472A1 (en) Semiconductor device and manufacturing method thereof
US20220375937A1 (en) Method of manufacturing semiconductor devices and semiconductor devices
US20230154998A1 (en) Semiconductor device and manufacturing method thereof
CN113314530A (en) Method of manufacturing semiconductor device and semiconductor device

Legal Events

Date Code Title Description
AS Assignment

Owner name: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD., TAIWAN

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:CHANG, CHIA-WEN;LIN, HONG-NIEN;LEE, CHIEN-HSING;AND OTHERS;SIGNING DATES FROM 20170317 TO 20170320;REEL/FRAME:056607/0662

FEPP Fee payment procedure

Free format text: ENTITY STATUS SET TO UNDISCOUNTED (ORIGINAL EVENT CODE: BIG.); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

STPP Information on status: patent application and granting procedure in general

Free format text: DOCKETED NEW CASE - READY FOR EXAMINATION

STPP Information on status: patent application and granting procedure in general

Free format text: NON FINAL ACTION MAILED

STPP Information on status: patent application and granting procedure in general

Free format text: RESPONSE TO NON-FINAL OFFICE ACTION ENTERED AND FORWARDED TO EXAMINER

STPP Information on status: patent application and granting procedure in general

Free format text: NON FINAL ACTION MAILED

STPP Information on status: patent application and granting procedure in general

Free format text: PUBLICATIONS -- ISSUE FEE PAYMENT VERIFIED

STCF Information on status: patent grant

Free format text: PATENTED CASE