TWI767663B - 半導體結構及其形成的方法 - Google Patents

半導體結構及其形成的方法 Download PDF

Info

Publication number
TWI767663B
TWI767663B TW110114492A TW110114492A TWI767663B TW I767663 B TWI767663 B TW I767663B TW 110114492 A TW110114492 A TW 110114492A TW 110114492 A TW110114492 A TW 110114492A TW I767663 B TWI767663 B TW I767663B
Authority
TW
Taiwan
Prior art keywords
layer
conductive structure
dielectric
ruthenium
linerless
Prior art date
Application number
TW110114492A
Other languages
English (en)
Other versions
TW202209615A (zh
Inventor
梁順鑫
張根育
黃鴻儀
蔡純怡
黃俊賢
張志維
張旭凱
朱家宏
林耕竹
王菘豊
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US17/141,445 external-priority patent/US11521929B2/en
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202209615A publication Critical patent/TW202209615A/zh
Application granted granted Critical
Publication of TWI767663B publication Critical patent/TWI767663B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53257Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being a refractory metal
    • H01L23/53266Additional layers associated with refractory-metal layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76849Barrier, adhesion or liner layers formed in openings in a dielectric the layer being positioned on top of the main fill metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/7685Barrier, adhesion or liner layers the layer covering a conductive structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823475MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type interconnection or wiring or contact manufacturing related aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/482Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body
    • H01L23/485Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body consisting of layered constructions comprising conductive layers and insulating layers, e.g. planar contacts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • H01L23/5283Cross-sectional geometry
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53242Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being a noble metal, e.g. gold
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5386Geometry or layout of the interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28568Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table the conductive layers comprising transition metals
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76805Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics the opening being a via or contact hole penetrating the underlying conductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76883Post-treatment or after-treatment of the conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01027Cobalt [Co]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01044Ruthenium [Ru]

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Manufacturing & Machinery (AREA)
  • Geometry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Semiconductor Integrated Circuits (AREA)

Abstract

本揭露提供了一種蓋層的形成方法,蓋層被配置以防至鈷原子向外擴散至上層金屬化層的遷移。根據一些實施例,上述方法包括在包含釕的無襯層導電結構上沉積鈷擴散阻障層,其中沉積鈷擴散阻障層包括沉積鈷擴散阻障層自對準至無襯層導電結構。上述方法還包括於鈷擴散阻障層上沉積具有蝕刻停止層及介電層的堆疊,並在堆疊中形成開口,以露出鈷擴散阻障層。最後,上述方法包括於鈷擴散阻障層上形成導電結構。

Description

半導體結構及其形成的方法
本發明實施例係關於半導體結構及其形成方法,且特別關於導電結構及其形成方法。
在積體電路中,導電結構(例如金屬導電部件、導孔及導線)電性連結至電晶體區,例如閘極及源極/汲極子端,以傳播來自電晶體的訊號或是將訊號傳播至電晶體。上述導電結構取決於積體電路的複雜度,可形成多層金屬布線。
本發明實施例提供了一種半導體結構,包括:基板;第一金屬化層,位於基板上,其中第一金屬化層包含被介電質圍繞的無襯層導電結構;蓋層,位於無襯層導電結構的頂表面上;以及第二金屬化層,位於第一金屬化層上且包含位於該無襯層導電結構上的導電結構,其中蓋層介於無襯層導電結構的頂表面與導電結構的底表面之間。
本發明實施例提供了一種半導體導電結構,包括:基板;第一金屬化層,位於基板上,且包括被介電質圍繞的無襯層導電結構;蓋層,置於無襯層導電結構的頂表面上,其中蓋層具有圓頂形狀,圓頂形狀的基部大於無襯層導電結構的寬度;以及第二金屬化層,位於第一金屬化層上,且包括位於無襯層導電結構上的導電結構,其中蓋層分隔無襯層導電結構與導電結構。
本發明實施例提供了一種半導體結構的形成方法,包括:於包含釕的無襯層導電結構上沉積鈷擴散阻障層,其中沉積鈷擴散阻障層包括形成自對準至無襯層導電結構的鈷擴散阻障層;於鈷擴散阻障層上沉積堆疊,其包括蝕刻停止層及介電層;於堆疊中形成開口,以露出鈷擴散阻障層;以及於鈷擴散阻障層上形成導電結構,以圍繞鈷擴散阻障層。
以下揭露提供了許多的實施例或範例,用於實施所提供的標的物之不同元件。各元件和其配置的具體範例描述如下,以簡化本發明實施例之說明。當然,這些僅僅是範例,並非用以限定本發明實施例。舉例而言,敘述中若提及第一元件形成在第二元件之上,可能包含第一和第二元件直接接觸的實施例,也可能包含額外的元件形成在第一和第二元件之間,使得它們不直接接觸的實施例。此外,本發明實施例可能在各種範例中重複參考數值及/或字母。如此重複是為了簡明和清楚之目的,而非用以表示所討論的不同實施例及/或配置之間的關係。
再者,其中可能用到與空間相對用詞,例如「在……之下」、「下方」、「較低的」、「上方」、「較高的」等類似用詞,是為了便於描述圖式中一個(些)部件或特徵與另一個(些)部件或特徵之間的關係。空間相對用詞用以包括使用中或操作中的裝置之不同方位,以及圖式中所描述的方位。當裝置被轉向不同方位時(旋轉90度或其他方位),其中所使用的空間相對形容詞也將依轉向後的方位來解釋。
根據一些實施例,用語「約」、「實質上地」可表示一給定量的數值在例如數值之±5%的範圍(例如數值之±1%、±2%、±3%、±4%、±5%)。這些數值僅僅是範例,並非用以限定本發明實施例。可以理解的是,用語「約」、「實質上地」可根據所屬技術領域中具有通常知識者鑒於本教示的內容,來解讀所述數值的百分比。
積體電路中的主動裝置及被動裝置藉由一些導電結構,例如金屬接觸件、金屬導孔及金屬線,局部連結(local level)(例如,積體電路的同一區域之中)或是整體連結(global level)(例如,積體電路的不同區域之間)。這些包含不同導電材料(例如,不同金屬體填充物)的導電結構,於垂直堆疊的金屬化(或互連)層中形成。當具有不同導材料的金屬化層彼此堆疊時,設計的注意事項需要納入考量,避免導電結構之間多餘的交互作用導致效能劣化。
不具有阻障層或襯層的導電結構(也稱為「無襯層導電結構」或「無阻障層導電結構」)比起具有阻障層或襯層的導電結構,可具有較低的電阻。這是由於襯層或是阻障層,可能比導電結構中的金屬填充層具有更高的阻抗。因此,藉由去除導電結構中的襯層或是阻障層,較低阻抗的金屬填充物可占據導電結構的所有體積,並降低導電結構的整體接觸電阻。
同時,由於缺少襯層或阻障層,無襯層或無阻障層導電結構可能無法防止在熱處理中,金屬原子從下層導電結構的向外擴散。例如,直接在鈷導電結構上方形成的釕填充無襯層或無阻障層導電結構,在兩個結構藉皆處於熱處理時,可能無法防止鈷的向外擴散。向外擴散的鈷原子可藉由釕無襯層或無阻障層導電結構向上方的金屬化層擴散。向外擴散的鈷原子可增加「主體(host)」導電結構(例如無襯層或無阻障釕導電結構的主體導電結構,或諸如銅線的上層金屬化層的主體導電結構)的接觸電阻。另外,由於材料的遷移,向外擴散的鈷原子可導致鈷導電結構中的空孔(void)。上述的熱驅性鈷擴散的副作用使無襯層或無阻障層釕導電結構的整合遭受了挑戰。
為了應對上述挑戰,本揭露實施例,針對釕蓋層,其被配置為防止鈷原子向外擴散至上層金屬化層(例如至銅布線)。根據一些實施例,蓋層形成於釕金屬填充物的頂表面及下層金屬化層的導電結構之間。根據一些實施例,在此描述的的蓋層也作為上層金屬化層的阻障層。例如,在此描述的蓋層可防止鈷的電性遷移。根據一些實施例,蓋層包括純金屬或是金屬氮化物。根據一些實施例,蓋層具有低電阻率(例如低於150 µΩ∙cm)、在釕及鈷中的低溶解度,高於600 ºC的熔點及低於400 ºC的成形溫度(formation temperature)。
根據一些實施例,第1圖為積體電路中金屬化或互連層(本揭露中也稱之為「金屬化層」)的局部剖面圖。舉例來說,但不以此限,第1圖中的金屬化層包括無襯層導電結構,例如釕導電結構100,形成於源極/汲極導電結構,例如鈷導電結構105上。鈷導電結構105於源極/汲極結構110上形成,且源極/汲極結構110在閘極結構115之間形成。上述導電結構的佈局僅為例示不為限制。上述導電結構的其他佈局也可能並在本揭露的主旨及範圍內。
根據一些實施例,釕導電結構100於鈷導電結構105正上方形成,且兩者之間不存諸如襯層或阻障層的中間層(intervening layer)。同樣,釕導電結構100中的釕金屬於諸如蝕刻停止層120及介電層125的周圍的膜層的正上方形成。根據一些實施例,鈷導電結構105包括被襯層105b圍繞的鈷金屬105a。參照第1圖,襯層105b圍繞鈷金屬105a的側壁及底表面。根據一些實施例,襯層105b為鈷擴散阻障層,其防止鈷擴散至周圍材料,例如介電層130、蝕刻停止層135、閘極結構115及源極/汲極結構110。
舉例來說,但不以此限,介電層125及130可作為層間介電層,且上述導電結構形成在其中。根據一些實施例,介電層125及130包括一個或多個氧化物矽基介電質,其可由,例如藉由高密度化學氣相沉積製程、電漿增強化學氣相沉積製程、電漿增強原子層沉積製程或其他類似製程而沉積。舉例來說,但不以此限,介電層125及130的厚度可在約100 nm至約200 nm之間的範圍內。上述沉積厚度範圍、沉積方法及材料為例示不為限定。其他材料、厚度範圍或沉積方法可被用來形成介電層125及130。這些其他的材料、厚度範圍或沉積方法在本揭露的主旨及範圍內。
根據一些實施例,蝕刻停止層120及135促成介電層125及130中開口的形成。蝕刻停止層120及135可由諸如氮化矽(SiNx)、氧化矽(SiOx)、氮氧化矽(SiON)、碳化矽(SiC)、碳氮化矽(SiCN)、氮化硼(BN)、氮硼化矽(SiBN)、碳氮硼化矽(SiCBN)、金屬氧化物或其組而形成。舉例來說,但不以此限,蝕刻停止層120及135可藉由低壓化學氣相沉積、電漿增強化學氣相沉積、化學氣相沉積或其他適合的沉積製程而沉積。根據一些實施例,蝕刻停止層120及135的厚度在約3 nm至約30 nm之間的範圍內。
參照第1圖,矽化物層140介於源極/汲極結構110及鈷導電結構105之間以提供鈷導電結構105及源極/汲極結構110之間的低阻抗通路。舉例來說,但不以此限,矽化物層165可包括鎳鉑矽化物(NiPtSi)、鎳矽化物(NiSi)、鈦矽化物(TiSi)、鈷矽化物(CoSi)、鎢矽化物(WSi)或其他厚度為約4 nm的合適的矽化物。
源極/汲極結構110形成在主動區145的頂部中。根據一些實施例,主動區145包括晶態矽(Si)、鍺(Se)、化合物半導體(例如碳化矽、砷化鎵(GaAs)、磷化鎵(GaP)、磷化銦(InP)、砷化銦(InAs)、銻化銦(InSb))、合金半導體(SiGe、磷化砷鎵(GaAsP)、砷化鋁銦(AlInAs)、砷化鋁鎵(AlGaAs)、砷化鎵銦(GaInAs)、磷化鎵銦(GaInP)、磷化砷化銦鎵(GaInAsP))或其組合。根據一些實施例,主動區145為與例如一個或多個鰭式場效電晶體相關的鰭狀結構。
舉例來說,但不以此限主動區145可在包括矽的基板150上方形成。替代地,基板150可包括Ge;諸如碳化矽、GaAs、GaP、InP、InAs及InSb的化合物半導體;諸如SiGe、GaAsP、AlInAs、AlGaAs、GaInAs、GaInP及GaInAsP的合金半導體;或其組合。根據一些實施例,主動區145在基板150上成長或藉由基板150的蝕刻形成。
參照第1圖,鈷導電結構105的下部及閘極結構115被底層介電質155所圍繞,且根據一些實施例,介電質155於主動區145上形成。
根據一些實施例,第1圖中的剖面圖為沿x方向上觀察的剖面,例如平行於z-x平面。根據一些實施例,鈷導電結構在y方向上衍生,例如與第1圖中所示的z-x平面垂直的方向。舉例來說,但不以此限,鈷導電結構105沿x方向上的頂寬可與釕導電結構在相同方向上的底寬大抵相同,如第1圖所示。但鈷導電結構105沿y方向上的寬度可大於釕導電結構100在相同方向上的寬度。這在第2圖中繪示出,其為釕導電結構100沿y方向上放大的局部剖面圖。在第2圖的視圖中(例如,沿y方向),可見釕導電結構100的附加特徵,例如嵌入鈷導電結構105中的半球形或弓形錨(anchor)200。根據一些實施例,錨200有兩個目的:(i)提供釕導電結構100與下方鈷導電結構105之間更大的接觸面極(ii)防止釕平坦化製程過程中釕導電結構100的損壞。例如,釕導電結構可能在平坦化製程的過程中被「拔出(pulled-out)」。
如上所述,釕導電結構100形成在鈷導電結構105的正上方,且兩者之間沒有中介層。因此,當鈷導電結構105及釕導電結構處100於諸如退火製程或附加膜層或結構形成的熱製程時,鈷原子可從鈷導電結構105擴散至釕導電結構100。根據一些實施例,鈷與釕並不形成合金;取而代之的是,鈷原子經由釕晶粒邊界205擴散。如果不阻擋釕導電結構100的頂表面210,向外擴散的鈷原子可能繼續「向上」遷移至沉積在釕導電結構100上的上層金屬化層。這些金屬化層由於簡化並沒有在第2圖中示出。
根據一些實施例,第3圖為方法300的流程圖,上述方法於釕導電結構100上形成蓋層以防止鈷原子擴散至諸如銅金屬化層的上層金屬化層。其他製造操作可在方法300中的各種操作之間進行,也可僅因簡化及便於描述而被省略。這些各種操作在本揭露的主旨及範圍內。此外,本揭露的實施可以不需要所有的操作。一些操作可同時進行,或以第3圖中不同的順序進行。根據一些實施例,可進行一道或多道的操作附加或替代目前描述的操作。
根據一些實施例,第2圖可作為方法300及本揭露後續方法的中間起始結構。根據一些實施例,第2圖繪示出了在諸如化學機械研磨的平坦化製程之後的釕導電結構100。
參照第3圖,方法300的起始步驟為操作305及於釕導電結構的頂表面上,例如第4A圖中釕導電結構100的頂表面210上,選擇性沉積蓋層的製程。根據一些實施例,藉由適當地選擇蓋層的材料及調整其沉積製程,可於釕導電結構100上選擇性沉積蓋層,以使蓋層大抵上不形成於介電層125上。根據一些實施例,蓋層的預期屬性包括但不限於,小於150 µΩ∙cm的電阻率,以最小化接觸電阻的劣化(degradation),大於600ºC的熔點,以提升熱穩定性,及小於400ºC的成形溫度,以最小化熱驅性的鈷向外擴散。根據一些實施例,蓋層與釕及鈷並不會進行化學反應,且不會形成釕合金或鈷合金。
根據一些實施例,蓋層為諸如鎢的金屬,藉由溫度範圍為約300ºC至約400ºC、製程壓力範圍為約1 Torr至約10 Torr的化學氣相沉積製程而沉積。根據一些實施例,鎢的沉積包括六氟化鎢(WF 6)化學品及氫氣(H 2),以在釕導電結構100的頂表面210上選擇性沉積鎢金屬。根據一些實施例,於釕導電結構100上形成的蓋層的厚度在1.5 nm至10 nm的之間範圍內。根據一些實施例,位於介電層125上的蓋層的厚度大抵為零。
根據一些實施例,低於約400ºC的沉積溫度促進了沉積選擇性並減輕了熱驅性的鈷向外擴散。例如,高於約400ºC的沉積溫度可促進鎢於介電層125之上的沉積。更進一步,高於約400ºC的沉積溫度可加快鈷至釕導電結構100及在其中的向外擴散。另一方面,低於約300ºC的沉積溫不足以於釕導電結構100上形成明顯數量的鎢。
根據一些實施例,薄於1.5 nm的蓋層無法防止鈷從釕導電結構100中向外擴散,且厚於10 nm的蓋層會對導電結構的組合電阻造成負面的影響。這是由於蓋層(例如鎢蓋層)的電阻高於釕和鈷兩者。
根據一些實施例,第4B圖繪示出了根據方法300中的操作305,在釕導電結構100上選擇性形成鎢蓋層400。如第4B圖所示,蓋層400沿著y方向覆蓋了釕導電結構100的頂表面,並與圍繞釕導電結構100的介電層125的M部分重疊。蓋層400具有比釕導電結構100在x方向及y方向上的寬度較寬的基部。根據一些實施例,蓋層400具有圓頂形狀(例如蓋層400的上表面為凸起的)。此外,蓋層400大抵上自對準至釕導電結構100的頂表面,以使蓋層400在除了如第4B圖中介電層125的部分M之外,大抵不覆蓋介電層125的頂表面。根據一些實施例,蓋層400的圓頂形狀歸因於上述鎢沉積製程的成長動力學(growth kinetics)。根據一些實施例,蓋層400的厚度T從圓頂頂點測量至釕導電結構100的頂表面210,且厚度在約1.5 nm至約10 nm之間的範圍內,如上所述。
參照第3圖,方法300接續操作300及於蓋層400上沉積蝕刻停止層及低介電常數介電質的製程。舉例來說,但不以此限,第4C圖根據方法300中的操作310,繪示出了在蓋層400上沉積蝕刻停止層405及低介電常數介電質410後的第4B圖中的結構。根據一些實施例,蝕刻停止層405遵循蓋層400的輪廓並且有助於在上層金屬化層中形成導電結構,如下所述。根據一些實施例,蝕刻停止層405與釕導電結構100沒有物理接觸,因為蓋層400介於蝕刻停止層405及釕導電結構100之間。根據一些實施例,蓋層400的上表面被蝕刻停止層405覆蓋,如第4C圖所示。
舉例來說,但不以此限,蝕刻停止層405包括SiNx、SiOx、SiON、SiC、SiCN、BN、SiBN、SiCBN或其組合。此外,蝕刻停止層405的厚度可在約3 nm至約30 nm之間的範圍內。
舉例來說,但不以此限,低介電常數介電質410具有低於3.9(例如,約3或是小於3)的介電常數(k值),且具有諸如低介電常數介電質或其他介電質的堆疊的介電層。例如,低介電常數介電質(例如碳摻雜矽氧化物)及氮摻雜的碳化矽、低介電常數介電質(例如碳摻雜矽氧化物)及氧摻雜的碳化矽、低介電常數介電質(例如碳摻雜矽氧化物)及氮化矽或低介電常數介電質(例如碳摻雜矽氧化物)及氧化矽。根據一些實施例,低介電常數介電質410是多孔材料。舉例來說,但不以此限,低介電常數介電質410可藉由高密度化學氣相沉積製程、電漿增強化學氣相沉積、電漿增強原子層沉積或其他合適的沉積製程而沉積,且厚度在約100 nm至約200 nm之間的範圍內。
上述沉積厚度範圍、沉積方法及蝕刻停止層405與低介電常數介電質410的材料為例示不為限制。因此,替代的材料、厚度範圍或沉積方法可被使用且在本揭露的主旨及範圍內。
參照第3圖,方法300接續操作315及於低介電常數介電質410及蝕刻停止層405中蝕刻開口以露出蓋層400的製程。舉例來說,但不以此限,開口可藉由包括光學微影及一道或多道蝕刻操作的圖案化製程而形成。例如,光阻(未示出)可於介電質410上沉積、曝光並圖案化以形成蝕刻遮罩(未示出)。可接續使用蝕刻操作以去除低介電常數介電質410及蝕刻停止層405沒有被圖案化光阻所覆蓋的部分,以形成如第4D圖所示的開口415。根據一些實施例,如第4D圖所示,開口415穿過低介電常數410及蝕刻停止層405以露出蓋層400。根據一些實施例,如第4D圖所示,釕導電結構100的頂表面及側壁表面沒有藉由開口415所露出,例如介電層125在開口415形成的過程中沒有被凹蝕。
根據一些實施例,如第4D’圖所示,開口415部分露出蓋層400的頂表面。在這種情況下,蝕刻停止層405在開口415的形成後,保持覆蓋部分的蓋層400。根據一些實施例,蝕刻停止層405未經蝕刻的部分嵌入(圍繞)蓋層400。
舉例來說,但不以此限,用於形成開口415蝕刻製程可為兩步驟的製程,在此製程期間,藉由不同的乾式蝕刻化學品依序蝕刻低介電常數介電質410及蝕刻停止層405。根據一些實施例,用以蝕刻蝕刻停止層405的蝕刻化學品大抵不蝕刻介電層125及蓋層400。
根據一些實施例,如第4圖及第4D’圖所示,形成開口415,其在y方向上,具有比起釕導電結構100在同方向上的頂寬較寬的寬度。例如,開口415在y方向上可寬於釕導電結構100及蓋層400。
根據一些實施例,開口415在y方向上的寬度可大抵等於蓋層400在相同方向上的寬度。根據一些實施例,開口415及導電結構100可在x方向上具有大抵相同或不同的寬度。例如,開口415的寬度可大抵等於或大於導電結構100在x方向上的寬度。
根據一些實施例,在開口415形成後,光罩藉由濕式蝕刻製程從低介電常數介電質410上移除。根據一些實施例,第4D圖及第4D’圖繪示出了在形成開口415及從低介電常數介電質410上移除光罩後的結構。
參照第3圖,方法300接續操作320及形成與露出的蓋層400接觸的上層金屬化導電結構之製程。根據一些實施例,上層導電結構包括阻障層、低電阻襯層、晶種層及金屬填充物。舉例來說,但不以此限,阻障層可為濺鍍沉積的氮化鉭(TaN)層,低電阻襯層可為濺鍍沉積的鉭(Ta)層,晶種層可為濺鍍沉積的銅晶種層,且金屬填充物可為電鍍的銅。根據一些實施例,第4E圖繪示出了在形成包括阻障層420a及金屬填充物420b的上層金屬化導電結構420後的第4D圖之結構。根據同一些實施例,第4E’圖繪示出了在形成包括阻障層420a及金屬填充物420b的上層金屬化導電結構420後的第4D’圖之結構。上層金屬化層420的低電阻襯層及晶種層為了簡化,並沒有在第4E圖及第4E’圖中示出。根據一些實施例,上層金屬化導電結構420為諸如導孔或導線的後段製程(back-end-of-line,BEOL)結構。
根據一些實施例,如第4E圖及第4E’圖所示,阻障層420a遵循蓋層400的曲率。根據一些實施例,第4E圖與第4E’圖的不同在於,第4E’圖中,阻障層420a覆蓋了藉由如第4D’所示開口415所露出的蓋層400的部分。阻障層420的其他部分嵌入至蝕刻停止層415中,如上述。
根據一些實施例,類似或不同於上層導電結構420的額外的上層金屬化導電結構,可在各個釕導電結構100上形成。根據一些實施例,鎢蓋層400防止或阻擋鈷原子在後續的熱製程中,例如退火操作或包含熱處理的膜層形成操作中,擴散至上層金屬化導電結構420中。
根據一些實施例,第5圖為方法500的流程圖,上述方法在釕導電結構100與上層金屬化導電結構之間形成蓋層。其他製造操作可在方法500中的各個操作之間進行,也可僅因簡化及便於描述而被省略。這些各個操作在本揭露的主旨及範圍內。另外,本揭露的實施可以不需要所有的操作。一些操作可同時,或以第5圖中不同的順序進行。根據一些實施例,可進行一道或多道的操作附加或替代目前描述的操作而進行。
參照第5圖及第6A圖,方法500的起始步驟為操作505及於釕導電結構100上沉積蝕刻停止層及低介電常數介電質的製程。根據一些實施例,第5圖中的操作505及第3圖中方法300的操作310的不同在於,在操作505中,蝕刻停止層405及低介電常數介電質410直接在釕導電結構100頂表面210上沉積,而不是在先前操作中形成的蓋層上。第6B圖繪示出了在操作505之後的結構。
參照第5圖及第6C圖,方法500接續操作510及於低介電常數介電質410及蝕刻停止層405上蝕刻開口600以露出釕導電結構100的製程。根據一些實施例,操作510與上述方法300中的操作315類似。但是,開口415與開口600的不同在於,開口600露出釕導電結構100的頂表面,而不是蓋層。根據一些實施例,開口600的尺寸與上述開口415的尺寸相近。
參照第5圖及第6D圖,方法500接續操作515及於開口600中及釕導電結構100上沉積蓋層610的製程。根據一些實施例,蓋層610的沉積遵循開口600的形貌。例如,如第6D圖所示,蓋層610順應地沉積在開口600中以覆蓋開口600的側壁及底表面。根據一些實施例,蓋層610沒有填充開口600且延伸於低介電常數介電質410的頂表面上。舉例來說,但不以此限,蓋層610可藉由原子層沉積製程、化學氣相沉積製程或其他能夠沉積順應性蓋層的合適的製程而形成。根據一些實施例,蓋層600包括W層、氮化鈦層或TaN層。
舉例來說,但不以此限,W可藉由使用WF 6及H 2混合物的化學氣相沉積製程而沉積,且其製程壓力高於約10 Torr。W可藉使用WF 6及氫化硼(H 2B 6)的原子層沉積製程而沉積。化學氣相沉積及原子層沉積沉積的W層皆可在約300ºC至約400ºC之間的溫度範圍內形成。舉例來說,但不以此限,TiN層可藉由使用四氯化鈦(TiCl 4)及氨氣(NH 3)電漿,且溫度在約300ºC至約400ºC範圍之間的化學氣相沉積及原子層沉積製程而沉積。TaN層可以通過使用四氯化鉭(TaCl4)和氨(NH3)電漿,且溫度在約300ºC至約400ºC之間的範圍內的化學氣相沉積或原子層沉積製程而沉積。根據一些實施例,蓋層610的厚度在約1.5 nm至約10 nm之間的範圍內。
參照第5圖及第6E圖,方法500接續操作520及於開口600中及蓋層610上形成上層金屬化導電結構420的製程。根據一些實施例,操作520與第3圖中方法300的操作320類似。由於蓋層610沿開口600底表面沒有表面形貌(surface topography),形成的上層金屬化導電結構420不具有如第4E圖所示的階梯狀特徵。例如,方法500產生了具有平面底面的上層金屬化導電結構。
根據一些實施例,如蓋層被選為上述的TaN層,金屬化導電結構420的阻障層420a,根據TaN蓋層的沉積厚度,可選擇性的形成。例如,如蓋層610足夠厚(例如厚於1.5 nm),足以阻擋鈷原子及銅原子兩者,阻障層420a可以省略。 另一方面,如果覆蓋層610不夠厚(例如,小於約1.5nm),不足以阻擋鈷和銅原子,阻障層420a(例如TaN層)可藉由物理氣相沉積在化學氣相沉積或原子層沉積的TaN蓋層(例如蓋層610)上沉積。
根據一些實施例,類似或不同於上層金屬化導電結構420的額外的上層金屬化導電結構,可在各個釕導電結構100上形成。根據一些實施例,蓋層610防止或阻擋鈷原子在後續的熱操作中,例如退火操作或示包括熱處理的膜層形成操作中,擴散至上層導電結構420中。
根據一些實施例,第7圖為方法700的流程圖,上述方法於釕導電結構100及上層金屬化導電結構之間形成蓋層。其他製造操作可在方法700的各種操作之間進行,或僅因簡化及便於描述而被忽略。這些各種操作在本揭露的主旨及範圍之內。另外,本揭露的實施可以不需要所有的操作。一些操作可同時,或以第7圖中不同的順序進行。根據一些實施例,可進行一道或多道的操作附加或替代目前描述的操作而進行。
參照第7圖、第8A圖,方法700的起始步驟為操作705及凹蝕釕導電結構使其低於周圍的介電層125之製程。根據一些實施例,第8B圖繪示出了在操作705之後的釕導電結構100。根據一些實施例,釕導電結構100藉由選擇性回蝕製程凹蝕。根據一些實施例,凹蝕高度R可藉由諸如蝕刻時間的回蝕製程條件來控制。根據一些實施例,凹蝕高度R等於或小於5 nm以防止低電阻釕金屬的過量移除、高接觸電阻、及效能劣化。根據一些實施例,選擇性回蝕製程包括諸如次氯酸(HClO)的濕式蝕刻化學品,其大抵不蝕刻介電層125。根據一些實施例,回蝕製程由於其等向性的性質,為釕導電結構100形成的頂表面具有的平坦的中間部分及凸起的邊緣部分,如第8圖所示。根據一些實施例,凹蝕高度R從釕導電結構水平部分的點A測量至介電層125的頂表面,如第8B圖所示。根據一些實施例,點A位於釕導電結構100的中間。
參照第5圖、第8C圖,方法700接續操作710及於凹蝕的釕導電結構100及周圍的介電層125上方沉積蓋層800的製程。根據一些實施例,毯覆沉積蓋層800,使其填充操作705所形成的凹槽,如第8C圖所示。舉例來說,但不以此限,蓋層800可藉由化學氣相沉積製程或物理氣相沉積製程而沉積並包括W、TiN或TaN。例如,化學氣相沉積的W可在300ºC至400ºC的溫度範圍內、高於10 Torr的製程壓力下使用WF 6及H 2。舉例來說,但不以此限,物理氣相沉積的TiN可以藉由濺鍍Ti金屬並接續暴露濺鍍的金屬於氮基(nitrogen-based)的電漿下(例如,氮氣電漿或氨氣電漿)。類似的,物理氣相沉積的TaN可藉由濺鍍Ta金屬並接續並接續暴露濺鍍的金屬於氮基的電漿下(例如,氮氣電漿或氨氣電漿)。根據一些實施例,蓋層800以1.5 nm至5 nm範圍內的厚度沉積以減輕對接觸電阻的影響並提供足夠的防護以對抗鈷原子的擴散。
參照第5圖及第8D圖,方法700接續操作715及從介電層125的頂表面移除部分的蓋層800的製程。舉例來說,但不以此限,蓋層800可藉由例如化學機械研磨的平坦化製程移除。根據一些實施例,在從介電層125移除蓋層800後,蓋層800及介電層125的頂表面大抵共平面,如第8D圖所示。此外,蓋層800大抵填充凹蝕的導電結構100的頂表面及介電層125的頂表面之間的空間。由於釕導電結構100的頂表面不是平面,蓋層800在釕導電結構100的中部和在側壁部分的厚度可能會不同。例如,參照第8D圖,蓋層800具有靠近釕導電結構100中心(例如,在點A上方)的厚度T 1,且厚度T 1大於靠近釕導電結構100垂直側壁的厚度T 2(例如,T 1> T 2)。根據一些實施例,介與T 1/T 2之間的比例可大於約1或小於約2。根據一些實施例,T 1大抵等於凹蝕高度R(例如T 1=R),如第8B圖所示。
參照第7圖,方法700接續操作720及在蓋層800上形成上層金屬化導電結構的製程。根據一些實施例,形成上層金屬化導電結構包括,例如沉積並圖案化蝕刻停止層405及低介電常數介電質410,以形成露出蓋層800的開口805,如第8E圖所示。此外,沉積阻障層420a及金屬填充物420b以形成上層金屬化導電結構420,如第8F圖所示及之前根據第4E圖及6E圖所論。根據一些實施例,開口805與第4D圖及第6D圖中所示的開口415及開口600相似。
類似第6E圖中所示的上層金屬化導電結構420,第8F圖中的上層金屬化導電結構420具有一平面的底部形貌(topography)。
根據一些實施例,類似於或不同於上層導電結構420的額外的上層金屬化導電結構,可在各個釕導電結構100上形成。根據一些實施例,蓋層800防止或阻擋鈷原子在後續的熱操作,例如退火操作或包括熱處理的膜層形成操作中,擴散至上層金屬化導電結構420。
根據一些實施例,操作705中的選擇性回蝕製程使釕導電結構100具有凸形的頂表面,如第8G圖所示。在這一實施例中,凹蝕高度R在釕導電結構100側壁的頂表面及介電層125的頂表面之間測量,如第8G圖所示。根據一些實施例,凹蝕高度R等於或小於約5 nm以防止低電阻釕金屬的過量移除、高接觸電阻及效能劣化。根據一些實施例,選擇性回蝕製程包括大抵不蝕刻介電層125的蝕刻製程。例如,蝕刻製程可包括在基板上施加偏壓下的離子轟擊(ion bombardment)製程及電漿蝕刻製程。
在後續的操作710及715中,毯覆沉積並平坦化蓋層800,如第8H圖及第8I圖分別所示。因為釕導電結構100的頂表面具有凸形形狀,T 2大於T 1(例如,T 2>T 1)。根據一些實施例,T 2/T 1之間的比例可大於約1且小於約2。根據一些實施例,T 2與第8G圖中的凹蝕高度R大抵相同(例如T 2=R)。在平坦化之後,蓋層800的頂表面大抵為平面,如第8I圖所示,接著上層金屬化導電結構420可根據操作720,形成並接觸蓋層800,如第8J圖及第8K圖所示。
根據一些實施例,第9圖為方法900的流程圖,其以第7圖中的方法作為基礎。方法900與方法700之間的差別包括蓋層的沉積方法,在方法900中,為在釕導電結構100的凹蝕部分上選擇性沉積,並缺少從介電層125上移除蓋層的移除製程。例如,方法900的起始步驟為類似於方法700中操作705的操作905。因此,對應於方法900中操作905後的中間釕導電結構100的第10A圖及第10B圖,分別與方法700的第8A圖及第8B圖類似。
參照第9圖及第10C圖,方法900接續操作910及在凹蝕的釕導電結構上選擇性沉積蓋層1000的製程。根據一些實施例,操作910與第3圖中方法300的操作305類似,其中蓋層為例如鎢的金屬,藉由溫度在約300ºC至約400ºC範圍之間,且製程壓力在約1 Torr至約10 Torr範圍之間的化學氣相沉積製程而沉積。根據一些實施例,鎢的沉積包括WF 6化學品及H 2以達成選擇性於釕導電結構100上的預期沉積。根據一些實施例,於釕導電結構100上形成的蓋層之厚度在1.5 nm至5 nm之間的範圍內。根據一些實施例,於介電層125上的蓋層的厚度大抵為零。
由於釕導電結構100的凹蝕頂表面不為平面,如上所述,蓋層1000沿釕導電結構100的輪廓而沉積。舉例來說,但不以此限,蓋層1000的頂表面也可為非平面(例如,凹面),如第10C圖所示。因此,蓋層1000及介電層125的頂表面不為共平面。根據一些實施例,蓋層1000的頂表面曲率大抵等於或是小於釕導電結構100的頂表面曲率。結果而言,橫跨釕導電結構100的蓋層1000之厚度可為非順應的(non-conformal)。例如,T 1可等於或大於T 2(例如,T 1≥ T 2),其中T 1於釕導電結構100中間的A點上方測量,如上所述。
參照第9圖,方法900接續操作915及在蓋層1000上形成上層金屬化導電結構的製程。根據一些實施例,操作915與方法700中的操作720類似,其中蝕刻停止層405及低介電常數介電質410先行沉積在介電層125及蓋層1000的上方,接著被圖案化以形成露出蓋層1000的開口1005,如第10D圖所示。此外,在開口1005中沉積阻障層420a及金屬填充物420b以形成如第10E圖所示的上層金屬化導電結構420。根據一些實施例,由於蓋層1000的上表面形貌,上層金屬化導電結構420的底表面可形成凸形特徵1010,如第10E圖所示。例如,第10E圖中的上層金屬化導電結構420的底表面可如第8F圖,為非平面。
根據一些實施例,如果凹蝕高度R在第8B圖與第10B圖之間是相當的(comparable),則第8D圖所示的厚度T 1可大於第10C圖所示的厚度T 1。根據一些實施例,可調整第8B圖及第10B圖中所示的凹蝕高度R,以使第8D圖及第10C圖分別所示的蓋層800及1000的厚度T1相當。
根據一些實施例,第11圖為方法1100的流程圖,其以第5圖中的方法500作為基礎。方法1100及方法500之間的差別在於釕導電結構的額外的凹蝕操作,如下述。根據一些實施例,方法1100的起始步驟為操作1105及1110,上述操作分別類似於方法500中的操作505及510。因此,對應於操作1105及1110的第12A圖、第12B圖和第12C圖與分別對應於操作505及510的第6A圖、第6B圖和第6C圖類似。根據一些實施例,第12C圖中所示的開口1200類似於第6C圖中的開口600。
參照第11圖,方法1100接續操作1115及凹蝕釕導電結構100使其低於周圍的介電層125的製程。根據一些實施例,操作1115的面向類似於方法700中的操作705。例如,釕導電結構100可藉由選擇性回蝕製程而凹蝕,上述製程包括諸如次氯酸(HClO)的濕式蝕刻化學品,其大抵不蝕刻介電層125。根據一些實施例,凹蝕高度R可藉由諸如蝕刻時間的回蝕製程條件而控制,且等於或小於5 nm以防止如上述的低電阻釕金屬的過量移除、高接觸電阻及效能劣化。根據一些實施例,由於其等向性的性質,回蝕製程為釕導電結構100形成了凹形的頂表面,如第12D圖所示。根據一些實施例,凹蝕高度R於轉折點A較長且於釕導電結構100的垂直側壁表面較短。
參照第11圖,方法1100接續類似於上述方法500中操作515及520的操作1120及1125。例如,第12E圖示出遵循釕導電結構100頂表面形貌且覆蓋開口1200的側壁及底表面的沉積蓋層1210。根據一些實施例,蓋層1210並未填充開口1200且延伸於低介電常數410的頂表面上。舉例來說,蓋層1210可藉由原子層沉積製程、化學氣相沉積製程或其他能沉積順應性蓋層的合適製程而沉積。根據一些實施例,蓋層1210包括W層、氮化鈦(TiN)層或TaN層。根據一些實施例,蓋層1210的厚度大抵一直高於釕導電結構100的頂表面。
如上所述,W可藉由使用WF 6及H 2混合物且製程壓力高於約10 Torr的化學氣相沉積製程,及使用WF 6及H 2B 6混合物的原子層沉積製程而沉積。化學氣相沉積及原子層沉積的W層皆可在約300ºC至約400ºC範圍之間的溫度下形成。另外,TiN層可藉由使用TiCl 4及NH 3電漿且溫度在約300ºC至約400ºC範圍之間的化學氣相沉積及原子層沉積製程而沉積。TaN層可藉由使用TaCl 4及NH 3電漿且溫度在約300ºC至約400ºC範圍之間的化學氣相沉積及原子層沉積製程而沉積。根據一些實施例,蓋層1210的厚度在約1.5 nm至約5 nm之間的範圍內,以減輕對與接觸電阻的影響並提供足夠的保護以防止如上述的鈷擴散。
隨後,可以在蓋層1210上形成上層金屬化導電結構420,如第12F圖所示及上述的操作520及320中所述。根據一些實施例,如果如上所述將蓋層1210選擇為TaN層,則根據TaN蓋層的沉積厚度,阻擋層420a的形成可以是可選的。例如,如果蓋層1210足夠厚(例如,厚於約1.5nm),足以阻擋鈷和銅原子兩者,則可以省略阻擋層420a。另一方面,如果蓋層1210不夠厚度(例如,小於約1.5 nm),不足以阻擋鈷和銅原子,則可以通過物理氣相沉積在化學氣相沉積或原子層沉積的TaN蓋層(例如,蓋層1210)上沉積額外的TaN阻擋層420a。
根據一些實施例,並參照第12F圖,由於蓋層1210的上表面形貌,上層金屬化導電結構420的底表面可發展凸形特徵1220,其類似於第10E圖中所示的凸形特徵1010。
如上所述,蓋層的材料選擇及沉積方法是基於其期望的特性,例如選擇性、均勻性或間隙填充。例如,如果期望選擇性沉積而與下面的形貌無關(例如,如方法300及900),則可以通過使用WF 6和H 2的化學氣相沉積製程在約300ºC至約400ºC之間的溫度範圍內、約1 Torr至10 Torr的製程壓力下沉積W蓋層。如果期望均勻沉積或順應性沉積而與下面的地形無關(例如,如方法500及1100),則可以通過化學氣相沉積或原子層沉積製程沉積W蓋層、TiN蓋層或TaN蓋層。此外,如果期望毯覆沉積(例如,如方法700),則可以通過化學氣相沉積或物理氣相沉積製程沉積W蓋層、TiN蓋層或TaN蓋層。
根據一些實施例,如第12G圖所示,在操作1115中用於使釕導電結構100低於周圍的介電層125的選擇性回蝕製程使釕導電結構100具有凸形的頂表面。根據一些實施例,第12G圖類似於上述的第8G圖,除了蝕刻停止層和低介電常數電介質405和410之外。類似第8G圖,在第12G圖中,凹蝕高度R在釕導電結構100的側壁頂表面與介電層125的頂表面之間測量,如圖12G所示。根據一些實施例,凹蝕高度R等於或小於約5 nm,以防止低電阻的釕金屬的過量去除、高接觸電阻以及效能劣化。根據一些實施例,選擇性回蝕刻製程包括大抵不蝕刻低介電常數介電質410、蝕刻停止層405或電介質層125的蝕刻製程。例如,蝕刻製程可以包括離子轟擊製程或電漿蝕刻製程。根據一些實施例,可以在蝕刻製程期間給基板施加偏壓。例如,施加的偏壓可在約100 V至約1100V之間、在約150 V至約1050 V之間、在約200 V至約1000 V之間或任何合適的偏壓。根據一些實施例,增加偏壓可導致低電阻釕金屬的過量去除。
在隨後的操作1120和1125中,如第12H圖所示,毯覆沉積蓋層1210。類似於圖第12E圖,第12H圖中的蓋層1210遵循導電結構100的頂表面形貌且覆蓋開口1200的側壁和底表面。根據一些實施例,蓋層1210不填充開口1200並且在低介電常數介電質410頂表面上延伸。
隨後,如第12I圖所示,可以在蓋層1210上形成上層金屬化導電結構420。根據一些實施例,如果如上述將蓋層1210選擇為TaN層,則根據TaN蓋層的沉積厚度,阻擋層420a的形成可以是可選的。例如,如果蓋層1210足夠厚(例如,厚於約1.5nm),足以阻擋鈷和銅原子兩者,則可以省略阻擋層420a。另一方面,如果蓋層1210不夠厚(例如,小於約1.5 nm),不足以阻擋鈷和銅原子,則可以通過物理氣相沉積在化學氣相沉積或原子層沉積沉積的TaN蓋層(例如,蓋層1210)上沉積額外的TaN阻擋層420a。
根據一些實施例,並參照第12I圖,由於蓋層1210的上表面形貌,上層金屬化導電結構420的底表面可以發展具有凹形底表面形貌的凸形特徵1225,該凹形底表面形貌遵循著下層釕導電結構100的上表面形貌。根據一些實施例,特徵1225的底表面大抵為平面,且不遵循下層釕導電結構100的上表面形貌。
根據一些實施例,第13圖是方法1300的流程圖,其為第11圖中所示的方法1100的變化。根據一些實施例,方法1300具有與方法1100類似的相應操作。方法1300與1100之間的一個例外為操作1315,其與操作1115不同。具體來說,在操作1315中,與凹蝕釕導電結構100相反,部分蝕刻介電層125。根據一些實施例,第14A圖示出了在操作1315之後的第12C圖,在該過程中,以量H部分蝕刻介電層125以露出釕導電結構100的側壁表面的一部分。根據一些實施例,蝕刻高度H與介電層125的厚度的比例可在約5%至約35%之間、在約10%至約30%之間、在約15%至約25%之間或任何合適的比例。根據一些實施例,操作1315的蝕刻製程大抵上不蝕刻蝕刻停止層405和低介電常數介電質410。根據一些實施例,操作1315的蝕刻製程導致釕導電結構100的頂角圓化,如第14A圖中虛線圓1330所示。根據一些實施例,操作1315的蝕刻製程的前驅物可以包括三氯化硼、氯氣、溴化氫、任何合適的前驅物或其組合。
在操作1320中,如第14B圖所示,毯覆沉積蓋層1210於開口1200中。類似於第12E圖,第14B圖中的蓋層1210遵循導電結構100的頂表面形貌並覆蓋開口1200的側壁和底表面。根據一些實施例,蓋層1210不填充開口1200並在低介電常數介電層410的頂表面上延伸。此外,蓋層1200填充了導電結構100及介電層125的側壁表面之間的間隔,如虛線圓1335所示。
隨後,上層金屬化導電結構420可根據操作1325,在蓋層1210之上形成,如第14C圖所示。根據一些實施例,如果如上述將蓋層1210選擇為TaN層,根據TaN蓋層的沉積厚度,阻擋層420a的形成可以是可選的。例如,如果蓋層1210足夠厚(例如,厚於1.5 nm),足以阻擋鈷原子及銅原子兩者,蓋層420a可省略。另一方面,如果蓋層1210不夠厚,不足以阻擋鈷原子及銅原子,額外的TaN阻障層420a可藉由物理氣相沉積在化學氣相沉積或原子層沉積沉積的TaN蓋層(例如,蓋層1210)上沉積。
根據一些實施例,參照第14C圖,由於蓋層1210的上層表面形貌,上層金屬化導電結構420的底表面可發展凹形特徵1340,其遵循下方釕導電結構100的上表面形貌。
在此討論的各個實施例與釕蓋層有關,其配置以防止向外擴散的鈷原子遷移到上層金屬化層中(例如,至銅布線)。根據一些實施例,蓋層形成在在釕金屬填充物頂表面及上層金屬化層的導電結構之間。根據一些實施例,在此討論的蓋層也作為上層金屬化層的銅電性遷移阻障層。根據一些實施例,蓋層包括純金屬(例如W)或金屬氮化物(例如TiN及TaN)。根據一些實施例,蓋層具有低電阻率(例如,低於150 µΩ∙cm)、在釕及鈷中的低溶解度、大於約600ºC的熔點,及低於400ºC的形成溫度。根據一些實施例,選擇性沉積蓋層於釕導電結構的頂表面上。根據一些實施例,蓋層毯覆沉積於釕導電結構上。根據一些實施例,在形成蓋層之前凹蝕釕導電結構。
根據一些實施例,一種半導體結構,包括:基板;第一金屬化層,位於基板上,其中第一金屬化層包含被介電質圍繞的無襯層導電結構;蓋層,位於無襯層導電結構的頂表面上;以及第二金屬化層,位於第一金屬化層上且包含位於無襯層導電結構上的導電結構,其中蓋層介於無襯層導電結構的頂表面與導電結構的底表面之間。
根據一些實施例,無襯層導電結構的頂表面位於介電質的頂表面之下,且其中蓋層的頂表面與介電質的頂表面共平面。
根據一些實施例,蓋層具有圓頂(dome)形狀。
根據一些實施例,蓋層的底表面為平面。
根據一些實施例,無襯層導電結構的頂表面及蓋層的頂表面不與介電質的頂表面共平面。
根據一些實施例,蓋層接觸導電結構的底表面及側壁表面。
根據一些實施例,蓋層包括鎢、氮化鈦或氮化鉭。
根據一些實施例,無襯層導電結構包括釕。
根據一些實施例,一種半導體導電結構,包括:基板;第一金屬化層,位於基板上,且包括被介電質圍繞的無襯層導電結構;蓋層,置於無襯層導電結構的頂表面上,其中蓋層具有圓頂形狀,圓頂形狀的基部大於無襯層導電結構的寬度;以及第二金屬化層,位於第一金屬化層上,且包括位於無襯層導電結構上的導電結構,其中蓋層分隔無襯層導電結構與導電結構。
根據一些實施例,蓋層嵌入導電結構的底部。
根據一些實施例,蓋層的頂表面不與介電質共平面。
根據一些實施例,蓋層的底表面的部分與介電質共平面,且寬於無襯層導電結構。
根據一些實施例,蓋層包括鎢。
根據一些實施例,蓋層覆蓋介電質的第一部分,且導電結構覆蓋介電質不同於第一部分的第二部分。
根據一些實施例,導電結構的底表面不是平面。
根據一些實施例,無襯層導電結構包括釕金屬,且其中蓋層包括選擇性沉積於釕金屬上的金屬。
根據一些實施例,一種半導體結構的形成方法,包括:於包含釕的無襯層導電結構上沉積鈷擴散阻障層,其中沉積鈷擴散阻障層包括形成自對準至無襯層導電結構的鈷擴散阻障層;於鈷擴散阻障層上沉積堆疊,其包括蝕刻停止層及介電層;於堆疊中形成開口,以露出鈷擴散阻障層;以及於鈷擴散阻障層上形成導電結構,以圍繞鈷擴散阻障層。
根據一些實施例,形成鈷擴散阻障層包括利用化學氣相沉積製程沉積鎢層,其具有在300°C至400°C之間範圍內的溫度,在1 Torr至10 Torr之間的製程壓力。
根據一些實施例,化學氣相沉積製程包括六氟化鎢及氫氣。
根據一些實施例,沉積鈷擴散阻障層包括沉積具有高於600°C熔點及小於150μΩ·cm電阻率的金屬。
應當理解的是,本揭露的詳細描述的部分而非摘要旨在用於解釋請求項。揭露部分的摘要可以闡述一個或多個但不是所有預期的示例性實施例,因此,並不旨在限制所附的請求項。
以上揭露概述數個實施例之特徵,以便在本發明所屬技術領域中具有通常知識者可更易理解本發明實施例的觀點。在本發明所屬技術領域中具有通常知識者應理解,他們能以本發明實施例為基礎,設計或修改其他製程和結構,以達到與在此介紹的實施例相同之目的及/或優勢。在本發明所屬技術領域中具有通常知識者也應理解到,此類等效的製程和結構並無悖離本發明的精神與範圍,且他們能在不違背所附的請求之精神和範圍之下,做各式各樣的改變、取代和替換。
100:釕導電結構; 105:鈷導電結構; 105a:鈷金屬; 105b:襯層; 110:源極/汲極結構; 115:閘極結構; 120:蝕刻停止層; 125:介電層; 130:介電層; 140:矽化物層; 145:主動區; 150:基板; 155:介電質; 200:錨; 205:釕晶粒邊界; 210:頂表面; 300:方法; 305:操作; 310:操作; 315:操作; 320:操作; 400:蓋層; 405:蝕刻停止層; 410:低介電常數介電質; 415:開口; 420:金屬化導電結構; 420a:阻障層; 420b:金屬填充物; 500:方法; 505:操作; 510:操作; 515:操作; 520:操作; 600:開口; 610:蓋層; 700:方法; 705:操作; 710:操作; 715:操作; 720:操作; 800:蓋層; 805:開口; 900:方法; 905:操作; 910:操作; 915:操作; 1000:蓋層; 1005:開口; 1010: 凸形特徵; 1100:方法; 1105:操作; 1115:操作; 1120:操作; 1125:操作; 1200:開口; 1210:蓋層; 1220:凸形特徵; 1225:凸形特徵; 1300:方法; 1305:操作; 1310:操作; 1315:操作; 1320:操作; 1325:操作; 1330:虛線圓; 1335:虛線圓; 1340:凹形特徵 T1:厚度; T2:厚度; A:點; R:凹蝕高度; H:量; M:部分。
以下將配合所附圖式詳述本發明實施例。 第1圖根據一些實施列,繪示出具有無襯層或無阻障層導電結構的金屬化層之局部剖面圖。 第2圖係根據一些實施列,繪示出無襯層或無阻障層導電結構的局部剖面圖。 第3圖係根據一些實施列,繪示出於無襯層或無阻障層導電結構上形成蓋層的方法之流程圖。 第4A圖、第4B圖、第4C圖、第4D圖、第4D’圖、第4E圖及第4E’圖係根據一些實施列,繪示出處於於無襯層或無阻障層導電結構上形成蓋層的各種製造操作中的中間結構之剖面圖。 第5圖係根據一些實施列,繪示於無襯層或無阻障層導電結構上方形成蓋層的方法之流程圖。 第6A圖至第6E圖係根據一些實施列,繪示出處於於無襯層或無阻障層導電結構上形成蓋層的各種製造操作中的中間結構之剖面圖。 第7圖係根據一些實施列,繪示出於無襯層或無阻障層導電結構上方形成蓋層的方法之流程圖。 第8A圖至第8K圖係根據一些實施列,繪示出處於於無襯層或無阻障層導電結構上形成蓋層的各種製造操作中的中間結構之剖面圖。 第9圖係根據一些實施列,繪示出於無襯層或無阻障層導電結構上方形成蓋層的方法之流程圖。 第10A圖至第10E圖係根據一些實施列,繪示出處於於無襯層或無阻障層導電結構上形成蓋層的各種製造操作中的中間結構之剖面圖。 第11圖係根據一些實施列,繪示出於無襯層或無阻障層導電結構上方形成蓋層的方法之流程圖。 第12A圖至第12I圖係根據一些實施列,繪示出處於於無襯層或無阻障層導電結構上形成蓋層的各種製造操作中的中間結構之剖面圖。 第13圖係根據一些實施列,繪示出於無襯層或無阻障層導電結構上方形成蓋層的方法之流程圖。 第14A圖至第14C圖係根據一些實施列,繪示出處於於無襯層或無阻障層導電結構上形成蓋層的各種製造操作中的中間結構之剖面圖。
100:釕導電結構; 105:鈷導電結構; 120:蝕刻停止層; 125:介電層; 130:介電層; 400:蓋層; 405:蝕刻停止層; 410: 低介電常數介電質; 420:上層金屬化導電結構; 420a:阻障層; 420b:金屬填充物。

Claims (15)

  1. 一種半導體結構,包括:一基板;一第一金屬化層,位於該基板上,其中該第一金屬化層包含被一介電質圍繞的一無襯層導電結構;一蓋層,位於該無襯層導電結構的一頂表面上;以及一第二金屬化層,位於該第一金屬化層上且包含位於該無襯層導電結構上的一導電結構,其中該蓋層介於該無襯層導電結構的該頂表面與該導電結構的一底表面之間。
  2. 如請求項1之半導體結構,其中該無襯層導電結構的該頂表面位於該介電質的一頂表面之下,且其中該蓋層的一頂表面與該介電質的該頂表面共平面。
  3. 如請求項1之半導體結構,其中該蓋層具有一圓頂(dome)形狀。
  4. 如請求項3之半導體結構,其中該蓋層的一底表面為平面。
  5. 如請求項1之半導體結構,其中該無襯層導電結構的該頂表面及該蓋層的一頂表面不與該介電質的一頂表面共平面。
  6. 如請求項1之半導體結構,其中該蓋層接觸該導電結構的該底表面及一側壁表面。
  7. 如請求項1至6中任一項之半導體結構,其中該蓋層包括鎢、氮化鈦或氮化鉭。
  8. 如請求項1至6中任一項之半導體結構,其中該無襯層導電結構 包括釕。
  9. 一種半導體導電結構,包括:一基板;一第一金屬化層,位於該基板上,且包括被一介電質圍繞的一無襯層導電結構;一蓋層,置於該無襯層導電結構的一頂表面上,其中該蓋層具有一圓頂形狀,該圓頂形狀的一基部大於該無襯層導電結構的一寬度;以及一第二金屬化層,位於該第一金屬化層上,且包括位於該無襯層導電結構上的一導電結構,其中該蓋層分隔該無襯層導電結構與該導電結構。
  10. 如請求項9之半導體結構,其中該蓋層嵌入該導電結構的一底部。
  11. 如請求項9之半導體結構,其中該蓋層的一底表面的一部分與該介電質共平面,且寬於該無襯層導電結構。
  12. 如請求項9之半導體結構,其中該蓋層覆蓋該介電質的一第一部分,且該導電結構覆蓋該介電質不同於該第一部分的一第二部分。
  13. 如請求項9之半導體結構,其中該無襯層導電結構包括釕金屬,且其中該蓋層包括選擇性沉積於該釕金屬上的一金屬。
  14. 一種半導體結構的形成方法,包括:於一包含釕的無襯層導電結構上沉積一鈷擴散阻障層,其中沉積該鈷擴散阻障層包括形成自對準至該無襯層導電結構的該鈷擴散阻障層;於該鈷擴散阻障層上沉積一堆疊,其包括一蝕刻停止層及一介電層;於該堆疊中形成一開口,以露出該鈷擴散阻障層;以及 於該鈷擴散阻障層上形成一導電結構,以圍繞該鈷擴散阻障層。
  15. 如請求項14之半導體結構的形成方法,其中沉積該鈷擴散阻障層包括沉積具有一高於600℃熔點及一小於150μΩ.cm電阻率的一金屬。
TW110114492A 2020-04-28 2021-04-22 半導體結構及其形成的方法 TWI767663B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US202063016836P 2020-04-28 2020-04-28
US63/016,836 2020-04-28
US17/141,445 2021-01-05
US17/141,445 US11521929B2 (en) 2020-04-28 2021-01-05 Capping layer for liner-free conductive structures

Publications (2)

Publication Number Publication Date
TW202209615A TW202209615A (zh) 2022-03-01
TWI767663B true TWI767663B (zh) 2022-06-11

Family

ID=76886685

Family Applications (1)

Application Number Title Priority Date Filing Date
TW110114492A TWI767663B (zh) 2020-04-28 2021-04-22 半導體結構及其形成的方法

Country Status (3)

Country Link
US (1) US12057397B2 (zh)
CN (1) CN113161321A (zh)
TW (1) TWI767663B (zh)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11848264B2 (en) * 2021-06-03 2023-12-19 International Business Machines Corporation Semiconductor structure with stacked vias having dome-shaped tips

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW202002113A (zh) * 2018-06-29 2020-01-01 台灣積體電路製造股份有限公司 半導體裝置的製造方法
TW202002343A (zh) * 2018-06-27 2020-01-01 台灣積體電路製造股份有限公司 半導體結構及其形成方法
US20200006424A1 (en) * 2018-06-28 2020-01-02 Intel Corporation Spin orbit torque (sot) memory devices and their methods of fabrication
US20200106013A1 (en) * 2018-09-28 2020-04-02 Intel Corporation Resistive random access memory device and methods of fabrication

Family Cites Families (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100215846B1 (ko) * 1996-05-16 1999-08-16 구본준 반도체장치의 배선형성방법
US6110826A (en) * 1998-06-08 2000-08-29 Industrial Technology Research Institute Dual damascene process using selective W CVD
US6734097B2 (en) * 2001-09-28 2004-05-11 Infineon Technologies Ag Liner with poor step coverage to improve contact resistance in W contacts
US20100314765A1 (en) * 2009-06-16 2010-12-16 Liang Wen-Ping Interconnection structure of semiconductor integrated circuit and method for making the same
US9236267B2 (en) 2012-02-09 2016-01-12 Taiwan Semiconductor Manufacturing Company, Ltd. Cut-mask patterning process for fin-like field effect transistor (FinFET) device
US9105490B2 (en) 2012-09-27 2015-08-11 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure of semiconductor device
US9236300B2 (en) 2012-11-30 2016-01-12 Taiwan Semiconductor Manufacturing Company, Ltd. Contact plugs in SRAM cells and the method of forming the same
US9136106B2 (en) 2013-12-19 2015-09-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method for integrated circuit patterning
US9406804B2 (en) 2014-04-11 2016-08-02 Taiwan Semiconductor Manufacturing Company, Ltd. FinFETs with contact-all-around
US9443769B2 (en) 2014-04-21 2016-09-13 Taiwan Semiconductor Manufacturing Company, Ltd. Wrap-around contact
US9831183B2 (en) 2014-08-07 2017-11-28 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure and method of forming
US10020444B2 (en) * 2014-08-29 2018-07-10 Toshiba Memory Corporation Magnetic memory device and method of manufacturing the same
US10727122B2 (en) * 2014-12-08 2020-07-28 International Business Machines Corporation Self-aligned via interconnect structures
US9502466B1 (en) * 2015-07-28 2016-11-22 Taiwan Semiconductor Manufacturing Co., Ltd. Dummy bottom electrode in interconnect to reduce CMP dishing
US9520482B1 (en) 2015-11-13 2016-12-13 Taiwan Semiconductor Manufacturing Company, Ltd. Method of cutting metal gate
US9548366B1 (en) 2016-04-04 2017-01-17 Taiwan Semiconductor Manufacturing Company, Ltd. Self aligned contact scheme
US9780301B1 (en) * 2016-04-15 2017-10-03 Taiwan Semiconductor Manufacturing Company Ltd. Method for manufacturing mixed-dimension and void-free MRAM structure
US20190348511A1 (en) * 2017-03-31 2019-11-14 Intel Corporation Cap layer for metal contacts of a semiconductor device
US11101171B2 (en) * 2019-08-16 2021-08-24 Micron Technology, Inc. Apparatus comprising structures including contact vias and conductive lines, related methods, and memory devices

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW202002343A (zh) * 2018-06-27 2020-01-01 台灣積體電路製造股份有限公司 半導體結構及其形成方法
US20200006424A1 (en) * 2018-06-28 2020-01-02 Intel Corporation Spin orbit torque (sot) memory devices and their methods of fabrication
TW202002113A (zh) * 2018-06-29 2020-01-01 台灣積體電路製造股份有限公司 半導體裝置的製造方法
US20200106013A1 (en) * 2018-09-28 2020-04-02 Intel Corporation Resistive random access memory device and methods of fabrication

Also Published As

Publication number Publication date
CN113161321A (zh) 2021-07-23
TW202209615A (zh) 2022-03-01
US12057397B2 (en) 2024-08-06
US20230095976A1 (en) 2023-03-30

Similar Documents

Publication Publication Date Title
US10504778B2 (en) Composite contact plug structure and method of making same
US7898082B2 (en) Nitrogen rich barrier layers and methods of fabrication thereof
US7154178B2 (en) Multilayer diffusion barrier for copper interconnections
TWI585929B (zh) 積體電路晶片及後段製程金屬化層之製造方法
US10903116B2 (en) Void-free metallic interconnect structures with self-formed diffusion barrier layers
JP2008117853A (ja) 半導体装置およびその製造方法
US10811353B2 (en) Sub-ground rule e-Fuse structure
US11309217B2 (en) Contact plug and method of formation
TWI824228B (zh) 半導體結構及其製造方法
US6870263B1 (en) Device interconnection
US10685915B2 (en) Via contact resistance control
US11521929B2 (en) Capping layer for liner-free conductive structures
TWI767663B (zh) 半導體結構及其形成的方法
CN113451203A (zh) 半导体结构的形成方法
JP3677755B2 (ja) 半導体装置及びその製造方法
US20180308752A1 (en) Middle-of-line local interconnect structures with hybrid features
US11164777B2 (en) Top via with damascene line and via
US11894437B2 (en) Hybrid conductive structures
US20230386915A1 (en) Method for forming a contact plug by bottom-up metal growth
KR20110020484A (ko) 반도체 소자의 금속배선 형성방법
KR20080001461A (ko) 반도체 소자의 알루미늄 배선층 형성방법