TWI761601B - 用於度量光束穩定之系統及方法 - Google Patents

用於度量光束穩定之系統及方法 Download PDF

Info

Publication number
TWI761601B
TWI761601B TW107133638A TW107133638A TWI761601B TW I761601 B TWI761601 B TW I761601B TW 107133638 A TW107133638 A TW 107133638A TW 107133638 A TW107133638 A TW 107133638A TW I761601 B TWI761601 B TW I761601B
Authority
TW
Taiwan
Prior art keywords
measurement
path
illumination
optical
active
Prior art date
Application number
TW107133638A
Other languages
English (en)
Other versions
TW201923477A (zh
Inventor
貝利 布萊森罕
娜安 薩賓恩斯
麥克 費德曼
Original Assignee
美商克萊譚克公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商克萊譚克公司 filed Critical 美商克萊譚克公司
Publication of TW201923477A publication Critical patent/TW201923477A/zh
Application granted granted Critical
Publication of TWI761601B publication Critical patent/TWI761601B/zh

Links

Images

Classifications

    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/8806Specially adapted optical and illumination features
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/17Systems in which incident light is modified in accordance with the properties of the material investigated
    • G01N21/21Polarisation-affecting properties
    • G01N21/211Ellipsometry
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B11/00Measuring arrangements characterised by the use of optical techniques
    • G01B11/02Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B11/00Measuring arrangements characterised by the use of optical techniques
    • G01B11/26Measuring arrangements characterised by the use of optical techniques for measuring angles or tapers; for testing the alignment of axes
    • G01B11/27Measuring arrangements characterised by the use of optical techniques for measuring angles or tapers; for testing the alignment of axes for testing the alignment of axes
    • G01B11/272Measuring arrangements characterised by the use of optical techniques for measuring angles or tapers; for testing the alignment of axes for testing the alignment of axes using photoelectric detection means
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/17Systems in which incident light is modified in accordance with the properties of the material investigated
    • G01N21/21Polarisation-affecting properties
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B26/00Optical devices or arrangements for the control of light using movable or deformable optical elements
    • G02B26/08Optical devices or arrangements for the control of light using movable or deformable optical elements for controlling the direction of light
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B26/00Optical devices or arrangements for the control of light using movable or deformable optical elements
    • G02B26/08Optical devices or arrangements for the control of light using movable or deformable optical elements for controlling the direction of light
    • G02B26/0816Optical devices or arrangements for the control of light using movable or deformable optical elements for controlling the direction of light by means of one or more reflecting elements
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B26/00Optical devices or arrangements for the control of light using movable or deformable optical elements
    • G02B26/08Optical devices or arrangements for the control of light using movable or deformable optical elements for controlling the direction of light
    • G02B26/0875Optical devices or arrangements for the control of light using movable or deformable optical elements for controlling the direction of light by means of one or more refracting elements
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B26/00Optical devices or arrangements for the control of light using movable or deformable optical elements
    • G02B26/08Optical devices or arrangements for the control of light using movable or deformable optical elements for controlling the direction of light
    • G02B26/0875Optical devices or arrangements for the control of light using movable or deformable optical elements for controlling the direction of light by means of one or more refracting elements
    • G02B26/0883Optical devices or arrangements for the control of light using movable or deformable optical elements for controlling the direction of light by means of one or more refracting elements the refracting element being a prism
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B27/00Optical systems or apparatus not provided for by any of the groups G02B1/00 - G02B26/00, G02B30/00
    • G02B27/0025Optical systems or apparatus not provided for by any of the groups G02B1/00 - G02B26/00, G02B30/00 for optical correction, e.g. distorsion, aberration
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B27/00Optical systems or apparatus not provided for by any of the groups G02B1/00 - G02B26/00, G02B30/00
    • G02B27/0075Optical systems or apparatus not provided for by any of the groups G02B1/00 - G02B26/00, G02B30/00 with means for altering, e.g. increasing, the depth of field or depth of focus
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70625Dimensions, e.g. line width, critical dimension [CD], profile, sidewall angle or edge roughness
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70633Overlay, i.e. relative alignment between patterns printed by separate exposures in different layers, or in the same layer in multiple exposures or stitching
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B2210/00Aspects not specifically covered by any group under G01B, e.g. of wheel alignment, caliper-like sensors
    • G01B2210/56Measuring geometric parameters of semiconductor structures, e.g. profile, critical dimensions or trench depth
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/17Systems in which incident light is modified in accordance with the properties of the material investigated
    • G01N21/21Polarisation-affecting properties
    • G01N21/211Ellipsometry
    • G01N2021/213Spectrometric ellipsometry
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/8806Specially adapted optical and illumination features
    • G01N2021/8848Polarisation of light
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N2201/00Features of devices classified in G01N21/00
    • G01N2201/06Illumination; Optics
    • G01N2201/068Optics, miscellaneous
    • G01N2201/0683Brewster plate; polarisation controlling elements

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Optics & Photonics (AREA)
  • Health & Medical Sciences (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Biochemistry (AREA)
  • General Health & Medical Sciences (AREA)
  • Immunology (AREA)
  • Pathology (AREA)
  • Length Measuring Devices By Optical Means (AREA)
  • Investigating Or Analysing Materials By Optical Means (AREA)
  • Investigating Materials By The Use Of Optical Means Adapted For Particular Applications (AREA)

Abstract

本發明描述用於量測一樣品同時藉由一旋轉偏光器元件來主動穩定經受偏光變化之一光學量測光束之方法及系統。藉由基於一聚焦量測光束點之量測而主動控制光束路徑中之一光學元件之位置來補償由一旋轉偏光器元件誘發之該聚焦量測光束點之移動。可採用回饋及前饋兩種控制方案來減小光束位置誤差。在一態樣中,一量測系統包含照明光束路徑、收集光束路徑或照明光束路徑及收集光束路徑兩者中之一旋轉光學偏光器、一光束位置感測器及一主動光束補償元件。由該光束位置感測器偵測光束位置誤差,且將控制命令傳送至該主動光束補償元件以減小量測光束位置誤差。

Description

用於度量光束穩定之系統及方法
所描述之實施例係關於度量系統及方法,且更特定言之,所描述之實施例係關於用於改良量測解析度及較小量測框大小之方法及系統。
通常,藉由施加於一樣品之一系列處理步驟來製造諸如邏輯及記憶體器件之半導體器件。藉由此等處理步驟來形成半導體器件之各種特徵及多個結構層級。例如,其中之微影係涉及在一半導體晶圓上產生一圖案之一半導體製程。半導體製程之額外實例包含(但不限於)化學機械拋光、蝕刻、沈積及離子植入。多個半導體器件可製造於一單一半導體晶圓上且接著分離成個別半導體器件。
執行上文所描述之一微影程序以選擇性地移除覆蓋一晶圓之表面之一光阻材料之部分,藉此曝露光阻劑形成於其上之樣品之下伏區域用於諸如蝕刻、材料沈積、植入及其類似者之選擇性處理。因此,在諸多例項中,微影程序之效能主要判定形成於樣品上之結構之特性(例如尺寸)。因此,微影之趨勢係設計能夠形成具有越來越小尺寸之圖案之系統及組件(例如光阻材料)。
在一半導體製程期間之各種步驟中使用基於光學度量之檢驗程序來偵測晶圓上之缺陷以促成較高良率。光學度量技術可提供無樣本損壞風險之高生產率。已描述包含反射量測、橢偏量測及散射量測實施方案及相關聯之分析演算法之基於光學度量之諸多技術來特性化器件幾何形狀。然而,維持一小量測框大小仍為一挑戰。一小量測框大小在半導體線上產品度量中係尤其重要的,可用於度量目標之面積係極小的。量測框大小係指樣品上之最小面積,其中量測結果穩定且不受光學度量之邊緣效應(例如歸因於光學繞射翼)影響。因此,量測框大小越小,度量目標所需之面積越小。在半導體行業中,當分配給度量目標之晶圓空間受限制(通常,在切割線內或甚至在晶粒內)時,所要框大小規格通常會非常有挑戰,諸如30 μm×30 μm、10 μm×10 μm或甚至更小。
為縮小量測框大小之大小,必須最小化產生於包圍量測目標之區域且到達偵測器之信號資訊量。為最小化非所要信號干擾,必須在量測目標區域之外溢最小之情況下將照明光投射至量測目標上。
必須控制繞射、像差、影像品質及其他限制效應以達成一較小照明點大小。不管經設計以控制量測框大小之既有方法如何,在全量測範圍內達成一小量測框大小規格係非常有挑戰的。
基於光學之諸多量測系統採用旋轉偏光器元件來操縱提供至一樣品之照明光、自樣品收集之光或兩者之偏光。在實際系統中,偏光光學器件之輸入及輸出面不完全平行。此失準通常指稱一楔形。另外,用於約束偏光光學器件之旋轉運動之機械軸承具有有限同心度及偏轉誤差。此引起偏光光學器件圍繞穿過偏光光學器件之一光束之光軸搖晃。楔形誤差及旋轉軸承誤差改變光束相對於系統中之其他光學元件之光學路徑。此本身表現為光學路徑中之各種關鍵位置處之光束定位誤差。例如,就一光譜橢偏儀而言,當旋轉偏光元件時,楔形誤差及旋轉軸承誤差引起光束與一偏光器狹縫、受量測樣品及一光譜儀狹縫失準。當量測點在偏光元件之旋轉期間移動時,量測精確度、準確度及多個工具之間的匹配變差。
為試圖緩解此等問題,已考量各種解決方案。在一些實例中,製造具有非常小楔形容限之偏光光學器件。然而,尤其在合理成本內,可達成之楔形誤差容限存在實際製造限制。另外,即使可製造具有零楔形誤差之一偏光器元件,但環境條件(例如溫度)之變化引起楔角改變以導致量測點在偏光器元件之旋轉期間移動。
在一些實例中,採用改良旋轉軸承來減少由軸承搖晃誘發之量測光束移動。尤其在合理成本內,可達成之偏轉誤差容限亦存在實際製造限制。另外,即使可製造具有完全同心度及零偏轉之軸承,但軸承磨損引起隨時間增大誤差,尤其在經受幾乎持續使用之半導體度量工具之使用年限內。
在一些實例中,使位於一會聚光束之光束路徑中之一偏光器光學器件傾斜以補償楔形誤差。偏光器光學器件之傾斜引起輸出光束線性偏移。在會聚光束之焦點處,線性偏移抵消由楔形引起之角偏移。此方法在準直穿過偏光器光學器件之光束時無效。另外,此方法亦無法在光束路徑中存在兩個旋轉偏光器光學器件時有效。另外,此方法在軸承隨時間磨損或楔形誤差隨溫度改變時無效。
隨著微影及度量系統被逼向較高解析度,量測框大小變成維持器件良率之一限制因數。因此,期望用於達成與各種度量技術相關聯之一小量測框大小之改良方法及系統。
本文描述用於量測一樣品同時藉由一旋轉偏光器元件來主動穩定經受偏光變化之一光學量測光束之方法及系統。藉由基於一聚焦量測光束點之量測而主動控制光束路徑中之一光學元件之位置來補償由一旋轉偏光器元件誘發之該聚焦量測光束點之移動。藉由減少該量測光束點之移動來達成具有一減小量測框大小之一半導體結構之光學量測。
由於主動控制由一旋轉偏光器元件誘發之量測光束移動,所以可增大偏光器元件上之楔形誤差容限及旋轉軸承容限。此提高設計靈活性且降低成本。另外,量測光束移動之主動控制補償諸如溫度變化及軸承磨損之效應。另外,可在量測系統運行時藉由監測量測光束位置及移動來檢查光學系統對準。
在一態樣中,一種量測系統包含照明光束路徑、收集光束路徑或照明光束路徑及收集光束路徑兩者中之一旋轉光學偏光器、一光束位置感測器及一主動光束補償元件。由該光束位置感測器偵測由該旋轉光學偏光器之旋轉運動誘發之光束位置誤差。一運算系統將控制命令傳送至該主動光束補償元件且作為回應,該主動光束補償元件調整量測光束之一位置以減小由該光束位置感測器偵測之光束位置誤差。
在一些實例中,由一運算系統在一回饋控制方案中基於由該光束位置感測器量測之光束位置誤差來控制該主動光束補償元件。
在一些實例中,由一運算系統在一前饋控制方案中基於一旋轉偏光器元件之旋轉定向來控制該主動光束補償元件。
一般而言,可同時採用本文所描述之回饋及前饋兩種控制方案來減小光束位置誤差。
在另一態樣中,可採用一光束位置感測器來量測受量測晶圓之晶圓傾斜及z位置。
上文係一概述且因此必然涉及簡化、一般化及細節省略;因此,熟習技術者應瞭解,[發明內容]僅供說明且絕非意在限制。將在本文所闡述之非限制性詳細描述中明白本文所描述之器件及/或程序之其他態樣、發明特徵及優點。
現將詳細參考背景實例及本發明之一些實施例,附圖中繪示本發明之實例。
本文描述用於量測一樣品同時藉由一旋轉偏光器元件來主動穩定經受偏光變化之一光學量測光束之方法及系統。藉由基於一聚焦量測光束點之量測而主動控制光束路徑中之一光學元件之位置來補償由一旋轉偏光器元件誘發之聚焦量測光束點之移動。藉由減少量測光束點之移動來達成具有一減小量測框大小之一半導體結構之光學量測。
由於主動控制由一旋轉偏光器元件誘發之量測光束移動,所以可增大偏光器元件上之楔形誤差容限及旋轉軸承容限。此提高設計靈活性且降低成本。另外,量測光束移動之主動控制補償諸如溫度變化及軸承磨損之效應。另外,可在量測系統運行時藉由監測量測光束位置及移動來檢查光學系統對準。
圖1繪示用於量測一小量測框大小內之一樣品之特性之一度量工具100之一實施例。如圖1中所描繪,度量系統100可用於在安置於一樣品定位系統107上之一樣品101之一量測區域102上方執行光學量測。
一般而言且如圖1中所描繪,度量工具100包含一照明源108及照明光學元件,照明光學元件經組態以接收由照明源108產生之光且將照明量測光束115導引至樣品101之量測框區域102。舉非限制性實例而言,光學照明源108包含一或多個弧光燈、雷射、發光二極體、雷射驅動電漿源及雷射驅動超連續光源或其任何組合。一般而言,可考量任何適合光學照明源或源組合。在一些實施例中,光學照明源108經組態以產生具有100奈米至2500奈米之間的波長組分之照明光。
照明光學元件經組態以將入射光學照明光束115準直或聚焦至樣品101之量測框區域102。在一些實例中,照明光學元件經組態以使入射照明量測光束115成單色。在一些實施例中,照明光學元件包含一或多個光學反射鏡、聚焦或散焦光學器件(反射或折射)、光學偏光組件(其包含偏光器及波片)、光學孔隙、光學單色器及光束光闌或其任何組合。
收集光學元件收集自樣品101散射、反射、繞射或折射之收集光量且將收集量測光束116導引至偵測器123。照明量測光束及收集量測光束一起構成量測系統之量測光束(即,照明量測光束115及收集量測光束116構成度量系統100之量測光束)。偵測器123產生指示樣品對入射照明光之一回應之輸出信號124。在一些實施例中,當樣品定位系統107定位及定向樣品101以產生角解析散射光學輻射時,由光學偵測器123偵測散射光學輻射。光學偵測器123能夠解析一或多個光子能且產生指示樣品之性質之各光學能組分之信號。在一些實施例中,光學偵測器123係一CCD陣列、一光電二極體陣列、一CMOS偵測器或一光電倍增管之任何者。在一些實施例中,光學偵測器123係一光譜儀且量測資料124包含基於由一光譜儀實施之一或多個取樣程序之樣品之量測光譜回應之一指示。
度量工具100亦包含一運算系統130,其用於獲取由光學偵測器123產生之信號124且至少部分基於所獲取之信號來判定樣品之性質。在一些實施例中,運算系統130經組態以採用即時關鍵尺寸標註(RTCD)來即時存取模型參數,或其可存取預運算模型之庫以判定與樣品101相關聯之至少一樣品參數值之一值。一般而言,某一形式之CD引擎可用於評估一樣品之指定CD參數與相關聯於量測樣品之CD參數之間的差值。KLA-Tencor公司於2010年11月2日發佈之美國專利第7,826,071號(該專利之全部內容以引用的方式併入本文中)中描述用於運算樣品參數值之例示性方法及系統。舉非限制性實例而言,一般可由運算系統130應用不僅與CD相關聯且亦與薄膜、重疊、節距遊動、聚焦/劑量及成分量測相關聯之量測模型來解析樣品參數值。在一些其他實施例中,運算系統130經組態以在不參考一基於實體之參考模型之情況下判定樣品之性質,例如基於信號回應模型之量測或重疊量測。
如圖1中所繪示,度量工具100包含經組態以使樣品101在照明量測光束115下移動之一樣品定位系統107。在一些實施例中,運算系統130將指示樣品101之所要位置之命令信號傳送至樣品定位系統107之運動控制器106。作為回應,運動控制器106產生命令信號至樣品定位系統107之各種致動器以達成樣品101之所要定位。
在圖1所描繪之實施例中,樣品定位系統107包含一晶圓卡盤103、運動控制器106、一旋轉台104及一平移台105及一升降台(圖中未展示)。旋轉台104及平移台105經組態以使樣品101在由座標系129描繪之x-y平面內沿兩個維度平移。升降台經組態以使樣品101在由座標系129描繪之z方向上移位。將樣品101支撐於晶圓卡盤103上。在一些實施例中,樣品101經定位以使其幾何中心與旋轉台104之旋轉軸線大致對準。依此方式,旋轉台104使樣品101在一可接受容限內圍繞其幾何中心依一指定角速度ω自旋。另外,平移台105使樣品101在大致垂直於旋轉台104之旋轉軸線之一方向上依一指定速度VT 平移。運動控制器106協調藉由旋轉台104對樣品101之自旋及藉由平移台105對樣品101之平移以達成系統100內之樣品101之所要掃描運動。
如圖1中所描繪,由照明源108產生之照明光由聚焦光學器件109聚焦至位於偏光器狹縫113處或偏光器狹縫113附近之一焦平面。照明光束穿過旋轉偏光器110 (例如Rochon偏光器)、主動光束補償元件111及偏光器狹縫113。在穿過偏光器狹縫113之後,照明光之偏光光束由照明物鏡114聚焦至樣品101上。由一收集物鏡117收集自樣品101之表面反射、折射、繞射及散射之光之一部分。收集光束116由收集物鏡117聚焦至位於光譜儀狹縫122處或光譜儀狹縫122附近之一焦平面。收集光束116穿過延遲器118、旋轉分析器119、主動光束補償元件120、光譜儀狹縫122且入射於量測系統100之一或多個偵測器123上。
如圖1中所描繪,將度量工具100之量測光束聚焦於以下三個不同中間位置處或其附近:偏光器狹縫113、晶圓101及光譜儀狹縫122。此等焦平面之任何者處之量測光束之移動負面影響量測精確度、準確度及可重複性。在圖1所描繪之實施例中,旋轉偏光元件111之旋轉運動誘發偏光器狹縫113及晶圓101處之量測光束移動。另外,旋轉分析器119之旋轉運動誘發光譜儀狹縫122處之量測光束移動。
圖2係繪示受量測晶圓101之一俯視圖的一圖式。將一所要量測區域109指示為一陰影區域。另外,將由照明量測光束115照射之實際量測區域102描繪於旋轉偏光元件111之四個不同角定向處。如圖2中所描繪,當旋轉偏光元件111之角定向改變時,楔形誤差及旋轉軸承誤差引起實際量測區域102沿一軌跡移動。針對旋轉偏光元件111之四個不同角定向繪示四個不同量測區域102A至102D。一類似光束定位誤差圖案出現於偏光器狹縫113處或偏光器狹縫113附近之焦平面處。
在一態樣中,諸如度量系統100之一量測系統包含照明光束路徑、收集光束路徑或照明光束路徑及收集光束路徑兩者中之一旋轉光學偏光器、一光束位置感測器及一主動光束補償元件。由光束位置感測器偵測由旋轉光學偏光器之旋轉運動誘發之光束位置誤差。諸如運算系統130之一運算系統將控制命令傳送至主動光束補償元件且作為回應,主動光束補償元件調整量測光束之一位置以減小由光束位置感測器偵測之光束位置誤差。
在一些實例中,由一運算系統在一回饋控制方案中基於由光束位置感測器量測之光束位置誤差來控制主動光束補償元件。
在一實施例中,由運算系統130在一回饋控制方案中控制主動光束補償元件111。光束位置感測器112偵測偏光器狹縫113處或偏光器狹縫113附近之照明量測光束115之位置。將由光束位置感測器112產生之輸出信號137傳送至運算系統130。運算系統130繼而在量測光束位置時判定一光束位置誤差(即,量測光束位置與特定例項中之所要光束位置之間的一差值)。另外,運算系統130產生命令信號136至主動光束補償元件111以引起主動光束補償元件111調整光束位置及減小光束位置誤差。
類似地,在一實施例中,由運算系統130在一回饋控制方案中控制主動光束補償元件120。光束位置感測器122偵測光譜儀狹縫121處或光譜儀狹縫121附近之收集量測光束116之位置。將由光束位置感測器122產生之輸出信號125傳送至運算系統130。運算系統130繼而在量測光束位置時判定一光束位置誤差(即,量測光束位置與特定例項中之所要光束位置之間的一差值)。另外,運算系統130產生命令信號126至主動光束補償元件120以引起主動光束補償元件120調整光束位置及減小光束位置誤差。
在一些實例中,由一運算系統在一前饋控制方案中基於一旋轉偏光器元件之旋轉定向來控制主動光束補償元件。在一實例中,量測(例如藉由一光束位置感測器)依據旋轉偏光器元件之旋轉定向而變化之光束位置誤差且無主動校正作為一校準量測之部分。基於量測光束位置誤差來判定依據旋轉定向而變化之減小光束位置誤差之控制命令。將量測誤差、控制命令或量測誤差及控制命令兩者儲存為一函數或儲存於可由運算系統存取之一記憶體中之一查找表中。在操作期間,量測旋轉偏光器元件之定向。運算系統基於量測定向及儲存函數或查找表來判定用於減小光束位置誤差之一控制命令。運算系統將控制命令傳送至主動光束補償元件以減小光束位置誤差。在一些其他實例中,可依一迭代方式執行具有依據旋轉定向而變化之已知誤差校正之校準量測以達成使操作期間之光束位置誤差最小化之依據定向而變化之控制命令。
在一實施例中,由運算系統130在一前饋控制方案中控制主動光束補償元件111。旋轉偏光器元件110之一旋轉定向感測器110'偵測旋轉偏光器元件110之旋轉位置。將由感測器110'產生之輸出信號135傳送至運算系統130。運算系統130繼而在量測光束位置時基於量測定向及特定例項中之一儲存函數或查找表來判定一光束位置控制命令136'。另外,運算系統130將光束位置控制命令136'傳送至主動光束補償元件111以引起主動光束補償元件111調整光束位置及減小光束位置誤差。
類似地,在一實施例中,由運算系統130在一前饋控制方案中控制主動光束補償元件120。旋轉偏光器元件119之一旋轉定向感測器119' (例如旋轉編碼器)偵測旋轉偏光器元件119之旋轉位置。將由感測器119'產生之輸出信號127傳送至運算系統130。運算系統130繼而在量測光束位置時基於量測定向及特定例項中之一儲存函數或查找表來判定一光束位置控制命令126'。另外,運算系統130將光束位置控制命令126'傳送至主動光束補償元件120以引起主動光束補償元件120調整光束位置及減小光束位置誤差。
一般而言,可同時採用本文所描述之回饋及前饋兩種控制方案來減小光束位置誤差。
圖3A及圖3B描繪一實施例中之一光束位置感測器150。光束位置感測器150包含正交配置之四個感光元件151A至151D。舉非限制性實例而言,感光元件可為一電荷耦合器件(CCD)陣列、一矽上互補金屬氧化物(CMOS)器件陣列、一位置敏感偵測器(PSD)器件、一光電倍增管(PMT)陣列及一光電二極體陣列之任何者。另外,光束位置感測器150包含正交配置中間之一孔隙152以允許量測光束穿過。隨著光束位置誤差增大,量測光束153之一部分入射於光束位置感測器150之感光元件之一者上。例如,如圖3A中所描繪,使量測光束153部分透射穿過孔隙152。然而,量測光束153之一大部分入射於感光元件151A上。在此實例中,當光束位置誤差低於一臨限值時,由感光元件151A至151D產生之輸出信號154A至154D指示光束位置誤差E。圖3B描繪在由本文所描述之一主動光束補償元件(例如圖1中所描繪之主動光束補償元件111及120)校正之後穿過光束位置感測器150之孔隙152之量測光束153。在此例項中,光束定位誤差低於由孔隙152之大小設定之臨限值且輸出信號154A至154D指示無光束位置誤差。
一般而言,一光束位置感測器150實際上儘可能接近一量測系統之一焦平面。例如,一光束位置感測器150可位於偏光器狹縫113及光譜儀狹縫121或其附近所在之焦平面處或焦平面附近。實際上,無法將光束位置感測器150完全定位於一焦平面處(例如與偏光器狹縫113及光譜儀狹縫121之機械過盈)。然而,當光束位置感測器150不與一焦平面完全對準時,光束位置感測器150提供關於光束位置之足夠資訊。
圖4A及圖4B描繪一實施例中之一光束位置感測器190。光束位置感測器190包含圍繞允許量測光束穿過之一孔隙192配置之一感光元件191陣列。舉非限制性實例而言,感光元件可為一電荷耦合器件(CCD)陣列、一矽上互補金屬氧化物(CMOS)器件陣列、一位置敏感偵測器(PSD)器件、一光電倍增管(PMT)陣列及一光電二極體陣列之任何者。感光元件191之陣列可組態為一整合陣列或一離散器件陣列。隨著光束位置誤差增大,量測光束193之一部分入射於光束位置感測器190之感光元件之一或多者上。例如,如圖4A中所描繪,量測光束193部分透射穿過孔隙122。然而,量測光束193之一大部分入射於若干感光元件上。在此實例中,由感光元件產生之輸出信號194指示兩個維度上之光束位置誤差(即,x方向上之誤差Ex 及y方向上之誤差Ey )。
圖4B描繪在由本文所描述之一主動光束補償元件(例如圖1中所描繪之主動光束補償元件111及120)校正之後穿過光束位置感測器190之孔隙192之量測光束193。在此例項中,光束定位誤差低於由孔隙192之大小設定之臨限值且輸出信號194指示無光束位置誤差。
一般而言,一光束位置感測器190實際上儘可能接近一量測系統之一焦平面。例如,一光束位置感測器190可位於偏光器狹縫113及光譜儀狹縫121處或其附近所在之焦平面處或焦平面附近。實際上,無法將光束位置感測器190完全定位於一焦平面處(例如與偏光器狹縫113及光譜儀狹縫121機械過盈)。然而,當光束位置感測器150不與一焦平面完全對準時,光束位置感測器190提供關於光束位置之足夠資訊。
圖5描繪一實施例中之一光束位置感測器160。光束位置感測器160包含量測光束M之光學路徑中之一光束轉向元件161。例示性光束轉向元件包含諸如一未塗膜窗之一「選截(pick-off)」鏡。可由熔矽石或氟化鈣製造一適合未塗膜窗。在圖5所描繪之實例中,光束轉向元件161係一傾斜未塗膜窗。如圖5中所描繪,準直入射於光束轉向元件161上之量測光束且窗161透射約92%之光。透射光穿過聚焦光學器件166以將量測光束聚焦於相距一焦距D之一焦平面167處。自光束轉向元件161反射之光之一部分由分束元件162反射且由聚焦光學器件165聚焦至相距焦距D之光束位置偵測器164上。光束位置偵測器164上之光束之移動指示聚焦光學器件165處之光束入射角,其匹配量測光束在聚焦光學器件166處之光束入射角。因此,光束位置偵測器164產生指示量測光束在聚焦光學器件166處之光束入射角之輸出信號169。
在另一態樣中,透射穿過分束元件162之光入射於光束位置偵測器163上。入射於光束位置偵測器163上之光束之移動指示量測光束之位置(例如(x, y)位置)。光束位置偵測器163產生指示量測光束之位置之輸出信號168。依此方式,光束位置感測器160偵測量測光束之光束角度及光束位置兩者。在一些實施例中,一量測系統包含經配置以基於輸出信號168來校正光束位置之一主動光束補償元件及經配置以基於輸出信號169來校正光束角度之另一主動光束補償元件。此實現比可藉由僅校正光束角度或光束位置來實現之對準誤差校正大之對準誤差校正。
一般而言,光束位置感測器160可經配置以僅量測光束角度或替代地,僅量測光束位置。例如,光束位置感測器160可經配置以藉由將分束元件162替換為一反射鏡且去除光束位置偵測器163來僅量測光束角度。在另一實例中,光束位置感測器160可經配置以藉由去除分束元件162、聚焦光學器件165及光束位置偵測器164來僅量測光束位置。
舉非限制性實例而言,光束位置偵測器163及164可組態為一電荷耦合器件(CCD)陣列、一矽上互補金屬氧化物(CMOS)器件陣列、一位置敏感偵測器(PSD)器件、一光電倍增管(PMT)陣列及一光電二極體陣列。
在另一態樣中,光束位置感測器160可作為度量工具100之部分用於量測受量測晶圓之晶圓傾斜及z位置。光束位置偵測器163及164處所量測之光束位置除對由一旋轉偏光元件誘發之光束誤差敏感之外,亦對晶圓傾斜及z位置敏感。在一些實例中,藉由上文所描述之基於一校準模型或查找表之前饋控制來大幅減小由旋轉偏光元件誘發之光束誤差。在校準由旋轉偏光元件誘發之光束誤差之後,由光束位置偵測器163及164偵測之額外光束誤差指示晶圓傾斜及z位置誤差。依此方式,由運算系統130採用輸出信號168及169來估計量測期間之z位置誤差及晶圓傾斜。
光束位置感測器160有利地用作為z位置誤差及晶圓傾斜之一指示器,因為指示z位置誤差及晶圓傾斜之誤差直接自量測工具之量測光束而非一單獨光學系統量測。此顯著減少由一單獨光學系統量測z位置誤差及晶圓傾斜引起之漂移及穩定性問題。
圖6A至圖6D描繪一實施例中之一主動光束補償元件145。主動光束補償元件145包含一可移動反射鏡元件、反射鏡致動器142及驅動器141。自一運算系統(例如運算系統130)接收命令信號143。回應於命令信號143,驅動器141控制用於定位反射鏡元件140之致動器142之運動。適合致動器包含壓電致動器、電動導螺桿等等。在一些實施例中,使用一運動座來將反射鏡元件140耦合至致動器142以減小機械過約束之可能性及反射鏡元件140變形之可能性。
如圖6A至圖6D中所描繪,主動光束補償元件145位於量測光束M之光學路徑中。量測光束M穿過包含一楔形誤差之旋轉偏光器元件144。如圖6A中所描繪,楔形誤差誘發一光束角誤差α。圖6A繪示主動光束補償元件145未採取校正動作之一方案。在此方案中,光束角誤差α不斷傳播。圖6B繪示主動光束補償元件145接收命令信號143以引起致動器140使反射鏡140旋轉一角度α/2之一方案。反射鏡元件140之此定向變化補償由旋轉偏光器元件144誘發之光束角誤差α。圖6C及圖6D描繪與圖6A及圖6B之定向相反之一定向處之旋轉偏光器元件。因此,楔形誤差誘發一光束角誤差-α。圖6C繪示主動光束補償元件145未採取校正動作之一方案。在此方案中,光束角誤差-α不斷傳播。圖6D繪示主動光束補償元件145接收命令信號143以引起致動器140使反射鏡140旋轉一角度-α/2之一方案。反射鏡元件140之此定向變化補償由旋轉偏光器元件144誘發之光束角誤差-α。
圖7A至圖7B描繪一實施例中之一主動光束補償元件170。主動光束補償元件170包含一可移動透鏡元件171、透鏡致動器172及驅動器173。自一運算系統(例如運算系統130)接收命令信號175。回應於命令信號175,驅動器173控制用於定位透鏡元件171之致動器172之運動。適合致動器包含壓電致動器、電動導螺桿等等。在一些實施例中,使用一運動座來將透鏡元件171耦合至致動器172以減小機械過約束之可能性及反射鏡元件171變形之可能性。
如圖7A至圖7B中所描繪,主動光束補償元件170位於量測光束M之光學路徑中。量測光束M穿過包含一楔形誤差之旋轉偏光器元件174。如圖7A中所描繪,該楔形誤差誘發一光束角誤差α。圖7A繪示主動光束補償元件170未採取校正動作之一方案。在此方案中,光束角誤差α不斷傳播。圖7B繪示主動光束補償元件170接收命令信號175以引起致動器172平移透鏡元件171使得透鏡元件之中心軸線自光束M之中心軸線偏移一指定量之一方案。透鏡元件171相對於量測光束M之此橫向移動補償由旋轉偏光器元件144誘發之光束角誤差α。
圖8A至圖8B描繪一實施例中之一主動光束補償元件180。主動光束補償元件180包含兩個相同但相對之楔形光學元件(例如Risley稜鏡) 181A及181B、分別耦合至光學元件181A及181B之旋轉致動器182A及182B及驅動器183。自一運算系統(例如運算系統130)接收命令信號185。回應於命令信號185,驅動器183控制用於分別定位楔形元件181A及181B之致動器182A及182B之運動。
如圖8A至圖8B中所描繪,主動光束補償元件180位於量測光束M之光學路徑中。量測光束M穿過包含一楔形誤差之旋轉偏光器元件184。如圖8A中所描繪,楔形誤差誘發一光束角誤差α。圖8A繪示主動光束補償元件180未採取校正動作之一方案。在此方案中,光束角誤差α不斷傳播。圖8B繪示主動光束補償元件180接收命令信號185以引起致動器182A及182B旋轉楔形元件181A及181B以補償由旋轉偏光器元件144誘發之光束角誤差α之一方案。
儘管圖1描繪照明光束路徑及收集光束路徑兩者中之一旋轉光學偏光器、一光束位置感測器及一主動光束補償元件,但一般而言,一旋轉光學偏光器、一光束位置感測器及一主動光束補償元件可僅位於照明光束路徑或收集光束路徑中。一般而言,一光束補償元件及一光束位置感測器可位於一旋轉光學偏光器元件之後之量測系統之光學路徑中之任何位置以校正由旋轉偏光器元件誘發之光束定位誤差。一般而言,一旋轉光學偏光器元件包含更改透射穿過光學元件之光之偏光之任何旋轉光學元件。舉非限制性實例而言,一旋轉光學偏光器元件包含通常指稱一旋轉偏光器、一旋轉補償器、一旋轉延遲器、一旋轉分析器、一旋轉波片等等之任何旋轉光學偏光器元件。
圖9繪示適合於由一度量系統(例如圖1中所繪示之度量系統100)實施之一方法200。在一態樣中,應認識到,可經由運算系統130之一或多處理器執行之一預程式化演算法來實施方法200之資料處理區塊。儘管在度量系統100之背景中呈現方法200之以下描述,但在此應認識到,度量系統100之特定結構態樣不表示限制,而是應被解譯為僅供說明。
在區塊201中,沿一照明光束路徑將一量測光束投射至受量測之一樣品。
在區塊202中,回應於入射量測光束而自樣品之表面收集一收集光量。
在區塊203中,沿一收集光束路徑將量測光束自樣品投射至一偵測器。
在區塊204中,產生指示樣品對入射照明量測光束之一回應之複數個輸出信號。
在區塊205中,在量測光束之一光學路徑中之一第一位置處變動量測光束之一旋轉偏光。
在區塊206中,在光學路徑中之一第二位置處量測量測光束之一位置變動。當量測光束在光學路徑中傳播時,光學路徑中之第二位置位於第一位置之後。
在區塊207中,在光學路徑中之一第三位置處調整量測光束之一位置以減小量測光束之位置變動。當量測光束在光學路徑中傳播時,第三位置位於第一位置與第二位置之間。
應認識到,可由一單電腦系統130或替代地,一多電腦系統130實施本發明中所描述之各種步驟。再者,系統100之不同子系統(諸如樣品定位系統107)可包含適合於實施本文所描述之步驟之至少一部分之一電腦系統。因此,以上描述不應被解譯為本發明之一限制,而是僅為一說明。此外,一或多個運算系統130可經組態以執行本文所描述之方法實施例之任何者之(若干)任何其他步驟。
另外,電腦系統130可依本技術中已知之任何方式通信地耦合至光學偵測器123、旋轉光學偏光器元件110及119、主動光束補償元件111及120及光束位置感測器112及122。例如,一或多個運算系統130可耦合至與光學偵測器123、旋轉光學偏光器元件110及119、主動光束補償元件111及120及光束位置感測器112及122相關聯之運算系統。在另一實例中,光學偵測器123、旋轉光學偏光器元件110及119、主動光束補償元件111及120及光束位置感測器112及122之任何者可由耦合至電腦系統130之一單電腦系統直接控制。
電腦系統130可經組態以自系統之子系統(例如光學偵測器123、旋轉光學偏光器元件110及119、主動光束補償元件111及120及光束位置感測器112及122、160及其類似者)藉由可包含有線及/或無線部分之一傳輸媒體來接收及/或獲取資料或資訊。依此方式,傳輸媒體可充當電腦系統130與系統100之其他子系統之間的一資料鏈路。
度量系統100之電腦系統130可經組態以自其他系統藉由可包含有線及/或無線部分之一傳輸媒體來接收及/或獲取資料或資訊(例如量測結果、模型化輸入、模型化結果等等)。依此方式,傳輸媒體可充當電腦系統130與其他系統(例如記憶體板載度量系統100、外部記憶體或外部系統)之間的一資料鏈路。例如,運算系統130可經組態以自一儲存媒體(即,記憶體132或一外部記憶體)經由一資料鏈路接收量測資料(例如信號124)。在一實例中,使用光學偵測器123之一光譜儀所獲得之光譜結果可儲存於一永久或半永久記憶體器件(例如記憶體132或一外部記憶體)中。在另一實例中,由電腦系統130或另一運算系統判定之主動光束補償元件111及120之所要狀態(其分別依據旋轉光學偏光器元件110及119之旋轉位置而變化)可儲存於一永久或半永久記憶體器件(例如記憶體132或一外部記憶體)中。據此而言,所要狀態可自板載記憶體或一外部記憶體系統輸入。再者,電腦系統130可經由一傳輸媒體將資料發送至其他系統。例如,由電腦系統130或另一運算系統判定之主動光束補償元件111及120之所要狀態(其分別依據旋轉光學偏光器元件110及119之旋轉位置而變化)可儲存於一永久或半永久記憶體器件(例如記憶體132或一外部記憶體)中。據此而言,結果可輸出至另一系統。
運算系統130可包含(但不限於)個人電腦系統、主機電腦系統、工作站、影像電腦、並行處理器或本技術中已知之任何其他器件。一般而言,術語「運算系統」可被廣義界定為涵蓋具有一或多個處理器之任何器件,該一或多個處理器執行來自一記憶體媒體之指令。
可經由諸如一導線、電纜或無線傳輸鏈路之一傳輸媒體傳輸實施諸如本文所描述之方法之方法之程式指令134。例如,如圖1中所繪示,經由匯流排133將儲存於記憶體132中之程式指令傳輸至處理器131。程式指令134儲存於一電腦可讀媒體(例如記憶體132)中。例示性電腦可讀媒體包含唯讀記憶體、一隨機存取記憶體、一磁碟或光碟或一磁帶。
一般而言,各種度量系統架構獲益於由量測光束之光學路徑中之旋轉偏光器元件誘發之光束運動之主動補償實現之一減小量測框大小。在一些實例中,使用本文所描述之方法及裝置來達成具有多個入射角之度量架構之一小度量框大小。此等包含(但不限於)其標準或穆勒矩陣(MMSE)實施方案中之多AOI光譜橢偏量測(SE)、多AOI光譜反射量測、光束輪廓反射量測(BPR)、單波長橢偏量測、光束輪廓橢偏量測(BPE)(其中BPR或BPE技術用於一維或二維角解析實施方案中)、角解析散射量測及光譜散射量測。
然而,一般而言,本文所描述之方法及裝置可與所有已知光學度量工具個別相容或作為一組合量測分析之部分與所有已知光學度量工具組合相容。此等光學度量技術包含(但不限於)光譜橢偏量測、光譜反射量測、角解析反射量測及橢偏量測、光譜散射量測、散射量測覆蓋、光束輪廓反射量測(角及偏光解析)、光束輪廓橢偏量測、單波長或多離散波長橢偏量測、多入射角橢偏量測及光譜偏光測定等等。一般而言,可考量可適用於半導體結構之特性化之任何度量技術,其包含基於影像之度量技術。
在一些實例中,本文所描述之用於達成一小量測框大小之裝置及方法可結合諸如由以下各者描述之既有聚焦光束橢偏儀系統使用:1) KLA-Tencor公司於1997年3月4日發佈之名稱為「Focused beam spectroscopic ellipsometry method and system」之美國專利第5,608,526號,其內容以宛如全文闡述引用的方式併入本文中;及2) KLA-Tencor公司於1999年1月12日發佈之名稱為「Apodizing filter system useful for reducing spot size in optical measurements and other applications」之美國專利第5,859,424號,其內容以宛如全文闡述引用的方式併入本文中。
本文所描述之用於達成一小度量框大小之方法及裝置用於CD度量、薄膜度量、形狀度量及成分度量。然而,此等應用不具限制性,本文所描述之方法亦用於覆蓋度量應用、節距遊動量測應用、聚焦及劑量監測應用、蝕刻監測應用、微影應用等等。
一般而言,本專利文件中所描述之方法及裝置亦可實施為一製程及/或製程工具之部分。製程工具之實例包含(但不限於)微影曝光工具、膜沈積工具、植入工具及蝕刻工具。依此方式,量測結果用於控制一製程。在一實例中,根據本文所描述之方法及裝置自一或多個目標收集之量測資料由一微影工具用於控制聚焦及劑量。在另一實例中,根據本文所描述之方法及裝置自一或多個目標收集之量測資料由一蝕刻工具用於控制諸如蝕刻時間之蝕刻程序參數。
如本文所描述,術語「關鍵尺寸」包含一結構之任何關鍵尺寸(例如底部關鍵尺寸、中間關鍵尺寸、頂部關鍵尺寸、側壁角、光柵高度等等)、任何兩個或兩個以上結構之間的一關鍵尺寸(例如兩個結構之間的距離)及兩個或兩個以上結構之間的一位移(例如重疊光柵結構之間的重疊位移等等)。結構可包含三維結構、圖案化結構、重疊結構等等。
如本文所描述,術語「關鍵尺寸應用」或「關鍵尺寸量測應用」包含任何關鍵尺寸量測。
如本文所描述,術語「度量系統」包含至少部分用於特性化任何態樣(其包含關鍵尺寸應用及重疊度量應用)中之一樣品之任何系統。然而,此等技術術語不限制本文所描述之術語「度量系統」之範疇。另外,度量系統100可經組態以用於量測圖案化晶圓及/或未圖案化晶圓。度量系統可組態為LED檢驗工具、太陽能檢驗工具、邊緣檢驗工具、背側檢驗工具、宏觀檢驗工具或多模式檢驗工具(涉及同時來自一或多個平台之資料)及獲益於基於關鍵尺寸資料之系統參數之校準之任何其他度量或檢驗工具。
本文描述可用於處理一樣品之一半導體處理系統(例如一檢驗系統或一微影系統)之各種實施例。術語「樣品」在本文中用於係指一晶圓、一光罩或可由本技術中已知之構件處理(例如印刷或檢驗缺陷)之任何其他樣本。
如本文所描述,術語「晶圓」一般係指由一半導體或非半導體材料形成之基板。實例包含(但不限於)單晶矽、砷化鎵及磷化銦。此等基板可常見及/或被處理於半導體製造設施中。在一些情況中,一晶圓可僅包含基板(即,裸晶圓)。替代地,一晶圓可包含形成於一基板上之一或多個不同材料層。形成於一晶圓上之一或多個層可「經圖案化」或「未經圖案化」。例如,一晶圓可包含具有可重複圖案特徵之複數個晶粒。
一「光罩」可為一光罩製程之任何階段中之一光罩或可或可不被釋放以用於一半導體製造設施中之一完成光罩。一光罩或一「遮罩」大體上界定為具有形成於其上且組態成一圖案之實質上不透明區域之一實質上透明基板。基板可包含(例如)諸如非晶SiO2 之一玻璃材料。一光罩可在一微影程序之一曝光步驟期間安置於一光阻覆蓋晶圓上方,使得光罩上之圖案可轉印至光阻劑。
形成於一晶圓上之一或多個層可經圖案化或未經圖案化。例如,一晶圓可包含各具有可重複圖案特徵之複數個晶粒。此等材料層之形成及處理可最終導致完成器件。諸多不同類型之器件可形成於一晶圓上,且本文所使用之術語「晶圓」意欲涵蓋本技術中已知之任何類型之器件製造於其上之一晶圓。
在一或多個例示性實施例中,所描述之功能可實施於硬體、軟體、韌體或其任何組合中。若實施於軟體中,則功能可儲存於一電腦可讀媒體上或傳輸為一電腦可讀媒體上之一或多個指令或程式碼。電腦可讀媒體包含電腦儲存媒體及通信媒體,通信媒體包含促進一電腦程式自一位置轉移至另一位置之任何媒體。一儲存媒體可為可由一通用或專用電腦存取之任何可用媒體。舉例而言且不限於,此等電腦可讀媒體可包括RAM、ROM、EEPROM、CD-ROM或其他光碟儲存器、磁碟儲存器或其他磁性儲存器件或可用於攜載或儲存呈指令或資料結構之形式之所要程式碼構件且可由一通用或專用電腦或一通用或專用處理器存取之任何其他媒體。另外,任何連接被適當稱為一電腦可讀媒體。例如,若使用同軸電纜、光纖電纜、雙絞線、數位用戶線(DSL)或無線技術(諸如紅外線、無線電及微波)自網站、伺服器或其他遠端源傳輸軟體,則同軸電纜、光纖電纜、雙絞線、DSL或無線技術(諸如紅外線、無線電及微波)包含於媒體之定義中。如本文所描述,磁碟及光碟包含壓縮光碟(CD)、雷射光碟、光學碟片、數位多功能光碟(DVD)、軟碟及藍光光碟,其中磁碟通常磁性地複製資料,而光碟使用雷射來光學地複製資料。上述之組合亦應包含於電腦可讀媒體之範疇內。
儘管上文已為了教學而描述某些特定實施例,但本專利文件之教示具有一般適用性且不受限於上述特定實施例。因此,可在不背離申請專利範圍中所闡述之本發明之範疇之情況下實踐所描述之實施例之各種特徵之各種修改、適應及組合。
100‧‧‧度量工具/度量系統/量測系統101‧‧‧樣品/晶圓102‧‧‧量測區域/量測框區域102A至102D‧‧‧量測區域103‧‧‧晶圓卡盤104‧‧‧旋轉台105‧‧‧平移台106‧‧‧運動控制器107‧‧‧樣品定位系統108‧‧‧照明源109‧‧‧聚焦光學器件110‧‧‧旋轉偏光器元件110'‧‧‧旋轉定向感測器111‧‧‧主動光束補償元件112‧‧‧光束位置感測器113‧‧‧偏光器狹縫114‧‧‧照明物鏡115‧‧‧照明量測光束116‧‧‧收集量測光束117‧‧‧收集物鏡118‧‧‧延遲器119‧‧‧旋轉分析器/旋轉偏光器元件119'‧‧‧旋轉定向感測器120‧‧‧主動光束補償元件121‧‧‧光譜儀狹縫122‧‧‧光束位置感測器123‧‧‧偵測器124‧‧‧輸出信號/量測資料125‧‧‧輸出信號126‧‧‧命令信號126'‧‧‧光束位置控制命令127‧‧‧輸出信號128‧‧‧所要量測區域129‧‧‧座標系130‧‧‧運算系統/電腦系統131‧‧‧處理器132‧‧‧記憶體133‧‧‧匯流排134‧‧‧程式指令135‧‧‧輸出信號136‧‧‧命令信號136'‧‧‧光束位置控制命令137‧‧‧輸出信號140‧‧‧反射鏡元件141‧‧‧驅動器142‧‧‧反射鏡致動器143‧‧‧命令信號144‧‧‧旋轉偏光器元件145‧‧‧主動光束補償元件150‧‧‧光束位置感測器151A至151D‧‧‧感光元件152‧‧‧孔隙153‧‧‧量測光束154A至154D‧‧‧輸出信號160‧‧‧光束位置感測器161‧‧‧光束轉向元件/窗162‧‧‧分束元件163‧‧‧光束位置偵測器164‧‧‧光束位置偵測器165‧‧‧聚焦光學器件166‧‧‧聚焦光學器件167‧‧‧焦平面168‧‧‧輸出信號169‧‧‧輸出信號170‧‧‧主動光束補償元件171‧‧‧透鏡元件172‧‧‧透鏡致動器173‧‧‧驅動器174‧‧‧旋轉偏光器元件175‧‧‧命令信號180‧‧‧主動光束補償元件181A‧‧‧楔形光學元件181B‧‧‧楔形光學元件182A‧‧‧旋轉致動器182B‧‧‧旋轉致動器183‧‧‧驅動器184‧‧‧旋轉偏光器元件185‧‧‧命令信號190‧‧‧光束位置感測器191‧‧‧感光元件192‧‧‧孔隙193‧‧‧量測光束194‧‧‧輸出信號200‧‧‧方法201‧‧‧區塊202‧‧‧區塊203‧‧‧區塊204‧‧‧區塊205‧‧‧區塊206‧‧‧區塊207‧‧‧區塊D‧‧‧焦距E‧‧‧光束位置誤差 Ex‧‧‧x方向上之誤差 Ey‧‧‧y方向上之誤差 M‧‧‧量測光束 VT‧‧‧指定速度 α‧‧‧光束角誤差 -α‧‧‧光束角誤差 ω‧‧‧指定角速度
圖1繪示用於量測一小量測框大小內之一樣品之特性之一度量工具100之一實施例。
圖2係繪示受量測之晶圓101之一俯視圖的一圖式。
圖3A描繪部分透射穿過一孔隙及部分由正交配置之一光束位置感測器量測之一量測光束。
圖3B描繪在由一主動光束補償元件校正之後穿過一孔隙之一量測光束。
圖4A描繪部分透射穿過一孔隙及部分由一感光元件陣列量測之一量測光束。
圖4B描繪在由一主動光束補償元件校正之後穿過一孔隙之一量測光束。
圖5描繪一實施例中之一光束位置感測器160。
圖6A描繪由無誤差校正之一楔形誤差誘發之一光束角誤差α。
圖6B描繪一實施例中之由具有誤差校正之一楔形誤差誘發之一光束角誤差α。
圖6C描繪由無誤差校正之一楔形誤差誘發之一光束角誤差-α。
圖6D描繪一實施例中之由具有誤差校正之一楔形誤差誘發之一光束角誤差-α。
圖7A描繪由無誤差校正之一楔形誤差誘發之一光束角誤差α。
圖7B描繪另一實施例中之由具有校正之一楔形誤差誘發之一光束角誤差α。
圖8A描繪由無校正之一楔形誤差誘發之一光束角誤差α。
圖8B描繪又一實施例中之由具有校正之一楔形誤差誘發之一光束角誤差α。
圖9描繪用於校正由本文所描述之旋轉偏光器元件誘發之光束誤差之一方法之一流程圖200。
100‧‧‧度量工具/度量系統/量測系統
101‧‧‧樣品/晶圓
102‧‧‧量測區域/量測框區域
103‧‧‧晶圓卡盤
104‧‧‧旋轉台
105‧‧‧平移台
106‧‧‧運動控制器
107‧‧‧樣品定位系統
108‧‧‧照明源
109‧‧‧聚焦光學器件
110‧‧‧旋轉偏光器元件
110'‧‧‧旋轉定向感測器
111‧‧‧主動光束補償元件
112‧‧‧光束位置感測器
113‧‧‧偏光器狹縫
114‧‧‧照明物鏡
115‧‧‧照明量測光束
116‧‧‧收集量測光束
117‧‧‧收集物鏡
118‧‧‧延遲器
119‧‧‧旋轉分析器/旋轉偏光器元件
119'‧‧‧旋轉定向感測器
120‧‧‧主動光束補償元件
121‧‧‧光譜儀狹縫
122‧‧‧光束位置感測器
123‧‧‧偵測器
124‧‧‧輸出信號/量測資料
125‧‧‧輸出信號
126‧‧‧命令信號
126'‧‧‧光束位置控制命令
127‧‧‧輸出信號
129‧‧‧座標系
130‧‧‧運算系統/電腦系統
131‧‧‧處理器
132‧‧‧記憶體
133‧‧‧匯流排
134‧‧‧程式指令
135‧‧‧輸出信號
136‧‧‧命令信號
136'‧‧‧光束位置控制命令
137‧‧‧輸出信號
VT‧‧‧指定速度
α‧‧‧光束角誤差
ω‧‧‧指定角速度

Claims (21)

  1. 一種量測系統,其包括:一照明源,其經組態以產生一照明光量;一或多個照明光學元件,其經組態以自該照明源接收該照明光量且沿一照明光束路徑將一照明量測光束投射至受量測之一樣品;一偵測器,其經組態以產生指示該樣品對該入射照明量測光束之一回應之複數個輸出信號;一或多個收集光學元件,其經組態以自該樣品之表面收集一收集光量且沿一收集光束路徑將一收集量測光束自該樣品投射至該偵測器;一旋轉光學偏光器元件,其位於該照明光束路徑、該收集光束路徑或該照明光束路徑及該收集光束路徑兩者中;一光束位置感測器,其位於該旋轉光學偏光器元件之後之該照明光束路徑、該收集光束路徑或該照明光束路徑及該收集光束路徑兩者中,其中該光束位置感測器產生指示該量測光束之一位置之輸出信號;一主動光束補償元件,其位於該旋轉光學偏光器元件與該光束位置感測器之間的該照明光束路徑、該收集光束路徑或該照明光束路徑及該收集光束路徑兩者中;及一運算系統,其經組態以:接收指示該量測光束之該位置之該等輸出信號;及將一命令信號傳送至該主動光束補償元件以引起該主動光束補償元件朝向由該光束位置感測器量測之一所要位置調整該量測光束之一位置。
  2. 如請求項1之量測系統,其中該主動光束補償元件係在兩個旋轉自由度上獨立致動之一反射鏡元件。
  3. 如請求項1之量測系統,其中該主動光束補償元件係在兩個平移自由度上獨立致動之一透鏡元件。
  4. 如請求項1之量測系統,其中該主動光束補償元件包含各在一旋轉自由度上獨立致動之一對Risley稜鏡。
  5. 如請求項1之量測系統,其中該光束位置感測器係具有正交配置之四個光學感測器及以該四個光學元件之相交點為中心之一孔隙之一正交感測器。
  6. 如請求項1之量測系統,其中該光束位置感測器包含圍繞該照明量測光束、該收集量測光束或該照明量測光束及該收集量測光束兩者之一軸線配置之複數個感光元件。
  7. 如請求項6之量測系統,其中該光束位置感測器包含一電荷耦合器件(CCD)陣列、一矽上互補金屬氧化物(CMOS)器件陣列、一位置敏感偵測器(PSD)器件、一光電倍增管(PMT)陣列及一光電二極體陣列之任何者。
  8. 如請求項1之量測系統,其中該光束位置感測器包含: 一第一光束位置偵測器;一聚焦光學元件;及一光束轉向元件,其安置於該照明光學路徑、該收集光學路徑或該照明光學路徑及該收集光學路徑兩者中,該光束轉向元件透射該入射光束之一相對較大部分且透過該聚焦光學元件將該入射光束之一相對較小部分反射向該第一光束位置偵測器。
  9. 如請求項8之量測系統,其中該光束位置感測器亦包含:一第二光束位置偵測器;及一分束元件,其中該分束元件透過該聚焦元件導引該反射光束之一第一部分朝向該第一光束位置偵測器及導引該反射光束之一第二部分朝向該第二光束位置偵測器。
  10. 如請求項1之量測系統,其中該光束位置感測器及該主動光束補償元件安置於該收集光束路徑中。
  11. 如請求項1之量測系統,其中該光束位置感測器及該主動光束補償元件安置於該照明光束路徑中。
  12. 如請求項1之量測系統,其中該量測系統係一光譜橢偏儀。
  13. 如請求項1之量測系統,其中該量測系統經組態以執行膜度量、成分度量、關鍵尺寸度量、形狀度量及重疊度量之任何者。
  14. 如請求項1之量測系統,其進一步包括:一旋轉位置感測器,其經組態以量測該旋轉光學偏光器元件之一旋轉位置,該運算系統經進一步組態以:將一命令信號傳送至該主動光束補償元件以引起該主動光束補償元件基於該旋轉光學偏光器元件之該量測旋轉位置來朝向該量測光束之一所要位置調整該量測光束之一位置。
  15. 一種用於度量光束穩定之方法,其包括:沿一照明光束路徑將一量測光束投射至受量測之一樣品;回應於該入射量測光束而自該樣品之表面收集一收集光量;沿一收集光束路徑將該量測光束自該樣品投射至一偵測器;產生指示該樣品對該入射照明量測光束之一回應之複數個輸出信號;在該量測光束之一光學路徑中之一第一位置處變動該量測光束之一旋轉偏光;在該光學路徑中之一第二位置處量測該量測光束之一位置變動,其中當該量測光束在該光學路徑中傳播時,該光學路徑中之該第二位置位於該第一位置之後;在該光學路徑中之一第三位置處調整該量測光束之一位置以減小該量測光束之該位置變動,其中當該量測光束在該光學路徑中傳播時,該第三位置位於該第一位置與該第二位置之間。
  16. 如請求項15之方法,其中調整該量測光束之該位置以減小該量測光束之該位置變動係基於該量測光束之該位置變動之該量測。
  17. 如請求項15之方法,其進一步包括:量測變動該量測光束之該旋轉偏光之一旋轉光學偏光器元件之一旋轉位置,其中調整該量測光束之該位置以減小該量測光束之該位置變動係基於該旋轉光學偏光器元件之該旋轉位置之該量測。
  18. 一種量測系統,其包括:一旋轉光學偏光器元件,其位於該量測系統之一光束路徑中;一光束位置感測器,其位於該旋轉光學偏光器元件之後之該光束路徑中,其中該光束位置感測器產生指示該光束之一位置之輸出信號;一主動光束補償元件,其位於該旋轉光學偏光器元件與該光束位置感測器之間的該光束路徑中;及一運算系統,其經組態以:接收指示該光束之該位置之該等輸出信號;及將一命令信號傳送至該主動光束補償元件以引起該主動光束補償元件朝向由該光束位置感測器量測之一所要位置調整該光束之一位置。
  19. 如請求項18之量測系統,其中該主動光束補償元件係以下之任何者:在兩個旋轉自由度上獨立致動之一反射鏡元件、在兩個平移自由度上獨立致動之一透鏡元件及各在一旋轉自由度上獨立致動之一對Risley稜 鏡。
  20. 如請求項18之量測系統,其中該光束位置感測器包含:一第一光束位置偵測器;一聚焦光學元件;一光束轉向元件,其安置於該光束路徑中,該光束轉向元件透射該入射光束之一相對較大部分且透過該聚焦光學元件將該入射光束之一相對較小部分反射向該第一光束位置偵測器;一第二光束位置偵測器;及一分束元件,其中該分束元件透過該聚焦元件導引該反射光束之一第一部分朝向該第一光束位置偵測器及導引該反射光束之一第二部分朝向該第二光束位置偵測器。
  21. 如請求項18之量測系統,其進一步包括:一旋轉位置感測器,其經組態以量測該旋轉光學偏光器元件之一旋轉位置,該運算系統經進一步組態以:將一命令信號傳送至該主動光束補償元件以引起該主動光束補償元件基於該旋轉光學偏光器元件之該量測旋轉位置來朝向該光束之一所要位置調整該光束之一位置。
TW107133638A 2017-09-26 2018-09-25 用於度量光束穩定之系統及方法 TWI761601B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201762563580P 2017-09-26 2017-09-26
US62/563,580 2017-09-26
US16/033,511 2018-07-12
US16/033,511 US10365211B2 (en) 2017-09-26 2018-07-12 Systems and methods for metrology beam stabilization

Publications (2)

Publication Number Publication Date
TW201923477A TW201923477A (zh) 2019-06-16
TWI761601B true TWI761601B (zh) 2022-04-21

Family

ID=65808893

Family Applications (1)

Application Number Title Priority Date Filing Date
TW107133638A TWI761601B (zh) 2017-09-26 2018-09-25 用於度量光束穩定之系統及方法

Country Status (6)

Country Link
US (1) US10365211B2 (zh)
JP (1) JP7085630B2 (zh)
KR (1) KR102393741B1 (zh)
CN (1) CN111094950B (zh)
TW (1) TWI761601B (zh)
WO (1) WO2019067331A1 (zh)

Families Citing this family (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10439716B2 (en) * 2017-09-14 2019-10-08 Facebook, Inc. Compact system for active co-boresight measurement in a laser communication system
CN108801930B (zh) * 2018-05-30 2020-09-08 华中科技大学 一种高时间分辨率的穆勒矩阵椭偏测量装置与方法
US11791189B2 (en) * 2018-10-05 2023-10-17 Lam Research Corporation Reflectometer to monitor substrate movement
US11385167B2 (en) * 2019-10-01 2022-07-12 Onto Innovation Inc. Beamsplitter based ellipsometer focusing system
EP3869270A1 (en) * 2020-02-18 2021-08-25 ASML Netherlands B.V. Assemblies and methods for guiding radiation
CN114651214A (zh) * 2019-11-05 2022-06-21 Asml荷兰有限公司 测量方法和测量设备
US11297289B2 (en) * 2019-12-26 2022-04-05 Himax Technologies Limited Structured light projector
US11415519B2 (en) * 2020-01-16 2022-08-16 Nova Ltd Accurate Raman spectroscopy
US11543645B1 (en) * 2020-03-19 2023-01-03 Meta Platforms, Inc. Optical beam expander with partial monolithic structure
CN111384655B (zh) * 2020-03-25 2023-03-14 龙天洋 一种自反馈式高稳定性激光脉冲压缩器
US11689283B1 (en) 2020-03-30 2023-06-27 Meta Platforms, Inc. Free-space optical communication system using a backchannel for power optimization
US11546062B1 (en) 2020-04-22 2023-01-03 Meta Platforms, Inc. Wavelength-selectable free-space optical communication
US11346790B1 (en) 2020-12-02 2022-05-31 Onto Innovation Inc. Focus system for oblique optical metrology device
WO2024074286A1 (en) * 2022-10-03 2024-04-11 Asml Netherlands B.V. Tunable optical system

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130215404A1 (en) * 2012-02-21 2013-08-22 Asml Netherlands B.V. Inspection Apparatus and Method
TW201435297A (zh) * 2013-01-14 2014-09-16 Kla Tencor Corp 多重入射角半導體度量衡系統及方法
US20160313179A1 (en) * 2015-04-23 2016-10-27 Asml Netherlands B.V. Beam position sensor
US20170336329A1 (en) * 2016-05-02 2017-11-23 Kla-Tencor Corporation System and Method for Compensation of Illumination Beam Misalignment

Family Cites Families (62)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5103082A (en) * 1990-07-12 1992-04-07 Grumman Aerospace Corporation Automatic laser beam expander-pinhole alignment system
US5373359A (en) * 1992-09-18 1994-12-13 J. A. Woollam Co. Ellipsometer
US5315111A (en) * 1992-10-15 1994-05-24 Lasa Industries, Inc. Method and apparatus for laser beam drift compensation
US5457310A (en) 1993-10-20 1995-10-10 Varo Inc. Method and system for automatically correcting boresight errors in a laser beam guidance system
US5608526A (en) 1995-01-19 1997-03-04 Tencor Instruments Focused beam spectroscopic ellipsometry method and system
US6734967B1 (en) 1995-01-19 2004-05-11 Kla-Tencor Technologies Corporation Focused beam spectroscopic ellipsometry method and system
US5923418A (en) * 1995-02-21 1999-07-13 Clark-Mxr, Inc. Apparatus for controlling the position and direction of a laser beam
US5768001A (en) 1996-06-10 1998-06-16 Agfa Division, Bayer Corp. Rotating beam deflector having an integral wave front correction element
US5877589A (en) 1997-03-18 1999-03-02 International Business Machines Corporation Gas discharge devices including matrix materials with ionizable gas filled sealed cavities
US5859424A (en) 1997-04-08 1999-01-12 Kla-Tencor Corporation Apodizing filter system useful for reducing spot size in optical measurements and other applications
TWI283798B (en) 2000-01-20 2007-07-11 Asml Netherlands Bv A microlithography projection apparatus
JP4576664B2 (ja) * 2000-03-08 2010-11-10 株式会社ニコン 光路ズレ検知装置、および共焦点顕微鏡
US7333198B1 (en) * 2003-04-03 2008-02-19 J.A. Woollam Co., Inc. Sample orientation system and method
US6429943B1 (en) 2000-03-29 2002-08-06 Therma-Wave, Inc. Critical dimension analysis with simultaneous multiple angle of incidence measurements
US7068833B1 (en) 2000-08-30 2006-06-27 Kla-Tencor Corporation Overlay marks, methods of overlay mark design and methods of overlay measurements
US6950196B2 (en) 2000-09-20 2005-09-27 Kla-Tencor Technologies Corp. Methods and systems for determining a thickness of a structure on a specimen and at least one additional property of the specimen
US6895075B2 (en) 2003-02-12 2005-05-17 Jordan Valley Applied Radiation Ltd. X-ray reflectometry with small-angle scattering measurement
WO2003054475A2 (en) 2001-12-19 2003-07-03 Kla-Tencor Technologies Corporation Parametric profiling using optical spectroscopic systems
US7136172B1 (en) * 2002-01-15 2006-11-14 J.A. Woollam Co., Inc. System and method for setting and compensating errors in AOI and POI of a beam of EM radiation
US6816570B2 (en) 2002-03-07 2004-11-09 Kla-Tencor Corporation Multi-technique thin film analysis tool
US7119351B2 (en) 2002-05-17 2006-10-10 Gsi Group Corporation Method and system for machine vision-based feature detection and mark verification in a workpiece or wafer marking system
US7352453B2 (en) 2003-01-17 2008-04-01 Kla-Tencor Technologies Corporation Method for process optimization and control by comparison between 2 or more measured scatterometry signals
JP2005003666A (ja) * 2003-05-20 2005-01-06 Dainippon Screen Mfg Co Ltd 分光エリプソメータ
US8110775B2 (en) * 2004-06-18 2012-02-07 Electro Scientific Industries, Inc. Systems and methods for distinguishing reflections of multiple laser beams for calibration for semiconductor structure processing
US20060164649A1 (en) 2005-01-24 2006-07-27 Eliezer Rosengaus Multi-spectral techniques for defocus detection
US7478019B2 (en) 2005-01-26 2009-01-13 Kla-Tencor Corporation Multiple tool and structure analysis
ATE475862T1 (de) 2005-02-25 2010-08-15 Nanometrics Inc Vorrichtung und verfahren zur verbesserten critical-dimension-scatterometrie
US7321114B2 (en) * 2005-03-10 2008-01-22 Hitachi Via Mechanics, Ltd. Apparatus and method for beam drift compensation
US7567351B2 (en) 2006-02-02 2009-07-28 Kla-Tencor Corporation High resolution monitoring of CD variations
US7463369B2 (en) 2006-03-29 2008-12-09 Kla-Tencor Technologies Corp. Systems and methods for measuring one or more characteristics of patterned features on a specimen
US7532331B2 (en) 2006-09-14 2009-05-12 Asml Netherlands B.V. Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method
US8699027B2 (en) 2007-07-27 2014-04-15 Rudolph Technologies, Inc. Multiple measurement techniques including focused beam scatterometry for characterization of samples
US7734437B2 (en) 2008-03-27 2010-06-08 Tokyo Electron Limited Apparatus for designing an optical metrology system optimized with signal criteria
US8248617B2 (en) 2008-04-22 2012-08-21 Zygo Corporation Interferometer for overlay measurements
NL2003254A (en) * 2008-08-21 2010-03-10 Asml Netherlands Bv Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method.
NL2003404A (en) 2008-09-16 2010-03-17 Asml Netherlands Bv Inspection method and apparatus, substrate, lithographic apparatus, lithographic processing cell and device manufacturing method.
US7929667B1 (en) 2008-10-02 2011-04-19 Kla-Tencor Corporation High brightness X-ray metrology
US8030631B2 (en) 2009-03-30 2011-10-04 Tokyo Electron Limited Apparatus for controlling angle of incidence of multiple illumination beams
WO2011011511A1 (en) 2009-07-22 2011-01-27 Kla-Tencor Corporation Angle-resolved antisymmetric scatterometry
EP2470960A1 (en) 2009-08-24 2012-07-04 ASML Netherlands BV Metrology method and apparatus, lithographic apparatus, lithographic processing cell and substrate comprising metrology targets
US9068952B2 (en) * 2009-09-02 2015-06-30 Kla-Tencor Corporation Method and apparatus for producing and measuring dynamically focussed, steered, and shaped oblique laser illumination for spinning wafer inspection system
US8441639B2 (en) 2009-09-03 2013-05-14 Kla-Tencor Corp. Metrology systems and methods
US8896832B2 (en) 2010-06-17 2014-11-25 Kla-Tencor Corp. Discrete polarization scatterometry
US8559008B2 (en) * 2011-04-07 2013-10-15 Nanometrics Incorporated Ellipsometer focusing system
US9404872B1 (en) 2011-06-29 2016-08-02 Kla-Tencor Corporation Selectably configurable multiple mode spectroscopic ellipsometry
NL2008936A (en) 2011-07-28 2013-01-29 Asml Netherlands Bv Illumination source for use in inspection methods and/or lithography inspection and lithographic apparatus and inspection method.
US20130042089A1 (en) 2011-08-11 2013-02-14 Advanced Micro Devices, Inc. Word line late kill in scheduler
US9228943B2 (en) 2011-10-27 2016-01-05 Kla-Tencor Corporation Dynamically adjustable semiconductor metrology system
US8570531B2 (en) 2011-12-11 2013-10-29 Tokyo Electron Limited Method of regenerating diffraction signals for optical metrology systems
US9587977B2 (en) 2012-08-31 2017-03-07 Nikon Corporation Boresight error monitor for laser radar integrated optical assembly
JP2014081227A (ja) * 2012-10-15 2014-05-08 Lasertec Corp 検査装置、検査方法、パターン基板の製造方法
US9581430B2 (en) 2012-10-19 2017-02-28 Kla-Tencor Corporation Phase characterization of targets
US10769320B2 (en) 2012-12-18 2020-09-08 Kla-Tencor Corporation Integrated use of model-based metrology and a process model
US9116103B2 (en) 2013-01-14 2015-08-25 Kla-Tencor Corporation Multiple angles of incidence semiconductor metrology systems and methods
US9291554B2 (en) 2013-02-05 2016-03-22 Kla-Tencor Corporation Method of electromagnetic modeling of finite structures and finite illumination for metrology and inspection
US10101670B2 (en) 2013-03-27 2018-10-16 Kla-Tencor Corporation Statistical model-based metrology
US9875946B2 (en) 2013-04-19 2018-01-23 Kla-Tencor Corporation On-device metrology
US9915522B1 (en) 2013-06-03 2018-03-13 Kla-Tencor Corporation Optimized spatial modeling for optical CD metrology
US9383661B2 (en) * 2013-08-10 2016-07-05 Kla-Tencor Corporation Methods and apparatus for determining focus
US10935893B2 (en) 2013-08-11 2021-03-02 Kla-Tencor Corporation Differential methods and apparatus for metrology of semiconductor targets
US9518866B2 (en) 2014-08-22 2016-12-13 Spectrasensors, Inc. Spectrometer with variable beam power and shape
JP2018526041A (ja) 2015-05-28 2018-09-13 コーニンクレッカ フィリップス エヌ ヴェKoninklijke Philips N.V. 光学系

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130215404A1 (en) * 2012-02-21 2013-08-22 Asml Netherlands B.V. Inspection Apparatus and Method
TW201435297A (zh) * 2013-01-14 2014-09-16 Kla Tencor Corp 多重入射角半導體度量衡系統及方法
US20160313179A1 (en) * 2015-04-23 2016-10-27 Asml Netherlands B.V. Beam position sensor
US20170336329A1 (en) * 2016-05-02 2017-11-23 Kla-Tencor Corporation System and Method for Compensation of Illumination Beam Misalignment

Also Published As

Publication number Publication date
WO2019067331A1 (en) 2019-04-04
KR102393741B1 (ko) 2022-05-02
TW201923477A (zh) 2019-06-16
JP2020535445A (ja) 2020-12-03
CN111094950A (zh) 2020-05-01
US20190094130A1 (en) 2019-03-28
US10365211B2 (en) 2019-07-30
CN111094950B (zh) 2021-12-14
KR20200047741A (ko) 2020-05-07
JP7085630B2 (ja) 2022-06-16

Similar Documents

Publication Publication Date Title
TWI761601B (zh) 用於度量光束穩定之系統及方法
US10648796B2 (en) Optical metrology with small illumination spot size
US9921152B2 (en) Systems and methods for extended infrared spectroscopic ellipsometry
US9970863B2 (en) Optical metrology with reduced focus error sensitivity
TWI627394B (zh) 用於具有最佳化系統測數之光學測量之設備及方法
US20170184981A1 (en) Metrology Methods, Metrology Apparatus and Device Manufacturing Method
KR20170117593A (ko) 계측 방법, 계측 장치 및 디바이스 제조 방법
TWI435182B (zh) 角度分辨散射計及檢查方法
JP2004536440A (ja) 半導体ウェハ処理ツールへ組込まれた光学臨界寸法計測学システム
TW201539042A (zh) 檢查方法和裝置、微影裝置、微影製程單元及元件製造方法
JP2022058401A (ja) 基板の特性を測定する方法、検査装置、リソグラフィシステム、及びデバイス製造方法
TW201809632A (zh) 波前之可變校正器
TWI672569B (zh) 監測來自度量衡裝置之照明特性的方法
US20240162074A1 (en) Methods And Systems For Measurement Of Semiconductor Structures With Active Tilt Correction
TWI840582B (zh) 用於光學表面缺陷材料特性化的方法及系統
TW201118366A (en) Scatterometer method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method