TWI757614B - 光罩及其形成方法 - Google Patents

光罩及其形成方法 Download PDF

Info

Publication number
TWI757614B
TWI757614B TW108125862A TW108125862A TWI757614B TW I757614 B TWI757614 B TW I757614B TW 108125862 A TW108125862 A TW 108125862A TW 108125862 A TW108125862 A TW 108125862A TW I757614 B TWI757614 B TW I757614B
Authority
TW
Taiwan
Prior art keywords
layer
indicates
protective layer
thickness
equation
Prior art date
Application number
TW108125862A
Other languages
English (en)
Other versions
TW202013062A (zh
Inventor
王宣文
張浩銘
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202013062A publication Critical patent/TW202013062A/zh
Application granted granted Critical
Publication of TWI757614B publication Critical patent/TWI757614B/zh

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/82Auxiliary processes, e.g. cleaning or inspecting
    • G03F1/84Inspecting
    • G03F1/86Inspecting by charged particle beam [CPB]
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/36Masks having proximity correction features; Preparation thereof, e.g. optical proximity correction [OPC] design processes
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/76Patterning of masks by imaging
    • G03F1/78Patterning of masks by imaging by charged particle beam [CPB], e.g. electron beam patterning of masks
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/26Phase shift masks [PSM]; PSM blanks; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/38Masks having auxiliary features, e.g. special coatings or marks for alignment or testing; Preparation thereof
    • G03F1/48Protective coatings
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/60Substrates
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/72Repair or correction of mask defects
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/80Etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)

Abstract

本發明實施例係關於一種用於形成一光罩之方法,其包含:接受一遮罩基板,其包含形成於其上之一保護層及一屏蔽層;移除該屏蔽層之部分以形成一圖案化屏蔽層;及提供一BSE偵測器以監測該屏蔽層之該等部分之該移除。當自該BSE偵測器獲得之BSE強度之一差異大於約30%時,停止該屏蔽層之該等部分之該移除。該BSE強度在後續的蝕刻迴圈中變得穩定。

Description

光罩及其形成方法
本發明實施例係有關光罩及其形成方法。
半導體積體電路行業已經在過去幾十年中經歷快速發展。半導體材料及設計中之技術進展已經產生不斷變小且更複雜之電路。由於與處理及製造相關之技術亦已經經歷技術進展,已經實現此等材料及設計進展。在半導體演進之進程中,由於可可靠地產生之最小組件之大小已減小,故每單位面積之互連裝置之數目已增加。
半導體製造主要依賴於光微影之程序,其中使用一給定頻率之光以將一所要圖案轉印至經歷半導體處理之一晶圓上。為將圖案轉印至晶圓上,通常使用一光罩(亦稱為一遮罩或倍縮光罩)。光罩容許且防止呈一所要圖案之光至晶圓之一層(諸如一光阻劑(PR)層)上,其與曝光發生化學反應,從而移除PR之一些部分且留下其他部分。接著,使用剩餘PR以圖案化一下伏層。隨著特徵大小減小,在光微影中用於圖案化層之光之波長亦已減小,從而產生額外困難且使技術進展(諸如使用極紫外線(EUV)作為一光源)以及相移遮罩成為必需。改良光罩對促進行業之持續進展係重要的,因為在製造半導體裝置及積體電路之程序中之後續處理步驟期間,可混合圖案化層中之各種缺陷或問題。因此需要包含對遮罩胚料(其上導出光罩且圖案化該光罩)之改良之光罩改良。
本發明的一實施例係關於一種光罩,其包括:一基板;一第一層,其覆蓋該基板之一表面;及一圖案化第二層,其在該第一層上且暴露該第一層之部分,其中與該基板及該圖案化第二層相比,該第一層對一鹵素系氣體更具抗性,且該第一層包括金屬氟化物。
本發明的一實施例係關於一種用於形成一光罩之方法,其包括:接收一基板,其中在該基板上形成一保護層及一屏蔽層;移除該屏蔽層之部分以形成一圖案化屏蔽層;提供一反向散射電子(BSE)偵測器以監測該屏蔽層之該等部分之該移除;及當自該BSE偵測器獲得之BSE強度之一差異大於約30%時,停止該屏蔽層之該等部分之該移除,且該BSE強度在後續的蝕刻迴圈中變得穩定。
本發明的一實施例係關於一種形成一半導體結構之方法,其包括:在一基板上方形成一光阻劑層;藉由使用一光學微影工具透過一光罩使用光化輻射曝露該光阻劑層;及使該曝露光阻劑層顯影以形成一光阻圖案,其中該光罩包括一遮罩基板、一圖案化屏蔽層及該遮罩基板與該圖案化屏蔽層之間的一保護層,且該保護層覆蓋該遮罩基板之一表面。
下列本發明實施例提供用於實施所提供標的物之不同特徵之許多不同實施例或實例。下文描述組件及配置之特定實例以簡化本發明實施例。當然,此等僅僅係實例且並不旨在限制性。例如,在下文描述中一第一構件形成於一第二構件上方或上可包含其中第一構件及第二構件經形成為直接接觸之實施例,且亦可包含其中額外構件可經形成於第一構件與第二構件之間使得第一構件及第二構件可不直接接觸之實施例。另外,本發明實施例可在各項實例中重複元件符號及/或字母。此重複出於簡化及清楚之目的且本身不規定所論述之各項實施例及/或組態之間的一關係。
此外,為便於描述,空間相對術語(諸如「在…下面」、「在…下方」、「下」、「在…上方」、「上」及類似者)可在本文中用來描述一個元件或構件與另一(些)元件或構件之關係,如圖中所繪示。空間相對術語意欲於涵蓋除圖中所描繪之定向以外之使用或操作中裝置之不同定向。設備可以其他方式定向(旋轉90度或按其他定向)且據此可同樣解釋本文中所使用之空間相對描述詞。
如本文使用,術語(諸如「第一」、「第二」及「第三」)描述各種元件、組件、區、層及/或區段,此等元件、組件、區、層及/或區段不應受此等術語限制。此等術語僅可用於區分一個元件、組件、區、層或區段與另一元件、組件、區、層或區段。術語(諸如「第一」、「第二」及「第三」)在本文中使用時並不暗示一序列或順序,除非背景內容另有明確指示。
雖然闡述本發明實施例之廣泛範疇之數值範圍及參數係近似值,但儘可能精確地報告在具體實例中闡述之數值。然而,任何數值本質上含有必然由各自測試量測中發現之標準偏差所引起之某些誤差。又,如本文中使用,術語「實質上」、「約」或「大約」通常意謂在一般技術人員可預期之一值或範圍內。替代地,術語「實質上」、「約」或「大約」意謂在由一般技術人員考量時在平均值之一可接受標準誤差內。一般技術人員可瞭解,可接受標準誤差可根據不同技術而變動。除了在操作/工作實例中之外,或除非另有明確指定,否則全部數值範圍、量、值及百分比(諸如針對材料數量、持續時間、溫度、操作條件、量之比率及本文中揭示之其類似者之數值範圍、量、值及百分比)應理解為在全部例項中由術語「實質上」、「約」或「大約」修飾。因此,除非相反地指示,否則本發明實施例及隨附發明申請專利範圍中闡述之數值參數係可視需要變動之近似值。至少,各數值參數應至少依據所報告有效數字之數目且藉由應用普通捨入技術而理解。可在本文中將範圍表達為自一個端點至另一端點或在兩個端點之間。除非另有指定,否則本文中揭示之全部範圍皆包含端點。
如本文中使用,諸如平坦度、表面粗糙度及厚度均勻性可在本文中用於描述層及其等表面。可藉由使用基於表面之最低點之一參考水平平面而量測平坦度,且一最低點參考(LPR)平坦度可經計算為自表面之最高點至參考水平平面之距離。可藉由自一表面之一中線之粗糙度分量不規則性之均方根(RMS)量測該表面之表面粗糙度,其亦稱為一表面RMS粗糙度。可藉由一層之厚度之最大值與最小值之間的差來量測該層之厚度均勻性,其亦稱為一總厚度變動(TTV)。注意,此等量測僅係例示性的且並不旨在限制超過下列發明申請專利範圍中具體陳述之內容。例如,一般技術人員應認知量測平坦度、表面粗糙度及厚度均勻性之其他方式。
一光罩之一典型製造操作可包含:使一電路圖案成像至形成於一遮罩基板(亦稱為遮罩胚料)上之一光阻劑層中;使光阻劑層顯影;蝕刻光阻層;將電路圖案自光阻層轉印至一不透明或半透射層。在一些實施例中,使用一電漿轟擊來蝕刻不透明或半透射層。已發現,在一些實施例中,遮罩基板在不透明或半透射層之蝕刻期間藉由電漿轟擊過度蝕刻;因此,在遮罩基板之一表面上方形成複數個凹槽。該等凹槽稱為全域化過度蝕刻缺陷。在一些實施例中,遮罩基板之表面與凹槽之一底部表面之間的一偏差大於4 nm。即,遮罩基板之平坦度及厚度均勻性降低。
此外,在不透明或半透射層之蝕刻期間,若不透明或半透射層之部分保留在遮罩基板上不應具有不透明或半透射層之區域中,則可產生缺陷。為了移除此等缺陷,執行一修復或一修整操作以自該等區域移除殘餘不透明或半透射層。在一些實施例中,使用諸如一雷射束蝕刻之一局部蝕刻。已發現,在一些實施例中,在殘餘不透明或半透射層之蝕刻期間亦藉由雷射束蝕刻遮罩基板,且因此,藉由電漿轟擊形成之一些凹槽藉由局部蝕刻加深。局部蝕刻不僅加深由電漿轟擊產生之凹槽,而且形成凹槽之一粗糙底部表面。該等凹槽及粗糙底部表面稱為局部化缺陷。在一些實施例中,藉由局部化缺陷造成之一偏差可大於8 nm。因此,全域化過度蝕刻缺陷及局部化缺陷一起引起平坦度及厚度均勻性之降低,以及表面粗糙度之增加。應注意,為了處置對小型化及NA增加之此等需求,需要光罩之更大平坦度。當光罩之平坦度降低時,透過一投影透鏡傳送之一晶圓上之一影像點之一聚焦位置波動。為了降低來自具有全域化及局部化缺陷之光罩之不利影響,必需進行費時且複雜之調整以便聚焦投影透鏡或調整曝光中使用之能量。
另一方面,由於矽晶圓之增大之需求已經提高市場價格,遮罩基板之再循環現為普遍接受之理念。在一些實施例中,包含電路圖案之不透明或半透射層經剝離,使得一遮罩基板之重用可為相較於購買一新的遮罩基板降低成本之一有效方式。此外,遮罩基板可用於其他應用,諸如LED、生物晶片、裝飾玻璃及類似物。另外,遮罩基板之重用減少產生一新的基板所需之稀少自然資源之使用。然而,前述全域化及局部化缺陷在遮罩基板之再循環期間確實導致額外努力。在一些實施例中,為了移除全域化及局部化缺陷,執行一拋光操作以產生一遮罩基板之一平坦表面。
因此,本發明實施例提供一光罩、形成一光罩之一方法及處理一遮罩基板之一方法。在一些實施例中,在遮罩基板上形成一保護層以提供對遮罩基板之保護,且因此減少前述全域化及局部化缺陷。因此,改良遮罩基板之平坦度。此外,由於全域化及局部化缺陷減少,故在遮罩基板之再循環操作期間不再需要拋光操作。在一些實施例中,簡化用於形成光罩之操作及用於再循環遮罩基板之操作兩者。
應注意,在一些實施例中,術語「光罩」、「遮罩」及「倍縮光罩」用於指代相同品項。在一些實施例中,光罩可為一反射遮罩,諸如下文進一步詳細描述之遮罩。在一些實施例中,光罩可併入其他解析度增強特徵,諸如一相移遮罩(PSM)或光學近接性校正(OPC)之特徵。
圖1係用於形成一光罩之一方法100之一流程圖。方法100包含一操作102,接收一基板。在基板上形成一保護層及一屏蔽層。方法100進一步包含一操作104,移除屏蔽層之部分以形成一圖案化屏蔽層。方法100進一步包含一操作106,提供一反向散射電子(BSE)偵測器以監測屏蔽層之部分之移除。方法100進一步包含一操作108,在自BSE偵測器獲得之BSE強度之一差異大於約30%時停止屏蔽層之部分之移除。將根據一或多項實施例進一步描述方法100。應注意,可在各種態樣之範疇內重新配置或以其他方式修改方法100之操作。進一步應注意,可在方法100之前、期間及之後提供額外程序,且僅可在本文中簡略描述一些其他程序。因此,其他實施方案在本文描述之各種態樣之範疇內係可能的。
圖2係用於形成一半導體結構之一方法110之一流程圖。方法110包含一操作112,在一基板上方形成一光阻劑層。方法110包含一操作114,藉由使用一光學微影工具透過一光罩使用一光化輻射曝光光阻劑層。在一些實施例中,光罩包含一遮罩基板、一圖案化屏蔽層及遮罩基板與圖案化屏蔽層之間的一保護層。在一些實施例中,保護層覆蓋遮罩基板之一表面。方法110進一步包含一操作116,使曝光之光阻劑層顯影以形成一光阻圖案。方法110進一步包含一操作118,移除圖案化屏蔽層以曝光保護層。方法110進一步包含一操作120,在保護層上形成一材料層。遮罩基板包含在移除圖案化屏蔽層之前之一第一厚度及在形成材料層之後之一第二厚度。在一些實施例中,第一厚度及第二厚度係相同的。將根據一或多項實施例進一步描述方法110。應注意,可在各種態樣之範疇內重新配置或以其他方式修改方法110之操作。進一步應注意,可在方法110之前、期間及之後提供額外程序,且僅可在本文中簡略描述一些其他程序。因此,其他實施方案在本文描述之各種態樣之範疇內係可能的。
圖3A至圖3E係繪示在一或多項實施例中根據本發明實施例之態樣建構之各種製造階段之一光罩之示意圖。如在圖3A中展示,根據操作102接收或提供一基板202。在一些實施例中,基板202係一遮罩基板,其包含用來最小化歸因於由增強照明輻射引發之遮罩加熱之影像失真之低熱膨脹材料(LTEM)。在一些實施例中,LTEM可包含矽、石英、熔融矽石、熔融石英、氟化鈣(CaF)、碳化矽(SiC)、氧化矽-氧化鈦及/或此項技術中已知之其他適當LTEM。此外,遮罩基板202可包含具有一低缺陷位準及一平滑表面之材料。
仍參考圖3A,一第一層(諸如一保護層210)經形成於遮罩基板202上且覆蓋遮罩基板202之一表面。在一些實施例中,保護層210完全覆蓋遮罩基板202之表面。此外,在保護層210上形成一第二層(諸如一屏蔽層220)。保護層210包含在例如(但不限於)約193 nm之一波長狹光學透明且具有一高能隙之材料。例如,用於保護層210中之材料之一能隙等於或大於6.4 eV,但本發明實施例不限於此。與遮罩基板202相比,保護層210對鹵素系氣體更具抗性。保護層210包含金屬氟化物。在一些實施例中,保護層210可包含氟化鎂(MgX1 FY1 )、氟化鋁(AlX2 FY2 )、氟化鑭(LaX3 FY3 )或氟化釓(GdX4 FY4 ),但本發明實施例不限於此。在一些實施例中,X1、X2、X3及X4可為正整數,且Y1、Y2、Y3及Y4可為正整數。在一些實施例中,X1可為1且Y1可為2,換言之,MgF2 可為本文使用之一潛在材料,但本發明實施例不限於此。在一些實施例中,X2可為1且Y2可為3,換言之,AlF3 可為本文使用之一潛在材料,但本發明實施例不限於此。在一些實施例中,X3可為1且Y3可為3,換言之,LaF3 可為本文使用之一潛在材料,但本發明實施例不限於此。在一些實施例中,X4可為1且Y4可為3,換言之,GdF3 可為本文使用之一潛在材料,但本發明實施例不限於此。應注意,取決於用於形成屏蔽層220之材料選擇用於形成保護層210之金屬氟化物,此將在下文中詳細描述。
消光係數(k)在本文中定義為一參與介質中每單位距離散射及吸收損失的光之分率。應注意,MgF2 之消光係數(k)小於大約10-4 ,AlF3 之消光係數小於大約10-3 ,LaF3 之消光係數小於大約2*10-2 ,且GdF3 之消光係數小於大約10-3 。此外,MgF3 之折射率(n)為約1.42,AlF3 之折射率為約1.4,LaF3 之折射率等於或大於約1.85,且GdF3 之折射率為約1.58。因此,應注意,保護層210包含光學透明之材料。在一些實施例中,當保護層210用於使用具有小於365 nm (即,365 nm、248 nm或193 nm)之一波長之光之一曝光中時,只要保護層210之一透射率大於0.9,保護層210之一厚度便可在約1 nm與約1000 nm之間。在一些實施例中,保護層210之厚度與用於曝光中之光之波長、保護層之折射率及保護層210之消光係數有關。此外,取決於厚度範圍,可根據不同等化計算保護層210之厚度。例如,在一些實施例中,當假定保護層210之厚度大於100 nm時,可藉由等化(1)及(2)判定厚度:
Figure 02_image001
(1)
Figure 02_image003
(2)
其中T指示保護層210之透射率,R指示保護層210之反射率,n指示保護層210之折射率,k指示保護層210之消光係數,λ指示用於曝光中之光之波長,且d指示保護層210之厚度。在一些實施例中,可忽略消光係數,因為其小於10-4 ,但本發明實施例不限於此。
在一些實施例中,當假定保護層210之厚度介於1 nm與100 nm之間時,可藉由等化(3)至(5)判定厚度:
Figure 02_image005
(3)
Figure 02_image007
(4)
Figure 02_image009
(5) 其中Ts 指示遮罩基板202之透射率,T指示保護層210之透射率,R指示保護層210之反射率,n0 指示用於曝光中之介質之折射率,ns 指示遮罩基板202之折射率,n指示保護層210之折射率,ks 指示遮罩基板202之消光係數,θ指示用於曝光中之光之一入射角,λ指示用於曝光中之光之波長,且d指示保護層210之厚度。在一些實施例中,可忽略消光係數,因為其小於10-4 ,但本發明實施例不限於此。
在一些實施例中,保護層210之厚度係在約1 nm與約10 nm之間,但本發明實施例不限於此。在一些實施例中,不同材料具有不同極限值。例如,當保護層210之厚度小於100 nm時,AlF3 層在其厚度為約35 nm時具有更大透射率,而LaF3 層在其厚度為約1 nm或57 nm時具有更大透射率。
仍參考圖3A,屏蔽層220經形成於遮罩基板202上方且藉由保護層210與遮罩基板202分離。在一些實施例中,屏蔽層220包含鉻(Cr)、氮化鉻(CrN)、氮化矽鉬(MoSiN)、矽化鉬(MoSi)、氮氧化矽鉬(MoSiON)、SiO或SiN,但本發明實施例不限於此等材料。在一些實施例中,屏蔽層220可為一單層結構。在其他實施例中,屏蔽層220可為一多層結構。在一些實施例中,屏蔽層220包含MoSiN、MoSi或MoSiON,而保護層210可包含MgF2 、AlF3 、LaF3 或GdF3 。在一些實施例中,屏蔽層220包含SiO、SiN或氮氧化矽(SiON),且保護層210可包含LaF3 或GdF3 。應注意,與屏蔽層220相比,保護層210亦對鹵素系氣體更具抗性。下文將描述在各種實施例中之保護層210及屏蔽層220之配置。
在一些實施例中,可在屏蔽層220上形成一硬遮罩層230,如圖3A中展示。硬遮罩層230可包含適合如由用於形成光罩之操作所需般反射或吸收光之材料。硬遮罩層230可包含Cr、CrN、氧化鉻(CrO)、氮化鉭(TaN)、氧化鉭(TaO)或氮氧化鉭(TaON),但本發明實施例不限於此。
在一些實施例中,在硬遮罩層230上形成一圖案化光阻劑232,如在圖3A中展示。在一些實施例中,一光阻劑層經形成於硬遮罩層230上且最初經受一曝光操作以形成一曝光光阻劑層。曝光光阻劑層界定隨後形成於下伏層中之一電路圖案。接著,曝光光阻劑層經烘烤且接著經顯影以形成包含對應於電路圖案之一圖案之圖案化光阻劑層232。
參考圖3B,隨後透過圖案化光阻劑層232蝕刻硬遮罩層230。在一些實施例中,硬遮罩層230經受一乾式蝕刻操作,但本發明實施例不限於此。因此,將圖案自圖案化光阻劑層232轉印至硬遮罩層230。因此,在屏蔽層220上形成一圖案化遮罩層230'。在形成圖案化遮罩層230'之後,剝離圖案化光阻劑層232。在一些實施例中,可執行一蝕刻後檢測(AEI)且隨後可執行一清洗操作。
參考圖3C,接著根據操作104移除屏蔽層220之部分。在一些實施例中,執行一蝕刻250以透過圖案化遮罩層230'移除屏蔽層220之部分,如在圖3C中展示。在一些實施例中,蝕刻250包含一雷射束蝕刻或使用鹵素系氣體之一電漿轟擊。在一些實施例中,鹵素系氣體包含例如(但不限於)Cl2 、F2 、CF4 、CCl4 、HBr、HI、CHF3 、SF6 、C2 F6 或HCl等。在一些實施例中,鹵素系氣體(諸如但不限於F2 、CF4 、CHF3 、SF6 或C2 F6 )用於電漿轟擊中,但本發明實施例不限於此。應注意,包含金屬氟化物之保護層210係耐氟自由基,且因此由保護層210保護遮罩基板202之表面。因此,在遮罩基板202上方形成包含至少一開口之圖案化屏蔽層220'。
為了判定臨界點之特性,自遮罩基板202及屏蔽層220偵測之信號之間應存在一差異。因此,提供兩個種類之感測器(諸如一光學發射光譜(OES)感測器及一反向散射電子(BSE)偵測器)。
在一些實施例中,提供一OES感測器以監測蝕刻250期間形成之副產物之信號強度。請參考圖4,其係展示自OES感測器獲得之經量測信號之一圖表。應注意,在蝕刻250期間,需要動態監測以控制膜之蝕刻高度。在一些實施例中,藉由一預定蝕刻持續時間控制蝕刻250。即,蝕刻250在預定蝕刻持續時間結束時停止,且在預定蝕刻持續時間期間利用OES感測器。如在圖4中展示,在蝕刻起始點處之信號強度與在蝕刻結束點處之信號強度之間的偏差係可觀察到的,且兩個信號強度之間的斜率係可觀察到的。在其中保護層210係由金屬氟化物製成且屏蔽層220係由氮化矽製成之一些實施例中,在蝕刻程序期間曝光保護層210時,在屏蔽層220之蝕刻期間產生副產物。副產物在移除屏蔽層220時大幅減少。因此,屏蔽層220之信號強度與保護層210之信號強度之間的一偏差係不同的,且因此,在蝕刻起始點處之信號強度與在蝕刻結束點處之信號強度之間的偏差係可觀察到的,且兩個信號強度之間的斜率為約90°。此指示完成屏蔽層220之部分之移除,且蝕刻停止於保護層210,而不過度蝕刻遮罩基板202。
請參考圖1及圖5,其係展示自一BSE感測器獲得之經量測信號之一圖表。在一些實施例中,提供BSE偵測器以根據操作106監測屏蔽層之部分之移除。用於蝕刻中之電子束之一應用具有各種能階,諸如在自0.6 keV至100 keV之一範圍中。一能量電子束可照射一目標層,從而產生反向散射電子,且可藉由BSE偵測器偵測BSE信號強度。通常,包含更大原子序列之材料產生更大信號強度,且不同BSE信號強度之間的對比度顯露存在不同材料。在其中保護層210係由金屬氟化物製成且屏蔽層220係由氮化矽製成之一些實施例中,由於金屬氟化物之有效原子序數與氮化矽之有效原子序數之間的差異較大,故在出現一高BSE強度值時,如在圖5中展示,可推斷,完全移除屏蔽層220之部分,且因此在蝕刻操作期間曝光保護層210。在一些實施例中,根據操作108,當自BSE偵測器獲得之BSE強度之差異大於約30%且隨後蝕刻迴圈中之BSE強度變得穩定時,移除屏蔽層220之部分之蝕刻250可自動停止或結束。
已發現,在屏蔽層220包含MoSiN、MoSi或MoSiON時,屏蔽層220之BSE強度與包含MgF2 、AlF3 、LaF3 或GdF3 之保護層210之BSE強度之間的差異大於30%,且因此,蝕刻250可自動停止或結束。然而,亦發現,包含SiO、SiN或SiON之屏蔽層220之BSE強度與包含MgF2 或AlF3 之保護層210之BSE強度之間的差異係在10.4%與10.6%之間,而包含SiO、SiN或SiON之屏蔽層220之BSE強度與包含LaF3 或GdF3 之保護層210之BSE強度之間的差異大於50%。應注意,小於30%之BSE強度之差異使得難以判定蝕刻結束點。因此,在一些實施例中,當屏蔽層220包含SiO、SiN或SiON時,調適包含LaF3 或GdF3 之保護層210。因此,當自BSE偵測器獲得之BSE強度之差異大於約30%時,用於移除屏蔽層220之部分之蝕刻250可自動停止或結束。
參考圖3D,在一些實施例中,在藉由蝕刻250移除屏蔽層220之部分之後,移除或剝離圖案化遮罩層230'。在一些實施例中,可循序執行一剝離後檢測(ASI)及一品質保證(QA)。在一些實施例中,若在開口222中發現屏蔽層220之殘留物,則可執行一修復252或一修整。執行修復252或修整以移除殘留物。在一些實施例中,修復252包含一雷射束蝕刻、一離子束蝕刻或一電子束蝕刻,但本發明實施例不限於此。更重要的是,在涉及一鹵素系氣體的情況下執行修復252。在一些實施例中,鹵素系氣體包含例如(但不限於)Cl2 、F2 、CF4 、CCl4 、HBr、HI、CHF3 、SF6 、C2 F6 或HCl等。在一些實施例中,在修復252中使用鹵素系氣體,但本發明實施例不限於此。在修復252期間,保護層210提供對下伏遮罩基板202之保護,且因此,緩解過度蝕刻及表面粗糙度問題兩者。在一些實施例中,可藉由OES感測器或藉由BSE偵測器監測修復252,如上文提及。
參考圖3E,在一些實施例中,執行一清洗操作,且接著,在遮罩基板202上安裝一護膜260以保護圖案化屏蔽層220'使之免受污染物影響。在一些實施例中,護膜260可包含由對輻射束透明之一薄膜製成之一隔膜。在一些實施例中,護膜260可經緊固至一護膜框架262,其係由具有足夠機械強度之一材料(諸如鋁)製成且可經設計成恰當緊固護膜260之一形狀及尺寸。框架262之一相對側使用一黏著劑接合至遮罩基板202。因此,污染物可下落於護膜260上,而非圖案化屏蔽層220'(其與經沉積之污染物間隔開護膜框架262之一高度)上。在一光微影程序中,光經聚焦於圖案化屏蔽層220'上,且已經下落於護膜260上之污染物不太可能影響待產生之圖案之品質。
在一些實施例中,光罩200不具有形成於其上之一護膜,因為護膜可不利於包含使用EUB輻射之一些實施例之微影之一些實施例。例如,因為藉由護膜之EUV之高吸收,熱影響可為不利的。
仍參考圖3E,因此提供光罩200。光罩200包含遮罩基板202、覆蓋遮罩基板202之整個表面之保護層210、圖案化屏蔽層220'及護膜260。如在圖3E中展示,透過圖案化屏蔽層220'曝光保護層210之部分。應注意,與遮罩基板202及圖案化屏蔽層220'相比,保護層210對具有鹵素系氣體之蝕刻250及252 (圖3C及圖3D中展示)更具抗性。在一些實施例中,保護層210係耐氟自由基。在一些實施例中,保護層210包含金屬氟化物。因此,在形成光罩200期間,緩解在屏蔽層220之部分之移除期間可由蝕刻250造成之全域化過度蝕刻缺陷及可藉由修復252造成之局部化過度蝕刻缺陷及表面粗糙度問題兩者。此外,由於屏蔽層220之BSE強度與保護層210之BSE強度之間的差異大於30%,故可偵測到BSE強度之一較大差異並可自動且成功地控制臨界特性(諸如蝕刻結束點)。因此,改良光罩200之平坦度。
在一些實施例中,採用較短波長光(諸如包含由氟化氪(KrF)準分子雷射發射之248 nm UV及由氟化氬(ArF)準分子雷射發射之193 nm UV之深紫外線(DUV))來達成遠小於先前可能之IC特徵(諸如在130 nm、90 nm及65 nm之範圍中之特徵)之積體電路特徵之圖案化。應注意,保護層210包含金屬氟化物,其適用於搭配各種曝光設備使用。例如,MgF2 之一應用範圍在約140 nm與約230 nm之間,AlF3 之一應用範圍為約193 nm,LaF3 之一應用範圍在約130 nm與約193 nm之間,且GdF3 之一應用範圍為約193 nm。因此,保護層210可用於微影曝光操作中,諸如紫外線(UV)微影技術、前述DUV微影技術或極紫外線(EUV)微影技術。在一些實施例中,光罩可用於利用一衰減相移遮罩(APSM)及一不透明玻璃上MoSi (OMOG)之操作中,其搭配約157 nm、193 nm、248 nm、365 nm或更大之波長使用。
此外,在一些實施例中,保護層210之厚度可在約1 nm至約1000 nm之間。應注意,若保護層210之厚度小於1 nm,則保護層210可無法提供對下伏遮罩基板202之足夠保護。若保護層210之厚度大於1000 nm,則保護層210之透射率小於90,且無法使用光罩。在一些實施例中,保護層210之厚度係在約1 nm與約10 nm之間。應注意,若保護層210之厚度大於10 nm,則可發生非所要之散射。
圖6A至圖7E係繪示在一或多項實施例中根據本發明實施例之態樣建構之各種製造階段之一半導體結構之示意圖。圖3A至圖3E及圖6A至圖7F中之類似元件藉由相同數字指示,且包含類似材料;因此,為簡明起見省略此等冗餘細節之描述。如在圖6A中展示,接收一基板300。根據操作112,在基板300上方形成一光阻劑層310。在一些實施例中,基板300可包含一半導體晶圓,諸如一矽晶圓。在一些實施例中,基板300可包含形成於其上之一材料層(未展示),且在各種實施例中,材料層可包含一半導體材料層、一介電材料層(諸如一層間介電質(ILD)層或一金屬間介電質(IMD)層)或一導電材料層(諸如一金屬層或一摻雜多晶矽層),但本發明實施例不限於此。在一些實施例中,一硬遮罩層可形成於基板300或材料層上,且光阻劑層310經形成於硬遮罩層上。在一些實施例中,光阻劑層310藉由適當技術(諸如旋塗)形成於基板300上方。
仍參考圖6A,根據操作114,透過光罩200使光阻劑層310曝光於一光化輻射。光罩200可包含一遮罩基板202、安置於遮罩基板202上之一保護層210、安置於保護層210上之一圖案化屏蔽層220'及安置於圖案化屏蔽層220'上方之一護膜(未展示)。在一些實施例中,圖案化屏蔽層220'可藉由方法100之操作102至108 (圖1中展示)形成,但本發明實施例不限於此。光阻劑層310在曝光程序期間對光化輻射束敏感且抵抗一後續程序(諸如蝕刻或離子植入)。在一些實施例中,光阻劑層310包含作為具有抗性之一基質之一聚合物材料;一輻射敏感組分(諸如光酸產生劑或PAG);及溶劑。光阻劑層310可為正性光阻或負性光阻。在曝光程序期間,產生曝光部分312與非曝光部分314之間的一化學差異,且可藉由後續化學顯影利用該差異。
參考圖6B及圖6C,曝光光阻劑層310經顯影以形成一光阻圖案330a或330b。在一些實施例中,在顯影程序期間移除正性光阻之曝光部分312,且因此,形成光阻圖案330a,如在圖6B中展示。在替代性實施例中,在顯影程序期間移除負性光阻之非曝光部分314,且因此,形成光阻圖案330b,如在圖6C中展示。光阻圖案330a或330b可經轉印至基板300或基板300上方之材料層以用於形成半導體結構,且為簡明起見省略該等細節。
在一些實施例中,用於形成半導體結構之方法進一步包含光罩200之再循環。如在圖7A中展示,根據方法110之操作118,接收光罩200。在一些實施例中,可移除護膜260,使得圖案化屏蔽層220'及保護層210之部分曝光,如在圖7A中展示。在一些實施例中,遮罩基板202包含一厚度T1,且遮罩基板202歸因於保護層210而包含一經改良厚度均勻性。
參考圖7A及圖7B,根據方法110之操作118 (圖2中展示),移除圖案化屏蔽層220'以曝光保護層210。在一些實施例中,藉由使用一鹵素系氣體之一電漿執行之一氣體再循環操作移除圖案化屏蔽層220'。在一些實施例中,使用一含氟電漿執行氣體再循環操作。包含金屬氟化物之保護層210抵抗氟自由基。因此,遮罩基板202之表面藉由保護層210保護且因此不受氣體再循環操作影響。在一些實施例中,藉由一濕式再循環操作或一濕式蝕刻移除圖案化屏蔽層220',該濕式蝕刻使用包含氫氧化鉀(KOH)、磷酸(H3 PO4 )、硝酸(HNO3 )、醋酸(CH3 COOH)或硝酸鈰銨(NH4 Ce(NO3 )6 )及過氯酸(HClO4 )之一組合之一蝕刻劑,但本發明實施例不限於此。在一些實施例中,包含Ce(NH4 )2 (NO3 )6 、HClO4 及H2 O之一蝕刻劑可用於移除具有基於Cr之材料之圖案化屏蔽層220',但本發明實施例不限於此。在一些實施例中,包含H3 PO4 、HNO3 、CH3 COOH及H2 O之一蝕刻劑可用於移除具有基於Mo之材料之圖案化屏蔽層220',但本發明實施例不限於此。應注意,保護層210抵抗用於移除圖案化屏蔽層220'之蝕刻劑。因此,遮罩基板202之表面藉由保護層210保護且因此不受濕式再循環操作影響。
參考圖7C,在一些實施例中,可移除保護層210。在此等實施例中,保護層210藉由包含硝酸(HNO3 )、硫酸(H2 (SO)4 )或磷酸(H3 (PO)4 )之一蝕刻劑移除,但本發明實施例不限於此。在一些實施例中,使用包含磷酸(H3 (PO)4 )、硝酸(HNO3 )、醋酸(CH3 COOH)及H2 O之一蝕刻劑。在一些實施例中,曝光遮罩基板202之表面且完成遮罩基板202之再循環。在一些實施例中,遮罩基板202包含在保護層210之移除之後之一厚度T2,且厚度T2與厚度T1相同。接著,清洗且可重用遮罩基板202。
應注意,在一些實施例中,當省略保護層210且遮罩基板之部分透過圖案化屏蔽層曝光時,厚度均勻性降低,此係因為用於形成圖案化屏蔽層之蝕刻及修復消耗遮罩基板。此外,可獲得具有一粗糙底部之複數個凹槽。在此等實施例中,一額外遮罩層必須在移除圖案化屏蔽層之前形成於凹槽上。形成額外遮罩層以在移除圖案化屏蔽層期間保護遮罩基板以防進一步消耗。接著移除額外遮罩層以在移除圖案化屏蔽層之後曝光凹槽。為了移除凹槽及粗糙底部表面,在該等實施例中需要一拋光操作。在一些實施例中,藉由拋光操作移除遮罩基板之部分以獲得一平坦表面且因此,遮罩基板之厚度減小。接著,清洗且可重用遮罩基板。在此等實施例中,在再循環之後之一最終厚度小於移除圖案化屏蔽層之前之原始厚度。應注意,因為每當光罩經歷再循環時,厚度減小,遮罩基板之一服務壽命因此減少。
推斷在無保護層210的情況下,光罩之再循環包含五個以上操作且遮罩基板遭受厚度減小。在一些實施例中,提供包含金屬氟化物之保護層210,使得光罩200之再循環包含少於五個操作:移除圖案化屏蔽層220';移除保護層210;及清洗。換言之,簡化光罩200之再循環且縮短程序。此外,由於保護層210保護遮罩基板202之整個表面,故改良表面平坦度及厚度均勻性,且降低表面粗糙度。即,形成保護層210導致一無拋光再循環。更重要的是,在移除圖案化屏蔽層220'之前之厚度T1及移除保護層210之後之厚度T2歸因於無拋光再循環而係相同的。換言之,根據實施例緩解厚度減小,且因此,遮罩基板獲得一更長服務壽命。
參考圖7D,在一些實施例中,可省略保護層210之移除,且因此,光罩之再循環包含兩個操作:移除圖案化屏蔽層220'及清洗。
仍參考圖7D,在一些實施例中,在清洗之後,可在遮罩基板202上形成另一保護層210'。如上文提及,形成保護層210'以覆蓋且保護遮罩基板202之整個表面。在一些實施例中,可在形成保護層210'之後使用遮罩基板202。
參考圖7E,在保護層210或210'上形成一材料層270。在一些實施例中,材料層270可包含用於形成屏蔽層之材料,但本發明實施例不限於此。在一些實施例中,材料層270可藉由方法100之操作104至108 (圖1中展示)圖案化,但本發明實施例不限於此。在一些實施例中,獲得另一光罩200',如在圖7E中展示。應注意,在形成材料層222之後之遮罩基板202之一厚度T3與在移除圖案化屏蔽層220'之前之遮罩基板202之厚度T1 (及在移除保護層210之後之厚度T2)相同。
因此,本發明實施例提供光罩、一種形成一光罩之方法及一種處離一遮罩基板之方法。在遮罩基板上形成保護層以提供對遮罩基板之保護以防全域化及局部化缺陷。因此,改良遮罩基板之平坦度。此外,由於全域化及局部化缺陷減少,故在遮罩基板之再循環期間不再需要一拋光操作。在一些實施例中,簡化用於形成光罩之操作及用於再循環遮罩基板之操作兩者。因此,在形成光罩期間且在再循環中,遮罩基板需要更短之程序流程且招致更低之成本。此外,遮罩基板以一更長服務壽命為特徵,此係因為厚度減小得以緩解。
本發明實施例提供一種光罩,其包含一基板、覆蓋該基板之一表面之一第一層及該第一層上之一圖案化第二層。在一些實施例中,第一層之部分透過圖案化第二層曝光。在一些實施例中,與基板及圖案化第二層相比,該第一層對鹵素系氣體更具抗性。在一些實施例中,第一層包含金屬氟化物。
在一些實施例中,提供一種形成一光罩之方法。該方法包含下列操作。接收一基板,且在基板上形成一保護層及一屏蔽層。移除屏蔽層之部分以形成一圖案化屏蔽層。提供一BSE偵測器以監測屏蔽層之部分之移除。當自BSE偵測器獲得之BSE強度之一差異大於約30%時,停止屏蔽層之部分之移除。隨後蝕刻迴圈中之BSE強度變得穩定。
在一些實施例中,提供一種形成一半導體結構之方法。該方法包含下列操作。在一基板上方形成一光阻劑層。藉由使用一光學微影工具透過一光罩使用光化輻射曝光光阻劑層。移除曝光光阻劑層以形成一光阻圖案。在一些實施例中,光罩包含一遮罩基板、一圖案化屏蔽層及一保護層。保護層經安置於遮罩基板與圖案化屏蔽層之間且覆蓋遮罩基板之一表面。
前文概述若干實施例之特徵,使得熟習此項技術者可更佳理解本發明實施例之態樣。熟習此項技術者應瞭解,其等可容易地使用本發明實施例作為設計或修改用於實行本文中介紹之實施例之相同目的及/或達成相同優點之其他程序及結構之一基礎。熟習此項技術者亦應認識到,此等等效架構並不脫離本發明實施例之精神及範疇,且其等可在不脫離本發明實施例之精神及範疇之情況下在本文中作出各種改變、替代及更改。
100:方法 102:操作 104:操作 106:操作 108:操作 110:方法 112:操作 114:操作 116:操作 118:操作 120:操作 200:光罩 200':光罩 202:遮罩基板 210:保護層 210':保護層 220:屏蔽層 220':圖案化屏蔽層 222:開口 230:硬遮罩層 230':圖案化遮罩層 232:圖案化光阻劑/圖案化光阻劑層 250:蝕刻 252:修復 260:護膜 262:護膜框架 270:材料層 300:基板 310:光阻劑層 312:曝光部分 314:非曝光部分 330a:光阻圖案 330b:光阻圖案 T1:厚度 T2:厚度 T3:厚度
當結合附圖閱讀時自下列實施方式最佳地理解本發明實施例之態樣。應注意,根據行業中之標準實踐,各種構件不按比例繪製。實際上,為清晰論述,各種構件之尺寸可任意增大或減小。
圖1係表示根據本發明實施例之態樣之用於形成一光罩之一方法之一流程圖。
圖2係表示根據本發明實施例之態樣之用於處理一遮罩基板之一方法之一流程圖。
圖3A至圖3E係繪示在一或多項實施例中根據本發明實施例之態樣建構之各種製造階段之一光罩之示意圖。
圖4係展示自一OES感測器獲得之經量測信號之一圖表。
圖5係展示自一BSE感測器獲得之經量測信號之一圖表。
圖6A至圖6C係繪示在一或多項實施例中根據本發明實施例之態樣建構之各種製造階段之一半導體結構之示意圖。
圖7A至圖7E係繪示在一或多項實施例中根據本發明實施例之態樣建構之各種製造階段之一遮罩基板之示意圖。
100:方法
102:操作
104:操作
106:操作
108:操作

Claims (10)

  1. 一種光罩,其包括:一基板;一第一層,其覆蓋該基板之一表面;及一圖案化第二層,其在該第一層上且曝露該第一層之部分,其中與該基板及該圖案化第二層相比,該第一層對一鹵素系氣體更具抗性,且該第一層包括金屬氟化物,其中當該第一層之一厚度大於100nm時,該厚度符合一第一厚度要求,當該第一層之該厚度介於1nm與100nm時,該厚度符合一第二厚度要求,且第一厚度要求不同於第二厚度要求,其中該第一厚度要求包含有一等式(1)及一等式(2),且該等式(1)與該等式(2)分別包含:
    Figure 108125862-A0305-02-0026-3
    Figure 108125862-A0305-02-0026-2
    其中,T指示該第一層之透射率,R指示該第一層之反射率,n指示該第一層之折射率,k指示該第一層之消光係數,λ指示用於一曝光中之光之波長,且d指示該第一層之厚度;以及該第二厚度要求包含一等式(3)、一等式(4)及一等式(5),且該等式(3)、該等式(4)及該等式(5)分別包含:
    Figure 108125862-A0305-02-0026-1
    Figure 108125862-A0305-02-0027-4
    Figure 108125862-A0305-02-0027-5
    其中,Ts指示該基板之透射率,T指示該第一層之透射率,R指示該第一層之反射率,n0指示用於一曝光中之一介質之折射率,ns指示該基板之折射率,n指示該第一層之折射率,ks指示該基板之消光係數,θ指示用於該曝光中之光之一入射角,λ指示用於該曝光中之光之波長,且d指示該第一層之厚度。
  2. 如請求項1之光罩,其中該圖案化第二層包含鉻(Cr)、氮化鉻(CrN)、氮化矽鉬(MoSiN)、矽化鉬(MoSi)或氮氧化矽鉬(MoSiON)。
  3. 如請求項2之光罩,其中該第一層包括氟化鎂(MgF2)、氟化鋁(AlF3)、氟化鑭(LaF3)或氟化釓(GdF3)。
  4. 如請求項1之光罩,其中該圖案化第二層包括氮化矽(SiN)、氧化矽(SiO)或氮氧化矽(SiON)。
  5. 如請求項4之光罩,其中該第一層包括LaF3或GdF3
  6. 一種用於形成一光罩之方法,其包括:接收一基板,其中在該基板上形成一保護層及一屏蔽層;執行一蝕刻以移除該屏蔽層之部分以形成一圖案化屏蔽層; 提供一反向散射電子(BSE)偵測器以監測該屏蔽層之該等部分之該移除;及當自該BSE偵測器獲得之BSE強度之一差異大於約30%時,停止該屏蔽層之該等部分之該移除,且該BSE強度在後續的蝕刻迴圈中變得穩定,其中當該保護層之一厚度大於100nm時,該厚度符合一第一厚度要求,當該保護層之該厚度介於1nm與100nm時,該厚度符合一第二厚度要求,且第一厚度要求不同於第二厚度要求,其中該第一厚度要求包含有一等式(1)及一等式(2),且該等式(1)與該等式(2)分別包含:
    Figure 108125862-A0305-02-0028-9
    Figure 108125862-A0305-02-0028-10
    其中,T指示該保護層之透射率,R指示該保護層之反射率,n指示該保護層之折射率,k指示該保護層之消光係數,λ指示用於一曝光中之光之波長,且d指示該保護層之厚度;以及該第二厚度要求包含一等式(3)、一等式(4)及一等式(5),且該等式(3)、該等式(4)及該等式(5)分別包含:
    Figure 108125862-A0305-02-0028-8
    Figure 108125862-A0305-02-0028-7
    Figure 108125862-A0305-02-0028-6
    其中,Ts指示該基板之透射率,T指示該保護層之透射率,R指示 該保護層之反射率,n0指示用於一曝光中之一介質之折射率,ns指示該基板之折射率,n指示該保護層之折射率,ks指示該基板之消光係數,θ指示用於該曝光中之光之一入射角,λ指示用於該曝光中之光之波長,且d指示該保護層之厚度。
  7. 如請求項6之方法,其中該蝕刻包括一雷射束蝕刻或使用一鹵素系氣體之一電漿轟擊。
  8. 如請求項6之方法,其中該屏蔽層之該等部分之該移除進一步包括在該蝕刻之該執行之後執行具有一鹵素系氣體之一修復。
  9. 一種形成一半導體結構之方法,其包括:在一基板上方形成一光阻劑層;藉由使用一光學微影工具透過一光罩使用光化輻射曝露該光阻劑層;及使該曝露光阻劑層顯影以形成一光阻圖案,其中該光罩包括一遮罩基板、一圖案化屏蔽層及該遮罩基板與該圖案化屏蔽層之間的一保護層,且該保護層覆蓋該遮罩基板之一表面,其中當該保護層之一厚度大於100nm時,該厚度符合一第一厚度要求,當該保護層之該厚度介於1nm與100nm時,該厚度符合一第二厚度要求,且第一厚度要求不同於第二厚度要求,其中該第一厚度要求包含有一等式(1)及一等式(2),且該等式(1)與該等式(2)分別包含:
    Figure 108125862-A0305-02-0030-11
    Figure 108125862-A0305-02-0030-12
    其中,T指示該保護層之透射率,R指示該保護層之反射率,n指示該保護層之折射率,k指示該保護層之消光係數,λ指示該光化輻射之波長,且d指示該保護層之厚度;以及該第二厚度要求包含一等式(3)、一等式(4)及一等式(5),且該等式(3)、該等式(4)及該等式(5)分別包含:
    Figure 108125862-A0305-02-0030-13
    Figure 108125862-A0305-02-0030-14
    Figure 108125862-A0305-02-0030-15
    其中,Ts指示該遮罩基板之透射率,T指示該保護層之透射率,R指示該保護層之反射率,n0指示使用該光化輻射時之一介質之折射率,ns指示該遮罩基板之折射率,n指示該保護層之折射率,ks指示該遮罩基板之消光係數,θ指示該光化輻射之一入射角,λ指示該光化輻射之波長,且d指示該保護層之厚度。
  10. 如請求項9之方法,其進一步包括:移除該圖案化屏蔽層以曝露該保護層;及在該保護層上形成一材料層。
TW108125862A 2018-09-18 2019-07-22 光罩及其形成方法 TWI757614B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US16/134,339 2018-09-18
US16/134,339 US10859905B2 (en) 2018-09-18 2018-09-18 Photomask and method for forming the same

Publications (2)

Publication Number Publication Date
TW202013062A TW202013062A (zh) 2020-04-01
TWI757614B true TWI757614B (zh) 2022-03-11

Family

ID=69773919

Family Applications (1)

Application Number Title Priority Date Filing Date
TW108125862A TWI757614B (zh) 2018-09-18 2019-07-22 光罩及其形成方法

Country Status (3)

Country Link
US (2) US10859905B2 (zh)
CN (1) CN110928138B (zh)
TW (1) TWI757614B (zh)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20210127957A (ko) * 2019-02-20 2021-10-25 도쿄엘렉트론가부시키가이샤 반도체 장치의 제조 방법
US11960110B2 (en) * 2020-04-07 2024-04-16 Canon Kabushiki Kaisha Optical filter having differing reflectance depending on light incident side

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020122991A1 (en) * 2000-12-26 2002-09-05 Hoya Corporation Halftone phase shift mask and mask blank
US20060134534A1 (en) * 2003-08-25 2006-06-22 Laurent Dieu Photomask and method for maintaining optical properties of the same
TW200842492A (en) * 2007-04-24 2008-11-01 Crowningtek Inc A method for protecting a mask blank and a method for recycling a mask blank
TW201708931A (zh) * 2015-08-31 2017-03-01 Hoya Corp 光罩基底、相移光罩及其製造方法、以及半導體裝置之製造方法
KR20170034327A (ko) * 2015-09-18 2017-03-28 신에쓰 가가꾸 고교 가부시끼가이샤 포토마스크 블랭크, 그의 제조 방법 및 포토마스크
JP2018045133A (ja) * 2016-09-15 2018-03-22 凸版印刷株式会社 反射型フォトマスク及び反射型フォトマスクブランク
TW201830123A (zh) * 2016-12-14 2018-08-16 台灣積體電路製造股份有限公司 光罩及其製作方法

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5380608A (en) * 1991-11-12 1995-01-10 Dai Nippon Printing Co., Ltd. Phase shift photomask comprising a layer of aluminum oxide with magnesium oxide
TW366367B (en) 1995-01-26 1999-08-11 Ibm Sputter deposition of hydrogenated amorphous carbon film
US6524755B2 (en) * 2000-09-07 2003-02-25 Gray Scale Technologies, Inc. Phase-shift masks and methods of fabrication
WO2003104896A2 (en) 2002-06-10 2003-12-18 Dupont Photomasks, Inc. Photomask and method for repairing defects
US7049034B2 (en) 2003-09-09 2006-05-23 Photronics, Inc. Photomask having an internal substantially transparent etch stop layer
US7022436B2 (en) 2003-01-14 2006-04-04 Asml Netherlands B.V. Embedded etch stop for phase shift masks and planar phase shift masks to reduce topography induced and wave guide effects
US7604906B1 (en) * 2005-09-21 2009-10-20 Kla- Tencor Technologies Corporation Films for prevention of crystal growth on fused silica substrates for semiconductor lithography
TWI591423B (zh) 2013-06-21 2017-07-11 Hoya Corp A mask base substrate, a mask base, a transfer mask, a method of manufacturing the same, and a method of manufacturing the semiconductor device
US20170224797A1 (en) 2016-02-10 2017-08-10 Xeme Biopharma Inc. Cancer Therapy Using a Vaccine in Combination with a Cell-Based Immunotherapeutic Agent
US10095102B2 (en) * 2016-04-12 2018-10-09 Taiwan Semiconductor Manufacturing Co., Ltd. Photomask having a plurality of shielding layers

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020122991A1 (en) * 2000-12-26 2002-09-05 Hoya Corporation Halftone phase shift mask and mask blank
US20060134534A1 (en) * 2003-08-25 2006-06-22 Laurent Dieu Photomask and method for maintaining optical properties of the same
TW200842492A (en) * 2007-04-24 2008-11-01 Crowningtek Inc A method for protecting a mask blank and a method for recycling a mask blank
TW201708931A (zh) * 2015-08-31 2017-03-01 Hoya Corp 光罩基底、相移光罩及其製造方法、以及半導體裝置之製造方法
KR20170034327A (ko) * 2015-09-18 2017-03-28 신에쓰 가가꾸 고교 가부시끼가이샤 포토마스크 블랭크, 그의 제조 방법 및 포토마스크
JP2018045133A (ja) * 2016-09-15 2018-03-22 凸版印刷株式会社 反射型フォトマスク及び反射型フォトマスクブランク
TW201830123A (zh) * 2016-12-14 2018-08-16 台灣積體電路製造股份有限公司 光罩及其製作方法

Non-Patent Citations (2)

* Cited by examiner, † Cited by third party
Title
Md. Sultan Z and Sultana N, Analysis of Reflectance and Transmittance Characteristics of Optical Thin Film for Various Film Materials, Thicknesses and Substrates, Materials Science, Journal of Electrical & Electronic Systems, Volume 4, Issue 3, 2015; *
Topasna, Daniela, Topasna, Gregory, Numerical modeling of thin film optical filters, Proceedings Volume 9666, 11th Education and Training in Optics and Photonics Conference, 2009 *

Also Published As

Publication number Publication date
US10859905B2 (en) 2020-12-08
US20200089098A1 (en) 2020-03-19
CN110928138A (zh) 2020-03-27
TW202013062A (zh) 2020-04-01
US11681215B2 (en) 2023-06-20
CN110928138B (zh) 2024-03-26
US20210080820A1 (en) 2021-03-18

Similar Documents

Publication Publication Date Title
KR102303158B1 (ko) 포토리소그래피 프로세스용 어시스트 피처
US8679707B2 (en) Method of fabricating a lithography mask
TWI720357B (zh) 半導體裝置的製造方法
JP4204583B2 (ja) フォトマスクブランクの製造方法
TWI757614B (zh) 光罩及其形成方法
TWI772645B (zh) 空白光罩、光罩之製造方法及光罩
TWI782324B (zh) 缺陷檢測之方法
JP5180549B2 (ja) フォトマスクのブリッジリペア方法
JP4478568B2 (ja) 改良されたレチクルの製造のためにアモルファスカーボン層を使用する方法
JP4204584B2 (ja) フォトマスクブランクの製造方法
US20160299419A1 (en) Lithography Mask
US7745070B2 (en) Structure of a lithography mask
US20060199082A1 (en) Mask repair
US6780548B1 (en) Alternating aperture phase shifting photomask with improved transmission balancing
JPH10274839A (ja) 修正用マスク及びハーフトーン位相シフトマスクの修正方法
TWI715971B (zh) 光罩及其形成方法
US11226551B2 (en) Lithographic mask, a pellicle therein and method of forming the same
TWI820729B (zh) 微影罩幕與其製造方法
CN111435218B (zh) 光刻掩膜版缺陷的修复方法及光刻掩膜版
WO2004088416A1 (ja) フォトマスクのパターンサイズ測定検査方法