TWI755379B - 鰭狀場效電晶體結構與其形成方法 - Google Patents

鰭狀場效電晶體結構與其形成方法 Download PDF

Info

Publication number
TWI755379B
TWI755379B TW106107509A TW106107509A TWI755379B TW I755379 B TWI755379 B TW I755379B TW 106107509 A TW106107509 A TW 106107509A TW 106107509 A TW106107509 A TW 106107509A TW I755379 B TWI755379 B TW I755379B
Authority
TW
Taiwan
Prior art keywords
oxide
fins
fin
trench isolation
shallow trench
Prior art date
Application number
TW106107509A
Other languages
English (en)
Other versions
TW201814796A (zh
Inventor
黃彥鈞
彭治棠
彭辭修
黃泰鈞
許光源
包天一
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW201814796A publication Critical patent/TW201814796A/zh
Application granted granted Critical
Publication of TWI755379B publication Critical patent/TWI755379B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823481MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type isolation region manufacturing related aspects, e.g. to avoid interaction of isolation region with adjacent structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7855Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with at least two independent gates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • H01L21/76232Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials of trenches having a shape other than rectangular or V-shape, e.g. rounded corners, oblique or rounded trench walls
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/0886Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0642Isolation within the component, i.e. internal isolation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Element Separation (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Thin Film Transistor (AREA)

Abstract

用於切割基板上的鰭狀場效電晶體結構之鰭狀片段的切割最後之製程,採用兩步製程。在形成鰭狀物後,沉積氧化物材料於鰭狀場效電晶體結構的溝槽中。氧化物材料可為淺溝槽隔離氧化物或低應力的虛置填隙材料。藉由蝕刻品可移除鰭狀片段,並保留凹陷狀(如u型或v型)的矽部份於鰭狀物底部。當氧化物材料為淺溝槽隔離氧化物時,移除鰭狀物後形成的孔洞可填有替換的淺溝槽隔離氧化物。當氧化物材料為虛置的填隙材料時,可移除虛置的填隙材料以置換成淺溝槽隔離氧化物;或者將虛置的填隙材料轉變成淺溝槽隔離氧化物,並在上述轉變步驟之前或之後填入替換的淺溝槽隔離氧化物。

Description

鰭狀場效電晶體結構與其形成方法
本發明實施例關於鰭狀場效電晶體結構的形成方法,更特別關於兩步之切割最後的製程。
隨著積體電路的尺寸縮小以及對積體電路的速度之需求增加,電晶體需具有更高的驅動電流以及更小的尺寸。因此發展鰭狀場效電晶體。鰭狀場效電晶體包含垂直的半導體鰭狀物於基板上。半導體鰭狀物用以形成源極與汲極區,以及源極與汲極區之間的通道區。淺溝槽隔離區形成以定義半導體鰭狀物。鰭狀場效電晶體亦包含閘極堆疊,其形成於半導體鰭狀物之側壁與上表面上。雖然現有的鰭狀場效電晶體裝置與其製作方法通常可適用於特定目的,但仍無法完全適用於所有方面。舉例來說,目前亟需更彈性的整合方式以形成鰭狀物與隔離結構。
本發明一實施例提供之鰭狀場效電晶體結構的形成方法,包含:選擇性蝕刻基板以形成多個鰭狀物與多個溝槽;將第一氧化物填入該些溝槽;蝕刻鰭狀物的第一鰭狀物以移除至少部份的第一鰭狀物,以形成孔洞;將第二氧化物填入孔洞,且第二氧化物可與第一氧化物相同或不同。
101:半導體基板
103:墊氧化物層
105:墊氮化物層
107:硬遮罩層
108:光阻層
109:氧化物層
111:溝槽
113:較下部份
114:鰭狀物
115:虛置的填隙材料
116:較上部份
117:光阻材料
118、119、121:開口
123:鰭狀部份
127、141、143:淺溝槽隔離氧化物材料
129:上表面
第1至15圖係一些實施例中,以切割最後的製程形成之鰭狀場效電晶體於製程的中間階段之剖視圖。
下述內容提供的不同實施例或實例可實施本發明實施例的不同結構。特定構件與排列的實施例係用以簡化本發明而非侷限本發明。舉例來說,形成第一結構於第二結構上的敘述包含兩者直接接觸,或兩者之間隔有其他額外結構而非直接接觸。此外,本發明實施例之多種例子可重複標號及/或符號,但這些重複僅用以簡化及清楚說明,而非多種實施例及/或設置之間具有相同標號的單元之間具有相同的對應關係。
此外,空間性的相對用語如「下方」、「其下」、「較下方」、「上方」、「較上方」、或類似用語可用於簡化說明某一元件與另一元件在圖示中的相對關係。空間性的相對用語可延伸至以其他方向使用之元件,而非侷限於圖示方向。元件亦可轉動90°或其他角度,因此方向性用語僅用以說明圖示中的方向。
切割最後的鰭狀場效電晶體與其形成方法將提供如下。切割最後的鰭狀場效電晶體於製程的中間階段中的實施例亦說明如下,並討論一些實施例的一些變化。在多種圖式與實施例中,類似標號將用以標示類似單元。
第1至15圖係一些實施例中,切割最後的鰭狀場效電晶體於製程的中間階段中的剖視圖。
藉由移除或分隔鰭狀物,可讓基體基板上的鰭狀場效電晶體結構形成個別的電晶體。切割優先的方法在使基板材料凹陷前可先切割鰭狀物,因此鰭狀物絕不形成於切割優先區中。在切割優先製程中,關鍵尺寸負荷為切割鰭狀物的問題之一。在切割最後的方法中,可先形成鰭狀物於基板上,接著依據所需的定義氧化物之電晶體設計,移除或分隔鰭狀物。在切割最後製程的微影製程中,當鰭狀技術的尺寸越來越小時,關鍵尺寸一致的層疊將會是問題之一。若可採用微影製程,且切割最後的製程可行的話,則切割最後的製程會優於切割優先的製程。一般在考量成本及製程優點的情況下,需採用切割最後的製程。切割最後的製程可縮小圖案中切口或間隙的尺寸。縮小尺寸即可形成較高密度的圖案與結構。舉例來說,上述方法可形成較小的靜態隨機存取記憶體單元尺寸,進而增加靜態隨機存取記憶體裝置中的靜態隨機存取記憶體單元密度。
當鰭狀場效電晶體的鰭狀物尺寸隨著較小的佈局技術縮小,對小尺寸(如寬度)與小間距的鰭狀物進行切割最後的製程也更困難。舉例來說,當鰭狀物場效電晶體佈局包含的鰭狀物間距小於25nm時,採用習知的切割最後製程將面臨問題。在上視圖中,鰭狀物的間距包含上視圖中,鰭狀物的寬度加上相鄰之鰭狀物之間的距離。此外,製程變異會防礙切割最後的製程策略。一般而言,切割最後的製程在形成鰭狀物後,才切割鰭狀物。在不切割的鰭狀物上可沉積並圖案化光阻遮罩,並露出欲切割的鰭狀物。接著蝕刻移除露出的鰭狀物。然而鰭狀物尺寸與間距越小,則光阻相關製程越困難。
一實施例採用切割最後的製程,其先使欲切割的鰭狀物以及與其鄰近的鰭狀物穩定。上述穩定步驟包含形成淺溝槽隔離氧化物於每一鰭狀物之間。藉由淺溝槽隔離氧化物,可精細切割個別的鰭狀物頂部,以自淺溝槽隔離氧化物或其他鰭狀物的蓋結構露出鰭狀物。接著以自對準蝕刻搭配淺溝槽隔離氧化物作遮罩,蝕刻移除個別的鰭狀物。在蝕刻移除鰭狀物或部份鰭狀物後形成的孔洞,可加入淺溝槽隔離氧化物。上述兩步製程可讓尺寸越來越小的鰭狀物得以進行最後切割的製程,本發明實施例提供兩步之切割最後的製程,以自鰭狀場效電晶體結構移除鰭狀物(或部份鰭狀物)。
當移除或分隔鰭狀場效電晶體結構的鰭狀物(如切割鰭狀物)產生孔洞時,保留之鰭狀物可能朝孔洞彎折。永久性的淺溝槽隔離氧化物通常具有高硬力,且可在定義氧化物的邊緣提供壓力至鰭狀物上。切割與移除鰭狀物後,接著取代為淺溝槽隔離氧化物,高應力會讓與切割與移除之鰭狀物相鄰(或與定義氧化物區邊緣相鄰)之鰭狀物彎折。由於高應力的淺溝槽隔離氧化物會將鰭狀物的彎折應力轉移至下一個鰭狀物,因此一個鰭狀物彎折時,其附近的鰭狀物亦隨之彎折。本發明一些實施例採用低應力的虛置填隙材料取代淺溝槽隔離氧化物,有助於避免切割最後的製程中的鰭狀物彎折。這些實施例將進一步詳述於下。
第1圖係製程的早期階段的鰭狀場效電晶體之半導體結構。半導體基板101為部份的半導體晶圓。在本發明一些實施例中,半導體基板101包含結晶矽。可用於半導體基板 101的其他材料包含碳、鍺、鎵、硼、砷、氮、銦、及/或磷、或類似物。半導體基板101亦可包含其他半導體材料如III-V族半導體化合物材料。半導體基板101可為基體基板或絕緣層上半導體基板。此外,半導體基板101可包含其他結構。舉例來說,半導體基板101可包含多種摻雜區(如p型基板或n型基板),端視設計需求而定。舉例來說,摻雜區可摻雜p型摻質如硼或BF2、n型摻質如磷或砷、及/或上述之組合。摻雜區可設置以用於n型鰭狀場效電晶體,或另外設置以用於p型鰭狀場效電晶體。
墊氧化物層103、墊氮化物層105、與硬遮罩層107形成於半導體基板上。墊氧化物層103可為薄膜,其包含氧化矽。在一些實施例中,墊氧化物層103的形成方法為熱氧化製程,其氧化半導體基板101的上表面。墊氧化物層103可作為半導體基板101與墊氮化物層105之間的黏著層。墊氧化物層103亦可作為蝕刻墊氮化物層105時的蝕刻停止層。墊氮化物層105可為第一硬遮罩層。墊氮化物層105之組成可為硬遮罩材料如氮化矽。在一些實施例中,墊氮化物層105的形成方法可採用低壓化學氣相沉積。在其他實施例中,墊氮化物層105之形成方法可為矽的熱氮化製程、電漿增強化學氣相沉積、或電漿陽極氮化製程。在其他實施例中,硬遮罩層107可為第二硬遮罩層,且其組成可與墊氮化物層105之材料相同或不同。硬遮罩層107可視作定義氧化物阻層。硬遮罩層107的形成技術可與墊氮化物層105的形成技術相同或不同。墊氧化物層103、墊氮化物層105、與硬遮罩層107的組合可作為後續光微影製程中的硬 遮罩。
第2圖新增光阻層108於硬遮罩層107之頂部上,接著依據定義氧化物(鰭狀場效電晶體之鰭狀物所在處)圖案化光阻層,以形成開口110於光阻層108中。在一些實施例中,光阻層108可視作定義氧化物的光阻層。一般而言,光微影技術包含沉積光阻材料(如光阻層108)、照射(曝光)、以及顯影以移除部份光阻材料。保留的光阻材料可保護其下的材料(比如此例之硬遮罩層)免於後續製程(如蝕刻)影響。在此例中,圖案化光阻材料以定義墊氧化物層103、墊氮化物層105、與硬遮罩層107。
如第3圖所示,蝕刻硬遮罩層107、墊氮化物層105、與墊氧化物層103,以露出下方的半導體基板101。接著可移除光阻層108。雖然圖式中墊氧化物層103、墊氮化物層105、與硬遮罩層107的剖面形狀為矩形,但其剖面形狀亦可為上窄下寬的梯形。
如第4圖所示,可視情況進行修整製程以修整墊氧化物層103、墊氮化物層105、與硬遮罩層107。修整製程可縮小每一上述結構的整體寬度。在一些實施例中,修整製程可為電漿蝕刻,其可採用反應性離子蝕刻或原子層蝕刻以修整墊氧化物層103、墊氮化物層105、與硬遮罩層107的形狀。上述修整製程可為單一步驟或多重步驟。
如第5圖所示,可視情況進行再覆蓋製程,以增加墊氧化物層103、墊氮化物層105、與硬遮罩層107定義之鰭狀物尖端寬度。氧化物可沉積於上述結構,以形成再覆蓋的氧化 物層109。氧化物層109可為順應性的層狀物,其水平部份與垂直部份的厚度相近。在一些實施例中,氧化物層109的形成方法可為沉積技術如原子層沉積或次原子化學氣相沉積。舉例來說,合適的再覆蓋氧化物材料可為原子層沉積氧化物。在一些實施例中,可在視情況進行的修整製程(見第4圖)之後,再形成氧化物層109。在其他實施例中,即使未進行上述之修整製程,仍可形成氧化物層109。
如第6圖所示,蝕刻半導體基板101以形成鰭狀物114與溝槽111。鰭狀物114的較下部份113係由半導體基板101組成,較上部份116係由堆疊的墊氧化物層103與墊氮化物層105組成,且側壁由再覆蓋的氧化物層109所組成(若形成氧化物層109)。在一些實施例中,較下部份113的高度可介於70nm至150nm之間。舉例來說,較下部份113的高度可為約100nm。在一些實施例中鰭狀物高度可大於150nm或小於70nm。雖然非等向蝕刻可用以形成第6圖中所示之矩形剖面的較下部份113,但本技術領域中具有通常知識者應理解較下部份113可具有梯形剖面(上窄下寬)。氧化物層109(若存在)與硬遮罩層107的最頂層可移除,且移除方法可為化學機械研磨製程。在採用氧化物層109的實施例中,氧化物層109可保留於較上部份116的側壁上。
如第7圖所示之一些實施例中,虛置的填隙材料115可用以填入溝槽111。在一些實施例中,虛置的填隙材料115可完全封住鰭狀物如圖式。在其他實施例中,虛置的填隙材料115可封住部份的鰭狀物。在一些實施例中,可平坦化(如化學 機械研磨)虛置的填隙材料115,使虛置的填隙材料之頂部表面與墊氮化物層105之頂部表面共平面。
用於虛置的填隙材料115可包含低應力且易移除的材料。採用虛置的填隙材料115,而非恆久的淺溝槽隔離氧化物材料。虛置的填隙材料115可包含碳化矽、碳氧化矽、低介電常數材料(如SiO2、SiOCH、硼磷矽酸鹽玻璃、四乙氧基矽烷、旋轉塗佈玻璃、未摻雜之矽酸鹽玻璃、氟化矽酸鹽玻璃、高密度電漿氧化物、多孔氧化矽、摻雜碳的多孔氧化矽、有機聚合物、或矽酮為主的聚合物)、或旋轉塗佈碳。
虛置的填隙材料115的形成方法可為沉積如次壓化學氣相沉積、可流動化學氣相沉積、化學氣相沉積、原子層沉積、或類似方法。在一些實施例中,可施加液態之虛置的填隙材料115後,再硬化、加熱、或回火虛置的填隙材料115。舉例來說,當虛置的填隙材料115為旋轉塗佈碳時,可施加液態的旋轉塗佈碳,其起始材料的元素包含C、H、O、N、F、Br、與S。旋轉塗佈碳材料可含約50%至約95%的碳。
在一些實施例中,作為虛置的填隙材料115之低品質材料為低品質氧化物,其具有低應力且易於移除。在一些實施例中,低品質氧化物可為淺溝槽隔離氧化物材料,其可採用產生低品質氧化物的方法製備。舉例來說,低溫熱回火比高溫回火更適於提供應力降低且低應力之淺溝槽隔離氧化物材料。
採用低應力與易於移除的材料作為虛置的填隙材料115,可減少圖案密度低-圖案密度高的區域之間的應力差異。在移除不需要的較下部份113後,再移除虛置的填隙材料 115以將其置換為真的淺溝槽隔離氧化物。在切割鰭狀物及移除不需要的鰭狀物之後,若採用恆久的淺溝槽隔離氧化物材料,沉積高應力的淺溝槽隔離氧化物材料至開口中可能會導致壓縮效應,造成鄰近的鰭狀物(特別是鰭狀物尖端)彎折。位於相鄰之每一鰭狀物之間的淺溝槽隔離氧化物材料,會讓鰭狀物的彎折轉移至下一個鰭狀物,即鄰近的鰭狀物彎折會造成其他附近的鰭狀物彎折。
如第8圖所示,可沉積並圖案化光阻材料117以形成開口118,且開口118對應切割最後的製程中所欲移除的鰭狀物。一般而言,光微影技術包含沉積光阻材料(如光阻材料117)、照射(曝光)、以及顯影移除部份的光阻材料。保留的光阻材料可保護其下方的材料(如虛置的填隙材料115)免於後續製程步驟(如蝕刻)影響。在此例中,可圖案化光阻材料以定義欲移除的鰭狀物。光阻材料117作為精密切割圖案,接著可作為蝕刻遮罩。
第9圖係施加一或多個精細切割製程後的半導體結構。一或多個精細切割可採用光阻材料117作為精細切割圖案。雖然圖示的切割沿著鰭狀物的長度方向,切割仍可橫越鰭狀物,即移除鰭狀物片段之間的部份鰭狀物,以將鰭狀物切割成鰭狀物片段。本技術領域中具有通常知識者亦應理解,可移除一或多個鰭狀物或鰭狀物片段。如此一來,一些實施例中可移除完整鰭狀物,而其他實施例未移除任何鰭狀物但移除一或多個鰭狀物片段。精細切割製程可形成開口119以露出較下部份113,即之後欲移除的鰭狀物所在處。精細切割製程可包含 多重步驟以移除墊氮化物層105與墊氧化物層103,其移除方式可為多種技術如精細切割圖案與選擇性蝕刻的組合,即選擇性蝕刻墊氮化物層105與墊氧化物層103。
如第10圖所示,採用蝕刻品移除精細圖案/光阻材料117所露出的較下部份113。在一些實施例中,可移除視情況形成的氧化物層109(若存在)。在其他實施例中,可保留氧化物層109。蝕刻品可包含濕蝕刻品或乾蝕刻品。蝕刻製程可包含自對準蝕刻。在一些實施例中,矽蝕刻可採用氯氣或溴化氫,其對矽的蝕刻選擇性高於對介電物(如碳氧化矽、旋轉塗佈碳、或其他氧化物)。
在蝕刻後,可保留較下部份113的底部之鰭狀部份123。鰭狀部份123的頂部表面為波浪狀,即鰭狀部份之中心的上表面比其邊緣凹陷。換言之,鰭狀部份123的上表面的剖視形狀為凹陷。如此一來,鰭狀部份123亦可稱作凹陷的鰭狀部份123。舉例來說,鰭狀部份的剖面形狀可為u型或v型。在一些實施例中,較下部份113之凹陷的鰭狀部份123為原有較下部份113之尺寸的約5%至30%之間。舉例來說,一些實施例之較下部份113之高度為約100nm,而凹陷的鰭狀部份123之高度可為約20nm。在一些實施例中可完全移除較下部份113,即完全不保留任何凹陷的鰭狀部份123。在一些實施例中,可保留超過30%的較下部份113以作為凹陷的鰭狀部份123。
蝕刻製程可為自對準蝕刻,以形成開口121。雖然圖式中的開口121其頂部比底部,但一些實施例之開口121其頂部(較靠近虛置填隙材料115的上表面)比底部(靠近凹陷的鰭狀 部份123)窄。在一些實施例中,蝕刻形成開口121時,鰭狀物114之較上部份116中的開口119仍維持其寬度。綜上所述,開口121一開始寬,在對應較上部份116的位置變窄,接著再變寬至較下部份113的寬度。由於虛置的填隙材料115為低應力材料,鄰近開口121的鰭狀物不會彎折。若虛置的填隙材料改為高應力材料如真的淺溝槽隔離氧化物材料,則鄰近開口121的鰭狀物將因高應力材料而自開口121向外彎折。
較下部份113之凹陷的鰭狀部份123保留於上述製程後。蝕刻可形成凹陷的鰭狀部份123於虛置的填隙材料115中。在蝕刻較下部份113時,蝕刻品將濃縮於較下部份113的中心,使中心比兩側暴露至更多的蝕刻品,即形成上表面之中心凹陷的鰭狀部份123。在一般切割最後的製程中,遮罩欲保留的鰭狀物以移除欲移除的鰭狀物,但未採用虛置的填隙材料115。接著可蝕刻移除露出的鰭狀物。如此一來,實施例可產生凹陷的鰭狀部份123,而一般切割最後的製程保留的鰭狀部份將不具有這種輪廓。
如第11圖所示之一實施例中,可移除虛置的填隙材料115,以形成第11圖所示的結構。移除虛置的填隙材料115可採用蝕刻品,其可包含濕蝕刻品或乾蝕刻品。在一些實施例中,可採用蝕刻矽的蝕刻品如氧電漿、聯胺電漿、或其他合適蝕刻品。由於虛置的填隙材料115易於移除,蝕刻品可實質上移除所有虛置的填隙材料115而不損傷其他部份的結構。綜上所述,第11圖的結構可稱作切割最後的定義氧化物製程。
如第12圖所示,可形成恆久的淺溝槽隔離氧化物 材料127於半導體結構上。在一些實施例中,淺溝槽隔離氧化物材料127可完全封住鰭狀物。值得注意的是,移除矽的鰭狀物所形成之凹陷的鰭狀部份123仍保留,且淺溝槽隔離氧化物材料127亦封住凹陷的鰭狀部份123。在第13圖中,可平坦化淺溝槽隔離氧化物材料127,使其上表面129與鰭狀物的上表面共平面。
第13圖之鰭狀結構可進行後續製程以具有源極/汲極區,以及位於鰭狀結構上的一或多個閘極結構。內連線可連接至鰭狀結構,使部份的鰭狀場效電晶體連接至其他主動裝置。可切割鰭狀場效電晶體結構以進行後續製程。
採用虛置的填隙材料115有利於切割最後的製程得以用於小尺寸的製程技術,並減少鰭狀物因高應力之淺溝槽隔離氧化物材料而彎折的機會。舉例來說,一些實施例中兩步之切割最後的製程,可用於寬度小於15nm(如約8nm)的鰭狀物。在其他實施例中,採用虛置的填隙材料之兩步的切割最後的製程,可用於寬度大於15nm的鰭狀物。
如第14圖所示,一些實施例在第10圖之製程後,並不移除低品質的淺溝槽隔離氧化物材料,而是將其暴露至額外熱回火(如高溫熱回火或長時間熱回火),使其轉變成高品質的淺溝槽隔離氧化物材料。舉例來說,在低溫回火形成低品質的淺溝槽隔離氧化物材料的實施例中,高溫熱回火可將低品質的淺溝槽隔離氧化物材料轉變成高品質的淺溝槽隔離氧化物,而不需移除低品質的淺溝槽隔離氧化物材料。額外的淺溝槽隔離氧化物材料143可沉積或形成於開口121中。在一些實施 例中,額外的淺溝槽隔離氧化物材料143可為低品質的淺溝槽隔離氧化物材料,且可進一步回火半導體結構,使淺溝槽隔離氧化物材料143及虛置的填隙材料115轉變成恆久的淺溝槽隔離氧化物材料141與143。在其他實施例中,虛置的填隙材料115可轉變成恆久的淺溝槽隔離氧化物材料141,並採用高品質之恆久的淺溝槽隔離氧化物材料143填入開口121。淺溝槽隔離氧化物材料141與143可為相同或不同材料,且其沉積或形成方法可為虛置的填隙材料115的形成方法如前述。
將虛置的填隙材料115轉變為淺溝槽隔離氧化物,有助於緩和高應力的淺溝槽隔離氧化物造成的鰭狀物彎折。採用兩步之切割最後的製程,亦可讓切割最後的製程用於小尺寸的製程技術。舉例來說,一些實施例中兩步之切割最後的製程,可用於鰭狀物寬度小於15nm(比如約8nm)的技術。在其他實施例中,採用轉換的虛置填隙材料之兩步切割最後的製程,可用於鰭狀物寬度大於15nm的技術。
回到第14圖,一些實施例未採用虛置填隙材料,而恆久的淺溝槽隔離氧化物可用於兩步之蝕刻最後的製程。在這些實施例中,凹陷的鰭狀部份123可保留於淺溝槽隔離氧化物中,如前所述。這些實施例在第10圖之製程後,虛置的填隙材料115與淺溝槽隔離氧化物材料141可視作恆久的淺溝槽隔離氧化物材料。移除較下部份113後形成的開口121,可填有額外之恆久的淺溝槽隔離氧化物材料143,或填有之後可硬化、加熱、或回火成恆久的淺溝槽隔離氧化物材料之材料。淺溝槽隔離氧化物材料143可經由多重沉積步驟形成,比如次壓化學 氣相沉積、可流動化學氣相沉積、化學氣相沉積、原子層沉積、或類似方法。在一些實施例中,可施加液態之虛置的填隙材料115後,接著進行硬化、加熱、或回火。雖然搭配淺溝槽隔離氧化物材料之兩步切割最後的製程會導致鰭狀物彎折,其仍可讓切割最後的製程用於小尺寸的製程技術。舉例來說,一些實施例中兩步之切割最後的製程,可用於鰭狀物寬度小於15nm(比如約8nm)的技術。在其他實施例中,採用淺溝槽隔離氧化物之兩步切割最後的製程,可用於鰭狀物寬度大於15nm的技術。
如第15圖所示,接著圖案化淺溝槽隔離氧化物材料141與143,使兩者之上表面129與鰭狀物之頂部實質上共平面。第15圖之鰭狀結構可進行後續製程以具有源極/汲極區,以及位於鰭狀結構上的一或多個閘極結構。內連線可連接至鰭狀結構,使部份的鰭狀場效電晶體連接至其他主動裝置。可切割鰭狀場效電晶體結構以進行後續製程。
本發明實施例可提供切割最後的製程,以用於切割基板上之鰭狀場效電晶體結構之鰭狀片段。採用兩步製程可讓切割最後的製程用於較小的鰭狀物技術(通常需採用切割優先的製程)。在形成鰭狀物後,沉積材料於鰭狀場效電晶體結構的溝槽中。在一些實施例中,沉積的材料為淺溝槽隔離氧化物。淺溝槽隔離氧化物通常具有高應力,且在移除鰭狀片段的製程中可能導致鰭狀物朝鄰近之鰭狀物彎折。在一些實施例中,沉積的材料為虛置的填隙材料,其可為低應力的氧化物。採用虛置的填隙材料,有助於避免因採用淺溝槽隔離氧化物導 致的鰭狀物彎折。在一些實施例中,虛置的填隙材料可為低溫回火的淺溝槽隔離氧化物(或未完全形成或處理),因此淺溝槽隔離氧化物維持低應力。藉由蝕刻品可進行精細切割,以移除鰭狀物或鰭狀片段,並保留矽的凹陷部份於鰭狀物底部。在採用淺溝槽隔離氧化物的實施例中,移除鰭狀物後的孔洞可填有替換的淺溝槽隔離氧化物。在採用虛置的填隙材料之實施例中,一些實施例可移除虛置的填隙材料以置換成淺溝槽隔離氧化物,而其他實施例可將虛置的填隙材料轉變為淺溝槽隔離氧化物材料,並將淺溝槽隔離氧化物材料填入孔洞。
在一些實施例中,方法包含選擇性蝕刻基板以形成鰭狀物與溝槽。接著將第一氧化物填入溝槽。蝕刻鰭狀物之一者(第一鰭狀物)以移除至少部份的第一鰭狀物,以形成孔洞。將第二氧化物填入孔洞,且第二氧化物可與第一氧化物相同或不同。
在一些實施例中,上述方法在蝕刻第一鰭狀物後,第一鰭狀物的上表面具有凹陷的剖視形狀。
在一些實施例中,上述方法的第一氧化物適於作為淺溝槽隔離氧化物。
在一些實施例中,上述方法的第一氧化物為具有低應力特性的虛置填隙材料。
在一些實施例中,上述方法更包含在蝕刻第一鰭狀物後,移除第一氧化物。
在一些實施例中,上述方法將第二氧化物填入孔洞之步驟包含沉積第二氧化物至溝槽中。
在一些實施例中,上述方法更包含在蝕刻第一鰭狀物之後,將第一氧化物轉變為第三氧化物,且第三氧化物的應力特性高於第一氧化物的應力特性。
在一些實施例中,方法包含選擇性蝕刻基板以形成多個鰭狀物與溝槽。接著將虛置填隙氧化物填入溝槽。在鰭狀物之第一鰭狀物上進行精細切割。接著蝕刻第一鰭狀物。接著移除虛置的填隙氧化物,以及沉積淺溝槽隔離氧化物於溝槽中及第一鰭狀物上。
在一些實施例中,上述方法在蝕刻第一鰭狀物後,第一鰭狀物的上表面具有凹陷的剖視形狀。
在一些實施例中,上述方法的精細切割步驟依據精細切割圖案。
在一些實施例中,上述方法中蝕刻第一鰭狀物的步驟採用精細切割圖案。
在一些實施例中,上述方法更包含沉積墊氧化物層於矽基板上、沉積氮化矽層於墊氧化物層上、以及以光阻及蝕刻製程圖案化墊氧化物層與氮化矽層。
在一些實施例中,上述方法更包含平坦化淺溝槽隔離氧化物與鰭狀物,使第一鰭狀物以外的鰭狀物之上表面與淺溝槽隔離氧化物之上表面實質上共平面。
在一實施例中,鰭狀場效電晶體結構包含基板,其具有較低的連續部份,以及連續部份上的多個鰭狀物。鰭狀物包含至少一縮短的鰭狀物。其他鰭狀物未縮短,且為完整的鰭狀物。縮短的鰭狀物比完整的鰭狀物短。縮短的鰭狀物之上 表面具有凹陷的剖視形狀。淺溝槽隔離氧化物材料位於鰭狀物之間的溝槽。
在一些實施例中,上述鰭狀場效電晶體結構其縮短的鰭狀物之上表面具有孔洞。
在一些實施例中,上述鰭狀場效電晶體結構其淺溝槽隔離氧化物材料亦位於縮短的鰭狀物之上表面上。
在一些實施例中,上述鰭狀場效電晶體結構其淺溝槽隔離氧化物材料的上表面與完整的鰭狀物之上表面共平面。
在一些實施例中,上述鰭狀場效電晶體結構更包含墊氧化物層位於每一完整的鰭狀物上。
在一些實施例中,上述鰭狀場效電晶體結構更包括氮化矽層位於每一墊氧化物層上。
在一些實施例中,上述鰭狀場效電晶體結構更包括再覆蓋的氧化物層,其於剖面圖中位於墊氧化物層與氮化矽層之側壁,且再覆蓋的氧化物層不同於淺溝槽隔離氧化物。
上述實施例之特徵有利於本技術領域中具有通常知識者理解本發明實施例。本技術領域中具有通常知識者應理解可採用本發明實施例作基礎,設計並變化其他製程與結構以完成上述實施例之相同目的及/或相同優點。本技術領域中具有通常知識者亦應理解,這些等效置換並未脫離本發明實施例之精神與範疇,並可在未脫離本發明實施例之精神與範疇的前提下進行改變、替換、或更動。
101:半導體基板
103:墊氧化物層
105:墊氮化物層
109:氧化物層
113:較下部份
123:鰭狀部份
127:淺溝槽隔離氧化物材料
129:上表面

Claims (10)

  1. 一種鰭狀場效電晶體結構的形成方法,包含:選擇性蝕刻一基板以形成多個鰭狀物與多個溝槽;將一第一氧化物填入該些溝槽,該第一氧化物具有一第一材料組成,且該第一材料組成具有一第一應力特性;蝕刻該些鰭狀物的一第一鰭狀物以移除該第一鰭狀物的一第一部份,以形成一孔洞;以及將一第二氧化物填入該孔洞,以密封該第一鰭狀物的一第二部份,該第二氧化物具有一第二材料組成,該第二材料組成具有一第二應力特性,且該第二材料組成與該第一材料組成不同,其中該第二應力特性大於該第一應力特性。
  2. 如申請專利範圍第1項所述之鰭狀場效電晶體結構的形成方法,其中在蝕刻該第一鰭狀物後,該第一鰭狀物的上表面具有凹陷的剖視形狀。
  3. 如申請專利範圍第1項所述之鰭狀場效電晶體結構的形成方法,更包括:在蝕刻該第一鰭狀物之後,將該第一氧化物轉變為一第三氧化物,且該第三氧化物的應力特性高於該第一氧化物的應力特性。
  4. 一種鰭狀場效電晶體結構的形成方法,包含:蝕刻基板以形成多個第一半導體鰭狀物、多個第二半導體鰭狀物、與多個溝槽,其中該些第一半導體鰭狀物穿插於該些第二半導體鰭狀物之間;接著將一虛置填隙氧化物填入該些溝槽; 蝕刻該些第一半導體鰭狀物的一第一鰭狀物以切割該第一鰭狀物;切割該第一鰭狀物之後,移除該虛置填隙氧化物,且移除該虛置填隙氧化物之後,該些第二半導體鰭狀物的每一者自相鄰的該些溝槽之最低點凸起第一高度;以及沉積一淺溝槽隔離氧化物於該些溝槽中及蝕刻的該第一鰭狀物上,且在沉積該淺溝槽隔離氧化物之後,該些第二半導體鰭狀物的每一者自相鄰的該些溝槽之最低點凸起第一高度。
  5. 如申請專利範圍第4項所述之鰭狀場效電晶體結構的形成方法,其中該虛置填隙氧化物包括具有一第一應力特性的一第一材料組成,其中該淺溝槽隔離氧化物包括具有一第二應力特性的一第二材料組成,該虛置填隙氧化物與該淺溝槽隔離氧化物不同,其中該第一應力特性小於該第二應力特性。
  6. 一種鰭狀場效電晶體結構的形成方法,包括:形成多個鰭狀物與多個溝槽於一基板中,且該些鰭狀物隔有該些溝槽;沉積一虛置填隙氧化物於該些溝槽中,該虛置填隙氧化物包括一第一材料組成,且該些第一材料組成具有一第一應力特性,以施加一第一應力於該些鰭狀物的側壁上;圖案化該虛置填隙氧化物以露出該些鰭狀物的一第一鰭狀物的上表面;使該第一鰭狀物凹陷以移除該第一鰭狀物; 移除該虛置填隙氧化物以露出該些溝槽;以及將一淺溝槽隔離氧化物填入該些溝槽,該淺溝槽隔離氧化物包括一第二材料組成,該第二材料組成與該第一材料組成不同,且該第二材料組成具有一第二應力特性,以施加一第二應力於該些鰭狀物的側壁上,其中該第二應力大於該第一應力,且該淺溝槽隔離氧化物延伸於該第一鰭狀物的保留部份上並沿著該第一鰭狀物的保留部份之側壁延伸。
  7. 如申請專利範圍第6項所述之鰭狀場效電晶體結構的形成方法,更包括:在使該第一鰭狀物凹陷之後,移除該虛置填隙氧化物。
  8. 一種鰭狀場效電晶體結構,包括:一基板,其具有較低的一連續部分,以及該連續部分上的多個鰭狀物,該些鰭狀物包含複數個縮短的鰭狀物和複數個完整鰭狀物,該些縮短的鰭狀物比該些完整鰭狀物短,且該些縮短的鰭狀物的上表面具有凹陷的剖視形狀;一第一淺溝槽隔離氧化物材料,位於兩個該些完整鰭狀物之間的一溝槽中;以及複數個第二淺溝槽隔離氧化物材料,位於該些縮短的鰭狀物之上,其中該些第二淺溝槽隔離氧化物材料不同於該第一淺溝槽隔離氧化物材料,該第一淺溝槽隔離氧化物材料將該些第二淺溝槽隔離氧化物材料中的相鄰兩個完全隔開,該第一淺溝槽隔離氧化物材料具有一垂直側壁垂直於該基板的該連續部分的上表面且從該些縮短的鰭狀物其中 一者的底部向上延伸至該些第二淺溝槽隔離氧化物材料其中一者的中間部分。
  9. 如申請專利範圍第8項所述之鰭狀場效電晶體結構,其中該些縮短的鰭狀物的上表面高於該連續部分的上表面。
  10. 如申請專利範圍第8項所述之鰭狀場效電晶體結構,其中該第一淺溝槽隔離氧化物材料亦位於該些縮短的鰭狀物其中一者與該些完整鰭狀物其中一者之間的一溝槽中。
TW106107509A 2016-09-29 2017-03-08 鰭狀場效電晶體結構與其形成方法 TWI755379B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15/280,703 US10483169B2 (en) 2016-09-29 2016-09-29 FinFET cut-last process using oxide trench fill
US15/280,703 2016-09-29

Publications (2)

Publication Number Publication Date
TW201814796A TW201814796A (zh) 2018-04-16
TWI755379B true TWI755379B (zh) 2022-02-21

Family

ID=61686644

Family Applications (1)

Application Number Title Priority Date Filing Date
TW106107509A TWI755379B (zh) 2016-09-29 2017-03-08 鰭狀場效電晶體結構與其形成方法

Country Status (3)

Country Link
US (2) US10483169B2 (zh)
CN (1) CN107887439B (zh)
TW (1) TWI755379B (zh)

Families Citing this family (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10483169B2 (en) 2016-09-29 2019-11-19 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET cut-last process using oxide trench fill
US10388763B2 (en) * 2016-12-15 2019-08-20 Taiwan Semiconductor Manufacturing Co., Ltd. Manufacturing of semiconductor fin structure and manufacturing method of semiconductor device
KR102313920B1 (ko) 2017-07-31 2021-10-19 삼성전자주식회사 수직형 반도체 소자
US10170328B1 (en) * 2017-08-28 2019-01-01 Nanya Technology Corporation Semiconductor pattern having semiconductor structures of different lengths
US10658174B2 (en) 2017-11-21 2020-05-19 Lam Research Corporation Atomic layer deposition and etch for reducing roughness
US10515815B2 (en) 2017-11-21 2019-12-24 Lam Research Corporation Atomic layer deposition and etch in a single plasma chamber for fin field effect transistor formation
US10734238B2 (en) * 2017-11-21 2020-08-04 Lam Research Corporation Atomic layer deposition and etch in a single plasma chamber for critical dimension control
US10497778B2 (en) * 2017-11-30 2019-12-03 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US10361289B1 (en) * 2018-03-22 2019-07-23 Globalfoundries Inc. Gate oxide formation through hybrid methods of thermal and deposition processes and method for producing the same
US10636709B2 (en) * 2018-04-10 2020-04-28 International Business Machines Corporation Semiconductor fins with dielectric isolation at fin bottom
US10804379B2 (en) 2018-05-15 2020-10-13 Globalfoundries Inc. FinFET device and method of manufacturing
US10573520B2 (en) * 2018-06-12 2020-02-25 International Business Machines Corporation Multiple patterning scheme integration with planarized cut patterning
US20200066520A1 (en) * 2018-08-22 2020-02-27 International Business Machines Corporation Alternating hard mask for tight-pitch fin formation
US10872969B2 (en) * 2018-09-28 2020-12-22 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device with combined fin-edge-cut and fin-major-cut and method of manufacturing the same
US10818556B2 (en) * 2018-12-17 2020-10-27 United Microelectronics Corp. Method for forming a semiconductor structure
EP3675159B1 (en) 2018-12-27 2023-05-24 IMEC vzw A semiconductor structure and a method for cutting a semiconductor fin
CN111415906B (zh) * 2019-01-04 2023-03-14 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
US11004751B2 (en) 2019-02-25 2021-05-11 International Business Machines Corporation Vertical transistor having reduced edge fin variation
CN111725137B (zh) * 2019-03-20 2023-06-23 中芯国际集成电路制造(天津)有限公司 一种半导体器件的形成方法
US11164892B2 (en) * 2019-07-01 2021-11-02 Newport Fab, Llc Semiconductor-on-insulator (SOI) device with reduced parasitic capacitance
KR20210013811A (ko) 2019-07-29 2021-02-08 삼성전자주식회사 반도체 장치
KR20210031248A (ko) 2019-09-11 2021-03-19 삼성전자주식회사 반도체 소자
CN113707659B (zh) * 2020-05-22 2023-12-12 长鑫存储技术有限公司 半导体器件中孔、半导体器件的制备方法及半导体器件
EP3958293A4 (en) * 2020-05-22 2022-12-28 Changxin Memory Technologies, Inc. SEMICONDUCTOR DEVICE HOLES, SEMICONDUCTOR DEVICE PREPARATION METHOD, AND SEMICONDUCTOR DEVICE
CN113745109A (zh) * 2020-05-27 2021-12-03 广东汉岂工业技术研发有限公司 一种FinFET制造方法
WO2022077136A1 (zh) * 2020-10-16 2022-04-21 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20150340238A1 (en) * 2014-03-03 2015-11-26 Globalfoundries Inc. Methods of removing fins for finfet semiconductor devices
US20160035874A1 (en) * 2014-01-23 2016-02-04 Taiwan Semiconductor Manufacturing Company, Ltd. Finfet device

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8697515B2 (en) * 2012-06-06 2014-04-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method of making a FinFET device
US8617996B1 (en) * 2013-01-10 2013-12-31 Globalfoundries Inc. Fin removal method
CN104103570B (zh) * 2013-04-11 2018-11-06 中国科学院微电子研究所 增强浅沟槽隔离应力的方法
US9704973B2 (en) * 2014-04-01 2017-07-11 Globalfoundries Inc. Methods of forming fins for FinFET semiconductor devices and the selective removal of such fins
US9281382B2 (en) * 2014-06-04 2016-03-08 Stmicroelectronics, Inc. Method for making semiconductor device with isolation pillars between adjacent semiconductor fins
US10483169B2 (en) 2016-09-29 2019-11-19 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET cut-last process using oxide trench fill

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20160035874A1 (en) * 2014-01-23 2016-02-04 Taiwan Semiconductor Manufacturing Company, Ltd. Finfet device
US20150340238A1 (en) * 2014-03-03 2015-11-26 Globalfoundries Inc. Methods of removing fins for finfet semiconductor devices

Also Published As

Publication number Publication date
CN107887439B (zh) 2022-12-02
US20200083109A1 (en) 2020-03-12
US11222826B2 (en) 2022-01-11
TW201814796A (zh) 2018-04-16
US20180090491A1 (en) 2018-03-29
US10483169B2 (en) 2019-11-19
CN107887439A (zh) 2018-04-06

Similar Documents

Publication Publication Date Title
TWI755379B (zh) 鰭狀場效電晶體結構與其形成方法
TWI722218B (zh) 半導體裝置與其形成方法
US9343551B2 (en) Methods for manufacturing a fin structure of semiconductor device
KR102023249B1 (ko) 비대칭 컨택을 구비한 finfet 디바이스를 위한 구조 및 방법
US9627476B2 (en) Fin structure of semiconductor device
KR101720878B1 (ko) Fin―fet 디바이스를 제조하는 공정
TWI509736B (zh) 半導體結構及其形成方法
TWI598946B (zh) 半導體裝置與其製造方法
KR101333897B1 (ko) 핀 디바이스를 제조하는 방법 및 구조
US10037916B2 (en) Semiconductor fins for finFET devices and sidewall image transfer (SIT) processes for manufacturing the same
US20140367798A1 (en) Non-planar transistor
CN107689331B (zh) 鳍结构及其形成方法
US8497198B2 (en) Semiconductor process
TW201719769A (zh) 鰭式場效電晶體的製作方法
US9006079B2 (en) Methods for forming semiconductor fins with reduced widths
US10497810B2 (en) Method for fabricating semiconductor device
CN109994541B (zh) 半导体器件中的不对称的源极和漏极结构
US9812358B1 (en) FinFET structures and methods of forming the same
CN107564859B (zh) 半导体装置及其制造方法
US9953976B2 (en) Effective device formation for advanced technology nodes with aggressive fin-pitch scaling
US20180061714A1 (en) Semiconductor structure and fabrication method thereof
TWI728966B (zh) 半導體元件及其製作方法
TWI703732B (zh) 一種製作半導體元件的方法
US10431497B1 (en) Manufacturing method of epitaxial fin-shaped structure