TWI754154B - Post chemical mechanical planarization (cmp) cleaning - Google Patents

Post chemical mechanical planarization (cmp) cleaning Download PDF

Info

Publication number
TWI754154B
TWI754154B TW108122295A TW108122295A TWI754154B TW I754154 B TWI754154 B TW I754154B TW 108122295 A TW108122295 A TW 108122295A TW 108122295 A TW108122295 A TW 108122295A TW I754154 B TWI754154 B TW I754154B
Authority
TW
Taiwan
Prior art keywords
acid
post
cmp
cleaning
group
Prior art date
Application number
TW108122295A
Other languages
Chinese (zh)
Other versions
TW202000881A (en
Inventor
迪昂奈許C 坦波利
Original Assignee
美商慧盛材料美國責任有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商慧盛材料美國責任有限公司 filed Critical 美商慧盛材料美國責任有限公司
Publication of TW202000881A publication Critical patent/TW202000881A/en
Application granted granted Critical
Publication of TWI754154B publication Critical patent/TWI754154B/en

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/26Organic compounds containing oxygen
    • C11D7/265Carboxylic acids or salts thereof
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/20Organic compounds containing oxygen
    • C11D3/2075Carboxylic acids-salts thereof
    • C11D3/2079Monocarboxylic acids-salts thereof
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D1/00Detergent compositions based essentially on surface-active compounds; Use of these compounds as a detergent
    • C11D1/02Anionic compounds
    • C11D1/12Sulfonic acids or sulfuric acid esters; Salts thereof
    • C11D1/22Sulfonic acids or sulfuric acid esters; Salts thereof derived from aromatic compounds
    • C11D1/24Sulfonic acids or sulfuric acid esters; Salts thereof derived from aromatic compounds containing ester or ether groups directly attached to the nucleus
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D1/00Detergent compositions based essentially on surface-active compounds; Use of these compounds as a detergent
    • C11D1/02Anionic compounds
    • C11D1/12Sulfonic acids or sulfuric acid esters; Salts thereof
    • C11D1/14Sulfonic acids or sulfuric acid esters; Salts thereof derived from aliphatic hydrocarbons or mono-alcohols
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D1/00Detergent compositions based essentially on surface-active compounds; Use of these compounds as a detergent
    • C11D1/02Anionic compounds
    • C11D1/12Sulfonic acids or sulfuric acid esters; Salts thereof
    • C11D1/22Sulfonic acids or sulfuric acid esters; Salts thereof derived from aromatic compounds
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D1/00Detergent compositions based essentially on surface-active compounds; Use of these compounds as a detergent
    • C11D1/66Non-ionic compounds
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D17/00Detergent materials or soaps characterised by their shape or physical properties
    • C11D17/0008Detergent materials or soaps characterised by their shape or physical properties aqueous liquid non soap compositions
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/0005Other compounding ingredients characterised by their effect
    • C11D3/0047Other compounding ingredients characterised by their effect pH regulated compositions
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/0005Other compounding ingredients characterised by their effect
    • C11D3/0073Anticorrosion compositions
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/02Inorganic compounds ; Elemental compounds
    • C11D3/04Water-soluble compounds
    • C11D3/044Hydroxides or bases
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/02Inorganic compounds ; Elemental compounds
    • C11D3/04Water-soluble compounds
    • C11D3/046Salts
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/20Organic compounds containing oxygen
    • C11D3/2075Carboxylic acids-salts thereof
    • C11D3/2082Polycarboxylic acids-salts thereof
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/20Organic compounds containing oxygen
    • C11D3/2075Carboxylic acids-salts thereof
    • C11D3/2086Hydroxy carboxylic acids-salts thereof
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/20Organic compounds containing oxygen
    • C11D3/22Carbohydrates or derivatives thereof
    • C11D3/222Natural or synthetic polysaccharides, e.g. cellulose, starch, gum, alginic acid or cyclodextrin
    • C11D3/225Natural or synthetic polysaccharides, e.g. cellulose, starch, gum, alginic acid or cyclodextrin etherified, e.g. CMC
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/24Organic compounds containing halogen
    • C11D3/245Organic compounds containing halogen containing fluorine
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/26Organic compounds containing nitrogen
    • C11D3/30Amines; Substituted amines ; Quaternized amines
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/37Polymers
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/37Polymers
    • C11D3/3703Macromolecular compounds obtained otherwise than by reactions only involving carbon-to-carbon unsaturated bonds
    • C11D3/3707Polyethers, e.g. polyalkyleneoxides
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/37Polymers
    • C11D3/3746Macromolecular compounds obtained by reactions only involving carbon-to-carbon unsaturated bonds
    • C11D3/378(Co)polymerised monomers containing sulfur, e.g. sulfonate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02043Cleaning before device manufacture, i.e. Begin-Of-Line process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • H01L21/02065Cleaning during device manufacture during, before or after processing of insulating layers the processing being a planarization of insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/02068Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers
    • H01L21/02074Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers the processing being a planarization of conductive layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/304Mechanical treatment, e.g. grinding, polishing, cutting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30625With simultaneous mechanical treatment, e.g. mechanico-chemical polishing
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D1/00Detergent compositions based essentially on surface-active compounds; Use of these compounds as a detergent
    • C11D1/02Anionic compounds
    • C11D2111/22

Abstract

Provided are formulations that offer a high cleaning effect on inorganic particles, organic residues, chemical residues, reaction products on the surface due to interaction of the wafer surface with the Chemical Mechanical Planarization (CMP) slurry and elevated levels of undesirable metals on the surface left on the semiconductor devices after the CMP. The post-CMP cleaning formulations comprise one or more organic acid, one or more polymer and a fluoride compound with pH >7 and optionally a surfactant with two sulfonic acid groups.

Description

化學機械平坦化(CMP)後的清潔Cleaning after chemical mechanical planarization (CMP)

相關申請案之相互參照 本申請案主張2018年6月26日申請之美國臨時申請案案號62/690,108的利益。Cross-referencing of related applications This application claims the benefit of US Provisional Application No. 62/690,108, filed June 26, 2018.

發明領域 本發明提供CMP後清潔配方。Field of Invention The present invention provides post-CMP cleaning formulations.

在涉及製造半導體裝置的步驟中,需要在個別步驟進行清潔以除去有機/無機殘餘物。改善半導體製造加工時所需的殘餘物去除之清潔方法包括:CMP (化學機械平坦化)後清洗、光阻灰分殘餘物去除、光阻去除、後端封裝時的個別應用例如預探針晶圓清洗、切割、研磨等等。Among the steps involved in the manufacture of semiconductor devices, cleaning is required at individual steps to remove organic/inorganic residues. Cleaning methods to improve residue removal required in semiconductor manufacturing processes include: post-CMP (chemical mechanical planarization) cleaning, photoresist ash residue removal, photoresist removal, individual applications in back-end packaging such as pre-probe wafers Cleaning, cutting, grinding, etc.

在化學機械平坦化(CMP)製程所形成的個別結構之CMP後清潔時特別需要改善清潔作用。CMP製程涉及藉由用CMP漿料將晶圓壓在拋光墊上拋光沉積於該晶圓上的一或多層膜,從而提供材料去除的研磨效果並且提供平面度。There is a particular need for improved cleaning in post-CMP cleaning of individual structures formed by chemical mechanical planarization (CMP) processes. The CMP process involves polishing one or more films deposited on a wafer by pressing the wafer against a polishing pad with a CMP slurry to provide abrasive removal of material and provide planarity.

在該CMP步驟之後,該晶圓表面含有大量缺陷,其若不從該表面清除將導致有缺陷的晶片成為最終產物。CMP製程之後的典型缺陷為無機顆粒、有機殘餘物、化學殘餘物、由於晶圓表面與CMP漿料交互作用而在該表面上的反應產物以及於該表面上之提高量的不希望的金屬。在該拋光步驟之後,將該晶圓清潔,最常見的是使用刷洗製程(brush scrubbing process)。於此製程期間,將清潔化學物質配送至該晶圓上以清潔該晶圓。在進行乾燥製程之前,另外用去離子(DI)水來沖洗該晶圓。After the CMP step, the wafer surface contains numerous defects that, if not removed from the surface, would result in a defective wafer as the final product. Typical defects after the CMP process are inorganic particles, organic residues, chemical residues, reaction products on the wafer surface due to interaction of the wafer surface with the CMP slurry, and elevated amounts of unwanted metals on the surface. After the polishing step, the wafer is cleaned, most commonly using a brush scrubbing process. During this process, cleaning chemicals are dispensed onto the wafer to clean the wafer. The wafer was additionally rinsed with deionized (DI) water prior to the drying process.

在本案的領域中已經完成的先前作品包括:JP 11-181494;美國專利第6,440,856號;美國專利第7,497,966 B2號;美國專利第7,427,362 B2號;美國專利第7,163,644 B2號;PCT/US2007/061588;美國專利第7,396,806號;美國專利第6,730,644號;美國專利第7,084,097號;美國專利第6,147,002號;US 2003/0129078;及US 2005/0067164。Previous works completed in the field of this case include: JP 11-181494; US Patent No. 6,440,856; US Patent No. 7,497,966 B2; US Patent No. 7,427,362 B2; US Patent No. 7,163,644 B2; US Patent No. 7,396,806; US Patent No. 6,730,644; US Patent No. 7,084,097; US Patent No. 6,147,002; US 2003/0129078; and US 2005/0067164.

隨著技術的進步,對半導體晶圓的生產量至關重要的閾值尺寸及缺陷數量變得更小,從而提高了CMP後清潔劑的性能要求。包含鎢互連件的先進半導體裝置由於會引起電氣性能降低的金屬殘餘物而造成特定挑戰。金屬殘餘物的根本成因經常是在拋光漿料中所用的鐵化合物及於該拋光步驟中去除鈦為主的阻絕層所致的鈦化合物沉澱。因此,對清潔配方至關重要的是去除這些金屬殘餘物以便該為半導體裝置提供所需的電氣性能。據發現本發明的配方或組合物(配方及組合物可互相交換)在去除上述CMP拋光製程留下的殘餘物方面非常有效。As technology advances, threshold dimensions and defect counts, which are critical to the throughput of semiconductor wafers, become smaller, increasing the performance requirements of post-CMP cleaners. Advanced semiconductor devices containing tungsten interconnects pose particular challenges due to metal residues that can cause electrical degradation. The root cause of metal residues is often the iron compound used in the polishing slurry and the precipitation of the titanium compound due to the removal of the titanium-based barrier layer during this polishing step. Therefore, it is critical to the cleaning formulation to remove these metal residues in order to provide the desired electrical performance for the semiconductor device. The formulations or compositions of the present invention (formulations and compositions are interchangeable) have been found to be very effective in removing residues left by the CMP polishing process described above.

本文描述的是CMP後清潔組合物、用於CMP後加工的方法及系統。Described herein are post-CMP cleaning compositions, methods and systems for post-CMP processing.

於一態樣中,本發明提供一種清潔組合物,其包含:水;至少一有機酸或其鹽、氟化物、聚合物添加物及視需要地表面活性劑、腐蝕抑製劑、消泡劑、生物防腐劑、pH調節劑。In one aspect, the present invention provides a cleaning composition comprising: water; at least one organic acid or a salt thereof, a fluoride, a polymer additive and optionally a surfactant, a corrosion inhibitor, an antifoam, Biological preservatives, pH adjusters.

聚合物添加物可選自由陰離子、陽離子及非離子聚合物或共聚物所組成的群組。該聚合物添加物可選自但不限於包含丙烯酸 - 丙烯醯胺基丙烷磺酸共聚物、聚(丙烯酸)、聚(甲基丙烯酸)、聚(2-丙烯醯胺基-2-甲基-1-丙烷磺酸)、羧甲基纖維素、甲基纖維素、羥丙基甲基纖維素、聚(1-乙烯基吡咯烷酮 - 甲基丙烯酸2-二甲胺基乙酯)共聚物、聚(4-苯乙烯磺酸鈉)、聚(環氧乙烷)、聚(4-苯乙烯磺酸)、聚丙烯醯胺、聚(丙烯醯胺/丙烯酸)共聚物及其組合和其鹽的群組。The polymer additive may be selected from the group consisting of anionic, cationic and nonionic polymers or copolymers. The polymer additive may be selected from, but not limited to, acrylic acid-acrylamidopropane sulfonic acid copolymer, poly(acrylic acid), poly(methacrylic acid), poly(2-acrylamido-2-methyl- 1-propanesulfonic acid), carboxymethyl cellulose, methyl cellulose, hydroxypropyl methyl cellulose, poly(1-vinylpyrrolidone-2-dimethylaminoethyl methacrylate) copolymer, poly (sodium 4-styrene sulfonate), poly(ethylene oxide), poly(4-styrene sulfonic acid), polyacrylamide, poly(acrylamide/acrylic acid) copolymer and combinations thereof and salts thereof group.

於較佳具體實例中,配方包含陰離子聚合物。較佳的陰離子聚合物為丙烯酸 - 丙烯醯胺基丙烷磺酸共聚物。In a preferred embodiment, the formulation includes an anionic polymer. The preferred anionic polymer is acrylic acid-acrylamidopropane sulfonic acid copolymer.

另一較佳的聚合物/共聚物為包含環氧乙烷(EO)基的非離子聚合物。Another preferred polymer/copolymer is a nonionic polymer containing ethylene oxide (EO) groups.

該至少一有機酸或其鹽可較佳地選自由二羧酸或其鹽、羥基羧酸或其鹽及聚羧酸或其鹽所組成的群組。較佳的的有機酸或其鹽為草酸和檸檬酸或其鹽。該配方中有機酸或其鹽的濃度可為1至30重量%,或更佳地介於5至20%之間,其中該組合物係於使用點用水稀釋2至500倍。The at least one organic acid or its salt can preferably be selected from the group consisting of dicarboxylic acid or its salt, hydroxycarboxylic acid or its salt, and polycarboxylic acid or its salt. Preferred organic acids or salts thereof are oxalic acid and citric acid or salts thereof. The concentration of organic acid or salt thereof in the formulation may be 1 to 30% by weight, or more preferably between 5 and 20%, wherein the composition is diluted 2 to 500 times with water at the point of use.

配方也包含氟化物。氟化物的實例包括氫氟酸、氟化銨、氟化氫銨、氟化季銨。較佳的化合物為氟化銨。該配方中氟化物組分的濃度係於1至25重量%的範圍中、或介於2至20重量%之間、3至18重量%或介於4與10重量%之間,其中該配方於使用點稀釋2至500倍。The formula also contains fluoride. Examples of fluorides include hydrofluoric acid, ammonium fluoride, ammonium bifluoride, quaternary ammonium fluoride. The preferred compound is ammonium fluoride. The concentration of the fluoride component in the formulation is in the range of 1 to 25 wt %, or between 2 to 20 wt %, 3 to 18 wt %, or between 4 and 10 wt %, wherein the formulation Dilute 2 to 500 times at point of use.

於另一較佳具體實例中,清潔組合物包含1至15重量%的有機酸或其鹽、0.05至3重量%的聚合物/共聚物、1至25重量%的氟化物、水,其中該組合物可於使用點用去離子水稀釋2至500倍。In another preferred embodiment, the cleaning composition comprises 1 to 15% by weight of organic acid or its salt, 0.05 to 3% by weight of polymer/copolymer, 1 to 25% by weight of fluoride, water, wherein the The composition can be diluted 2 to 500 times with deionized water at the point of use.

於另一較佳具體實例中,該清潔組合物包含1至30重量%的有機酸或其鹽、0.05至3重量%的聚合物、1至25重量%的氟化物、水,其中該組合物可用DI水於使用點稀釋2至500倍,而且該聚合物係選自由陰離子聚合物/共聚物及包含環氧乙烷(EO)基的非離子聚合物/共聚物所組成的群組。In another preferred embodiment, the cleaning composition comprises 1 to 30% by weight of organic acid or its salt, 0.05 to 3% by weight of polymer, 1 to 25% by weight of fluoride, water, wherein the composition It can be diluted 2 to 500 times at the point of use with DI water, and the polymer is selected from the group consisting of anionic polymers/copolymers and nonionic polymers/copolymers containing ethylene oxide (EO) groups.

於另一較佳具體實例中,該清潔組合物包含1至30重量%的有機酸或其鹽、0.05至3重量%的聚合物/共聚物、1至25重量%的氟化物、水,其中該組合物可於使用點用DI水稀釋2至500倍,並且至少一聚合物為陰離子聚合物/共聚物及包含環氧乙烷(EO)基的非離子聚合物/共聚物。In another preferred embodiment, the cleaning composition comprises 1 to 30% by weight of organic acid or its salt, 0.05 to 3% by weight of polymer/copolymer, 1 to 25% by weight of fluoride, water, wherein The composition can be diluted 2 to 500 times with DI water at the point of use, and the at least one polymer is an anionic polymer/copolymer and a nonionic polymer/copolymer comprising ethylene oxide (EO) groups.

於另一較佳具體實例中,該清潔組合物包含1至30重量%的有機酸或其鹽、0.05至3重量%的聚合物/共聚物、1至25重量%的氟化物、水,其中該組合物可於使用點用DI水稀釋2至500倍,並且該聚合物係選自由丙烯酸 - 丙烯醯胺基丙烷磺酸共聚物及包含環氧乙烷(EO)基的非離子聚合物/共聚物所組成的群組。In another preferred embodiment, the cleaning composition comprises 1 to 30% by weight of organic acid or its salt, 0.05 to 3% by weight of polymer/copolymer, 1 to 25% by weight of fluoride, water, wherein The composition can be diluted 2 to 500 times with DI water at the point of use, and the polymer is selected from acrylic acid-acrylamidopropane sulfonic acid copolymers and nonionic polymers containing ethylene oxide (EO) groups/ A group of copolymers.

於另一較佳具體實例中,該清潔組合物包含1至30重量%的有機酸或其鹽、0.05至3重量%的聚合物混合物、1至25重量%的氟化物、水,其中該組合物可於使用點用DI水稀釋2至500倍,並且至少一共聚物為丙烯酸 - 丙烯醯胺基丙烷磺酸共聚物及另一包含環氧乙烷(EO)基的非離子聚合物/共聚物。In another preferred embodiment, the cleaning composition comprises 1 to 30% by weight of organic acid or its salt, 0.05 to 3% by weight of polymer mixture, 1 to 25% by weight of fluoride, water, wherein the combination The compound can be diluted 2 to 500 times with DI water at the point of use, and at least one copolymer is an acrylic acid-acrylamido propane sulfonic acid copolymer and another nonionic polymer/copolymer containing ethylene oxide (EO) groups thing.

於另一較佳具體實例中,該配方包含0.5至5重量%的草酸、0.5至5重量%的檸檬酸或其鹽、0.5至5重量%的丙二酸或其鹽、0.1至2重量%丙烯酸 - 丙烯醯胺基丙烷磺酸共聚物、1至25重量%的氟化物和水,其中該組合物可於使用點用DI水稀釋2至500倍。In another preferred embodiment, the formulation comprises 0.5 to 5% by weight of oxalic acid, 0.5 to 5% by weight of citric acid or its salt, 0.5 to 5% by weight of malonic acid or its salt, 0.1 to 2% by weight Acrylic acid-acrylamidopropane sulfonic acid copolymer, 1 to 25 wt% fluoride and water, wherein the composition can be diluted 2 to 500 times with DI water at the point of use.

於另一較佳具體實例中,該配方包含0.5至5重量%的草酸、0.5至5重量%的檸檬酸或其鹽、0.5至5重量%的丙二酸、0.1至3重量%的包含環氧乙烷基的非離子聚合物或共聚物、1至25重量%的氟化合物及水,其中該組合物可於使用點用DI水稀釋2至500倍。In another preferred embodiment, the formulation comprises 0.5 to 5% by weight of oxalic acid, 0.5 to 5% by weight of citric acid or a salt thereof, 0.5 to 5% by weight of malonic acid, 0.1 to 3% by weight of a A nonionic polymer or copolymer of an oxyethane group, 1 to 25% by weight of a fluorine compound and water, wherein the composition can be diluted 2 to 500 times with DI water at the point of use.

於另一較佳具體實例中,該配方包含0.5至5重量%的草酸,0.5至5重量%的檸檬酸、0.5至5重量%的丙二酸或其鹽、0.1至3重量%的包含環氧乙烷基的非離子聚合物或共聚物、0.1至2重量%的陰離子聚合物、1至25重量%的氟化合物及水,其中該組合物可於使用點用DI水稀釋2至500倍。In another preferred embodiment, the formulation comprises 0.5 to 5 wt % of oxalic acid, 0.5 to 5 wt % of citric acid, 0.5 to 5 wt % of malonic acid or its salt, 0.1 to 3 wt % of a Nonionic polymer or copolymer of oxyethane group, 0.1 to 2 wt% anionic polymer, 1 to 25 wt% fluorine compound and water, wherein the composition can be diluted 2 to 500 times with DI water at the point of use .

於另一較佳具體實例中,該配方包含1至30重量%的檸檬酸或其鹽、0.1至3重量%的丙烯酸 - 丙烯醯胺基丙烷磺酸共聚物、1至25重量%的氟化合物及水,其中該組合物可於使用點用DI水稀釋2至500倍。In another preferred embodiment, the formulation comprises 1 to 30% by weight of citric acid or its salt, 0.1 to 3% by weight of acrylic acid-acrylamidopropane sulfonic acid copolymer, 1 to 25% by weight of fluorine compound and water, where the composition can be diluted 2 to 500 times with DI water at the point of use.

於另一較佳具體實例中,該配方包含1至30重量%的檸檬酸或其鹽、0.1至3重量%的包含環氧乙烷基的非離子聚合物或共聚物、1至25重量%的氟化合物及水,其中該組合物可於使用點用DI水稀釋2至500倍。In another preferred embodiment, the formulation comprises 1 to 30% by weight of citric acid or a salt thereof, 0.1 to 3% by weight of a nonionic polymer or copolymer containing an ethylene oxide group, 1 to 25% by weight of fluorochemicals and water, wherein the composition can be diluted 2 to 500 times with DI water at the point of use.

於另一較佳具體實例中,該配方包含1至30重量%的檸檬酸或其鹽、0.1至3重量%的包含環氧乙烷基的非離子聚合物或共聚物和0.1至3重量%的陰離子聚合物、1至25重量%的氟化物及水,其中該組合物可於使用點用DI水稀釋2至500倍。In another preferred embodiment, the formulation comprises 1 to 30% by weight of citric acid or a salt thereof, 0.1 to 3% by weight of a nonionic polymer or copolymer containing an ethylene oxide group, and 0.1 to 3% by weight of anionic polymer, 1 to 25 wt% fluoride and water, wherein the composition can be diluted 2 to 500 times with DI water at the point of use.

於另一較佳具體實例中,該配方包含1至30重量%的檸檬酸、0.1至5重量%的草酸或其鹽、0.1至3重量%的丙烯酸 - 丙烯醯胺基丙烷磺酸共聚物及水;其中該組合物可於使用點用DI水稀釋2至500倍。In another preferred embodiment, the formulation comprises 1 to 30% by weight of citric acid, 0.1 to 5% by weight of oxalic acid or a salt thereof, 0.1 to 3% by weight of acrylic acid-acrylamidopropanesulfonic acid copolymer and Water; wherein the composition can be diluted 2 to 500 times with DI water at the point of use.

於另一較佳具體實例中,該配方包含1至30重量%的有機酸或其鹽、0.1至3重量%的聚合物、1至25重量%的氟化物、0.01至3重量%的表面活性劑及水,其中該組合物可於使用點用DI水稀釋2至500倍。In another preferred embodiment, the formulation comprises 1 to 30% by weight of organic acid or its salt, 0.1 to 3% by weight of polymer, 1 to 25% by weight of fluoride, 0.01 to 3% by weight of surface activity agent and water, wherein the composition can be diluted 2 to 500 times with DI water at the point of use.

於另一較佳具體實例中,該清潔組合物包含1至30重量%的有機酸或其鹽、0.1至3重量%的聚合物、1至25重量%的氟化物、0.01至3重量%的包含二帶負電荷的陰離子基團之表面活性劑及水,其中該組合物可於使用點用DI水稀釋2至500倍。In another preferred embodiment, the cleaning composition comprises 1 to 30% by weight of organic acid or its salt, 0.1 to 3% by weight of polymer, 1 to 25% by weight of fluoride, 0.01 to 3% by weight of A surfactant comprising a di-negatively charged anionic group and water, wherein the composition can be diluted 2 to 500 times with DI water at the point of use.

於另一較佳具體實例中,該清潔組合物包含1至30重量%的有機酸或其鹽、0.1至3重量%的聚合物、1至25重量%的氟化物、0.01至3重量%的二苯基二磺酸表面活性劑及水,其中該組合物可於使用點用DI水稀釋2至500倍。In another preferred embodiment, the cleaning composition comprises 1 to 30% by weight of organic acid or its salt, 0.1 to 3% by weight of polymer, 1 to 25% by weight of fluoride, 0.01 to 3% by weight of Diphenyldisulfonic acid surfactant and water, wherein the composition can be diluted 2 to 500 times with DI water at the point of use.

該配方的pH較佳為介於1與7之間,或更佳為介於3與6之間,或最佳為介於4與5之間,其中該配方於使用點用DI水稀釋2至500倍。The pH of the formulation is preferably between 1 and 7, or more preferably between 3 and 6, or more preferably between 4 and 5, wherein the formulation is diluted 2 with DI water at the point of use to 500 times.

本發明的組合物可用於清潔在表面上包含至少一或更多金屬或介電膜的半導體晶圓。金屬膜可包含包括銅、鎢、鈷、鋁、釕或其合金的互連金屬線或通孔。該介電層可為氧化矽膜例如衍生自原矽酸四乙酯(TEOS)前驅物者,具有一或更多元素例如矽、碳、氮、氧和氫的介電膜。介電膜可為多孔性或非多孔性,或該結構可包含氣隙。The compositions of the present invention can be used to clean semiconductor wafers comprising at least one or more metal or dielectric films on the surface. The metal film may include interconnecting metal lines or vias comprising copper, tungsten, cobalt, aluminum, ruthenium, or alloys thereof. The dielectric layer may be a silicon oxide film such as one derived from a tetraethylorthosilicate (TEOS) precursor, a dielectric film having one or more elements such as silicon, carbon, nitrogen, oxygen, and hydrogen. The dielectric film may be porous or non-porous, or the structure may contain air gaps.

清潔組合物可用各種類型的清潔技術清潔該晶圓表面,該清潔技術包括但不限於刷盒清潔(brush box cleaning)、噴灑清潔、超音波清潔、墊上拋光清潔(buff cleaning on a pad)、單晶圓噴灑工具、批量浸入式清潔工具等等。Cleaning compositions The wafer surface can be cleaned using various types of cleaning techniques including, but not limited to, brush box cleaning, spray cleaning, ultrasonic cleaning, buff cleaning on a pad, single Wafer spray tools, batch immersion cleaning tools, and more.

於某些較佳具體實例中,當該清潔組合物用水稀釋時能以較佳地介於0.2至50埃/分之間,或更佳地介於 1與20埃/分之間,或最佳地介於1至10埃/分之間的蝕刻速率蝕刻該介電膜。In some preferred embodiments, when the cleaning composition is diluted with water, it can be preferably between 0.2 and 50 angstroms/min, or more preferably between 1 and 20 angstroms/min, or most The dielectric film is etched at an etch rate preferably between 1 and 10 Angstroms/minute.

於某些較佳具體實例中,當該清潔組合物用水稀釋時能以1至10埃/分的蝕刻速率蝕刻介電膜,並且以小於1埃/分的蝕刻速率蝕刻鎢,並且當氮化鈦膜的蝕刻時間為5分鐘或更短時於室溫下以小於5埃/分的蝕刻速率蝕刻氮化鈦膜。In certain preferred embodiments, the cleaning composition etches dielectric films at etch rates of 1 to 10 angstroms/min when diluted with water, and etches tungsten at etch rates of less than 1 angstroms/min, and when nitriding When the etching time of the titanium film is 5 minutes or less, the titanium nitride film is etched at an etching rate of less than 5 angstroms/min at room temperature.

於另一態樣中,本發明為一種包含至少一或更多金屬或/及介電膜的半導體晶圓之CMP後清潔方法,其包含 提供該半導體晶圓; 提供以上的CMP後清潔組合物;及 使用該CMP後清潔組合物清洗該半導體晶圓。In another aspect, the present invention is a post-CMP cleaning method of a semiconductor wafer comprising at least one or more metal or/and dielectric films, comprising provide the semiconductor wafer; providing the above post-CMP cleaning composition; and The semiconductor wafer is cleaned using the post-CMP cleaning composition.

於另一態樣中,本發明為一種包含至少一或更多金屬或/及介電膜的半導體晶圓之CMP後清潔系統,其包含 該半導體晶圓; 以上的CMP後清潔組合物; 其中至少部分的金屬或介電膜與該CMP後清潔組合物接觸。In another aspect, the present invention is a post-CMP cleaning system for a semiconductor wafer comprising at least one or more metal or/and dielectric films comprising the semiconductor wafer; The above post-CMP cleaning composition; At least a portion of the metal or dielectric film therein is in contact with the post-CMP cleaning composition.

本文描述並揭示的是於半導體製造時用於清潔的組合物,其包括化學機械平坦化(CMP)後清潔、光阻灰分殘餘物去除、光阻去除、後端封裝中的各種應用例如預探針晶圓清潔、切割、研磨等等。該配方最適合作為CMP後清潔配方。Described and disclosed herein are compositions for cleaning in semiconductor fabrication, including post chemical mechanical planarization (CMP) cleaning, photoresist ash residue removal, photoresist removal, various applications in back end packaging such as preprobing Needle wafer cleaning, dicing, grinding, etc. This formula works best as a post-CMP cleaning formula.

本發明的配方特別適用於CMP製程之後的CMP後清潔配方,該CMP製程包括金屬CMP製程,其中該CMP製程導致被介電質圍繞的金屬互連結構之形成;及介電質CMP製成,其中將一或更多介電質拋光以形成平坦表面或結構。金屬CMP製程的實例包括但不限於鎢CMP、銅CMP、鈷CMP、釕CMP、鋁CMP,其中形成被介電區域分開的金屬線或通孔。介電質CMP的實例包括淺溝槽隔離(STI) CMP,其中形成被氮化矽區域及層間介電質(ILD)拋光分開的氧化矽結構。The formulations of the present invention are particularly suitable for post-CMP cleaning formulations following CMP processes including metal CMP processes, wherein the CMP processes result in the formation of metal interconnect structures surrounded by dielectrics; and dielectric CMP processes, Wherein one or more dielectrics are polished to form a flat surface or structure. Examples of metal CMP processes include, but are not limited to, tungsten CMP, copper CMP, cobalt CMP, ruthenium CMP, aluminum CMP, in which metal lines or vias are formed separated by dielectric regions. Examples of dielectric CMP include shallow trench isolation (STI) CMP, in which silicon oxide structures are formed separated by silicon nitride regions and interlayer dielectric (ILD) polishing.

本發明的配方可在用各種類型顆粒的漿料拋光之後有效地清潔基材,該顆粒包括但不限於膠態氧化矽、經表面電荷改質的氧化矽顆粒(帶正電及帶負電)、發煙氧化矽、二氧化鈰(煅燒及膠態)、氧化鋁、氧化鋯、包含二或更多不同類型顆粒的複合顆粒。The formulations of the present invention can effectively clean substrates after polishing with slurries of various types of particles, including but not limited to colloidal silica, surface charge modified silica particles (positively and negatively charged), Fumed silica, ceria (calcined and colloidal), alumina, zirconia, composite particles comprising two or more different types of particles.

於一較佳具體實例中,本發明的清潔配方係用於鎢CMP之後的CMP後清潔。本發明的配方對於金屬殘餘物例如Fe、W 、Ti及TiN的去除特別有效,該金屬殘餘物通常在鎢CMP之後形成於該晶圓表面上,同時顯著改善有機和無機殘餘物去除能力。本發明的配方適用於減少鎢的腐蝕,降低表面粗糙度並且減少鎢和襯裡材料之間的電流腐蝕(galvanic corrosion)。In a preferred embodiment, the cleaning formulation of the present invention is used for post-CMP cleaning after tungsten CMP. The formulations of the present invention are particularly effective for removal of metal residues such as Fe, W, Ti and TiN, which are typically formed on the wafer surface after tungsten CMP, while significantly improving organic and inorganic residue removal capabilities. The formulations of the present invention are suitable for reducing tungsten corrosion, reducing surface roughness and reducing galvanic corrosion between tungsten and the lining material.

該清潔化學物質包含至少一有機酸或其鹽、氟化物、聚合物添加劑、水及視需要地表面活性劑、腐蝕抑製劑、生物防腐劑、消泡劑、pH調節劑。The cleaning chemistry comprises at least one organic acid or salt thereof, fluoride, polymer additives, water and optionally surfactants, corrosion inhibitors, biological preservatives, antifoams, pH adjusters.

有機酸或其混合物:有機酸或其鹽可選自廣大範圍的酸或其鹽,例如單羧酸類、二羧酸、聚羧酸類、羥基羧酸類或其混合物。有機酸的具體實例包括但不限於:草酸、檸檬酸、馬來酸、蘋果酸、丙二酸、葡萄糖酸、戊二酸、抗壞血酸、甲酸、乙酸、乙二胺四乙酸、二伸乙基三胺五乙酸 、甘胺酸、α-丙胺酸、胱胺酸等等。也可以使用這些酸的鹽類。也可以使用酸/鹽的混合物。有機酸的作用是改善痕量金屬的去除,去除有機殘餘物,調節pH值或降低金屬腐蝕。於較佳具體實例中,該配方包含至少一羥基羧酸。羥基羧酸的實例包括但不限於檸檬酸、葡糖酸、乳酸、乙醇酸、酒石酸、扁桃酸(mandelic acid)、蘋果酸及水楊酸。據發現羥基羧酸特別適用於從該晶圓表面除去鈦相關的殘餘物。於一些較佳具體實例中,清潔配方也包含能有效地從該晶圓表面除去鐵相關化合物的二羧酸。二羧酸類的實例包括但不限於草酸、丙二酸、丁二酸及戊二酸。於一具體實例中,該清潔組合物包含選自包含草酸、檸檬酸、丙二酸、甘胺酸及α-丙胺酸的一或更多有機酸。於該清潔組合物的另一具體實例中,該有機酸包含草酸、檸檬酸及丙二酸的混合物。於另一較佳具體實例中,有機酸含有檸檬酸。於另一具體實例中,有機酸包含檸檬酸和草酸的混合物。Organic acids or mixtures thereof: Organic acids or salts thereof may be selected from a wide range of acids or salts thereof, such as monocarboxylic acids, dicarboxylic acids, polycarboxylic acids, hydroxycarboxylic acids or mixtures thereof. Specific examples of organic acids include, but are not limited to: oxalic acid, citric acid, maleic acid, malic acid, malonic acid, gluconic acid, glutaric acid, ascorbic acid, formic acid, acetic acid, ethylenediaminetetraacetic acid, diethylenetriacetic acid Amine pentaacetic acid, glycine, alpha-alanine, cystine, etc. Salts of these acids can also be used. Acid/salt mixtures can also be used. The role of organic acids is to improve trace metal removal, remove organic residues, adjust pH or reduce metal corrosion. In a preferred embodiment, the formulation includes at least one hydroxycarboxylic acid. Examples of hydroxycarboxylic acids include, but are not limited to, citric acid, gluconic acid, lactic acid, glycolic acid, tartaric acid, mandelic acid, malic acid, and salicylic acid. Hydroxycarboxylic acids were found to be particularly suitable for removing titanium-related residues from the wafer surface. In some preferred embodiments, the cleaning formulation also includes a dicarboxylic acid effective to remove iron-related compounds from the wafer surface. Examples of dicarboxylic acids include, but are not limited to, oxalic acid, malonic acid, succinic acid, and glutaric acid. In one embodiment, the cleaning composition includes one or more organic acids selected from the group consisting of oxalic acid, citric acid, malonic acid, glycine, and alpha-alanine. In another embodiment of the cleaning composition, the organic acid comprises a mixture of oxalic acid, citric acid and malonic acid. In another preferred embodiment, the organic acid contains citric acid. In another specific example, the organic acid comprises a mixture of citric acid and oxalic acid.

該清潔化學物質可含有1重量%至30重量%或更佳地介於5重量%與20重量%之間的至少一有機酸/鹽。The cleaning chemistry may contain from 1% to 30% by weight or more preferably between 5% and 20% by weight of at least one organic acid/salt.

清潔配方可包含水溶性聚合物添加物。聚合物可為均聚物或共聚物。聚合物可含有帶正電荷的物種(陽離子聚合物)、帶負電荷的基團(陰離子聚合物)、非離子基團(非離子聚合物)或陽離子和陰離子基團(兩性離子聚合物(zwitterionic polymers))。該聚合物可選自但不限於包含丙烯酸 - 丙烯醯胺基丙烷磺酸共聚物、聚(丙烯酸)、聚(甲基丙烯酸)、聚(2-丙烯醯胺基-2-甲基-1-丙烷磺酸)、羧甲基纖維素、甲基纖維素、羥丙基甲基纖維素、聚(1-乙烯基吡咯烷酮 - 甲基丙烯酸2-二甲胺基乙酯)共聚物、聚(4-苯乙烯磺酸鈉)、聚(環氧乙烷)或聚(乙二醇)、聚(4-聚乙烯磺酸)、聚丙烯醯胺、聚(丙烯醯胺/丙烯酸)共聚物、聚乙烯醇及其組合、及其鹽的群組。該聚合物的分子量可介於100至10,000,000。分子量可藉由任何合適的技術例如凝膠滲透層析法(GPC)、質譜術(MALDI TOF-MS)及光散射來測量。Cleaning formulations may contain water soluble polymer additives. The polymers can be homopolymers or copolymers. The polymers may contain positively charged species (cationic polymers), negatively charged groups (anionic polymers), nonionic groups (nonionic polymers), or cationic and anionic groups (zwitterionic polymers (zwitterionic polymers) polymers)). The polymer may be selected from, but not limited to, acrylic acid-acrylamidopropane sulfonic acid copolymer, poly(acrylic acid), poly(methacrylic acid), poly(2-acrylamido-2-methyl-1- propanesulfonic acid), carboxymethyl cellulose, methyl cellulose, hydroxypropyl methyl cellulose, poly(1-vinylpyrrolidone-2-dimethylaminoethyl methacrylate) copolymer, poly(4 - sodium styrene sulfonate), poly(ethylene oxide) or poly(ethylene glycol), poly(4-polyethylene sulfonic acid), polypropylene amide, poly(acrylamide/acrylic acid) copolymer, poly The group of vinyl alcohol and combinations thereof, and salts thereof. The molecular weight of the polymer may range from 100 to 10,000,000. Molecular weight can be measured by any suitable technique such as gel permeation chromatography (GPC), mass spectrometry (MALDI TOF-MS) and light scattering.

於一些具體實例中,配方包含一或更多陰離子聚合物或共聚物。較佳的陰離子聚合物為丙烯酸 - 丙烯醯胺基丙烷磺酸共聚物(AA-AMPS)。於較佳具體實例中,該聚合物的分子量可介於100至1,000,000之間,或更佳地介於200與100,000之間,或最佳地介於1000與10,000之間。於一些更佳的具體實例中,該共聚物中的丙烯酸(x):2-丙烯醯胺基-2-甲基丙烷磺酸(y)莫耳比(x:y)係介於90:10至70:30之間。In some embodiments, the formulation includes one or more anionic polymers or copolymers. A preferred anionic polymer is acrylic acid-acrylamidopropane sulfonic acid copolymer (AA-AMPS). In preferred embodiments, the molecular weight of the polymer may be between 100 and 1,000,000, or more preferably between 200 and 100,000, or most preferably between 1000 and 10,000. In some more preferred embodiments, the molar ratio (x:y) of acrylic acid (x):2-acrylamido-2-methylpropanesulfonic acid (y) in the copolymer is 90:10 between 70:30.

工作實施例所用的較佳的AA-AMPS共聚物之實例為可自Italmatch Chemicals (Genova,Italy)購得的Dequest® P9300。An example of a preferred AA-AMPS copolymer used in the working examples is Dequest® P9300 available from Italmatch Chemicals (Genova, Italy).

於一些其他具體實例中,配方包含一或更多聚合物或共聚物,其本質上為非離子並且包含環氧乙烷(EO)基。此聚合物或共聚物的實例包括聚乙二醇或各種構型的環氧乙烷(EO)基和聚環氧丙烷(PO)基的共聚物例如EO-PO-EO或PO-EO-PO。分子量範圍介於100至1,000,000之間,或更佳地介於200與100,000之間或最佳地介於200與50,000之間。In some other embodiments, the formulation includes one or more polymers or copolymers that are nonionic in nature and contain ethylene oxide (EO) groups. Examples of such polymers or copolymers include polyethylene glycol or various configurations of ethylene oxide (EO) based and polypropylene oxide (PO) based copolymers such as EO-PO-EO or PO-EO-PO . The molecular weight range is between 100 and 1,000,000, or more preferably between 200 and 100,000 or most preferably between 200 and 50,000.

於一些較佳具體實例中,該配方包含陰離子聚合物/共聚物及包含環氧乙烷基的非離子聚合物/共聚物。In some preferred embodiments, the formulation includes an anionic polymer/copolymer and an ethylene oxide group-containing nonionic polymer/copolymer.

該聚合物加於具有適當鹼的CMP後配方導致清潔性能的大幅改善。在不與任何特定機制結合的情況下,可能的機制之一可為物理吸附於表面上,其將防止被移除的顆粒及其他殘餘物的再沉積。另一可能的機制是對該殘餘物(有機物)的強親和力,從而提昇於清潔製程期間剝離的驅動力。包含EO基的非離子聚合物添加物可與水合氧化矽表面形成鍵結並且形成有助於除去顆粒的聚合物塗層。The addition of this polymer to a post-CMP formulation with an appropriate base resulted in a substantial improvement in cleaning performance. Without being combined with any particular mechanism, one of the possible mechanisms could be physical adsorption on the surface, which would prevent redeposition of removed particles and other residues. Another possible mechanism is a strong affinity for this residue (organic), thereby enhancing the driving force for stripping during the cleaning process. Nonionic polymer additives containing EO groups can form bonds with the hydrated silica surface and form a polymer coating that aids in particle removal.

這些類型的聚合物或其混合物可以0.01至10重量%的濃度加於該清潔配方。較佳的濃度範圍係介於0.1%至5重量%。該配方可於使用點通過添加溶劑(例如水)稀釋2至500倍。或者,該配方可以稀釋形式供應以供直接使用而無需於使用點稀釋。These types of polymers or mixtures thereof can be added to the cleaning formulation at a concentration of 0.01 to 10% by weight. The preferred concentration range is from 0.1% to 5% by weight. The formulation can be diluted 2 to 500 times at the point of use by adding a solvent such as water. Alternatively, the formulation can be supplied in diluted form for immediate use without dilution at the point of use.

配方中也包含氟化物。氟化物的實例包括氫氟酸、氟化銨、氟化氫銨、氟化季銨。較佳的化合物是氟化銨。該配方中氟化物組分的濃度較佳為於1至25重量%的範圍中,或更佳地介於3至20重量%之間或最佳地介於4與18重量%之間,其中該配方於使用點被稀釋2至500倍。於酸性pH中,該氟化物物種可能進行解離並且可能形成不同類型的氟離子。舉例來說,具有氟化物鹽(例如氟化銨)的酸性配方可具有一起存在的三種不同物種:氫氟酸(HF)、氟離子(F- )及二氟化物離子(HF2- )。Fluoride is also included in the formula. Examples of fluorides include hydrofluoric acid, ammonium fluoride, ammonium bifluoride, quaternary ammonium fluoride. The preferred compound is ammonium fluoride. The concentration of the fluoride component in the formulation is preferably in the range of 1 to 25% by weight, or more preferably between 3 and 20% by weight or most preferably between 4 and 18% by weight, wherein The formulation is diluted 2 to 500 times at the point of use. At acidic pH, the fluoride species may dissociate and different types of fluoride ions may be formed. For example, an acidic formulation with a fluoride salt such as ammonium fluoride can have three different species present together: hydrofluoric acid (HF), fluoride ion (F ), and difluoride ion (HF 2− ).

該配方的pH值較佳地介於1與7之間,或更佳地介於3與6之間或最佳地介於4與5之間,其中該配方於使用點用DI水稀釋2至500倍。The pH of the formulation is preferably between 1 and 7, or more preferably between 3 and 6 or most preferably between 4 and 5, wherein the formulation is diluted 2 with DI water at the point of use to 500 times.

於某些具體實例中,該配方中有機酸的總當量數與氟化物的莫耳濃度之間的差值係於-2與2之間或更佳地介於0與2之間。若該差值小於-2,則TEOS和SiN介電質的蝕刻速率將會太低而無法有效清潔介電質。若該差值大於2,則該清潔製程中的介電損耗(dielectric loss)可能高得令人無法接受。In certain embodiments, the difference between the total equivalents of organic acids and the molar concentration of fluoride in the formulation is between -2 and 2 or more preferably between 0 and 2. If the difference is less than -2, the etch rates of the TEOS and SiN dielectrics will be too low to effectively clean the dielectrics. If the difference is greater than 2, the dielectric loss during the cleaning process may be unacceptably high.

關於CMP後清潔配方,可能存有有助於清潔性能的附加組分。添加物的常見類型包括以下內容。With regard to post-CMP cleaning formulations, there may be additional components that contribute to cleaning performance. Common types of additives include the following.

表面活性劑:表面活性劑用在清潔化學品中改善被清潔的表面之潤濕性,並且協助從該表面除去殘餘物而不會再沉積於該表面上。表面活性劑的添加也會使溶液的表面張力降低,較佳地10 dynes/cm,或更佳地20 dynes/cm,或最佳地30 dynes/cm。含有表面活性劑的CMP後溶液用水稀釋50倍時的表面張力較佳地介於15至75 dynes/cm之間,或更佳地介於 20至60 dynes/cm之間,或最佳地介於20至50 dynes/cm之間。表面活性劑也可減少該表面上的水痕,其係於接在清潔之後的乾燥階段期間形成的缺陷。任何類型的表面活性劑陰離子/陽離子/非離子/兩性離子或其組合皆可使用。此表面活性劑的選擇可取決於各種標準,其包括:潤濕性、發泡性、清潔力、可漂洗性等等。也可使用表面活性劑的組合,其中使用一種表面活性劑將溶解度較低的疏水性表面活性劑分子溶解。Surfactants: Surfactants are used in cleaning chemicals to improve the wettability of the surface being cleaned and to assist in removing residues from the surface without redepositing on the surface. The addition of surfactants also reduces the surface tension of the solution, preferably 10 dynes/cm, or more preferably 20 dynes/cm, or optimally 30 dynes/cm. The surface tension of the post-CMP solution containing the surfactant when diluted 50 times with water is preferably between 15 and 75 dynes/cm, or more preferably between 20 and 60 dynes/cm, or most preferably between 15 and 75 dynes/cm. between 20 and 50 dynes/cm. Surfactants can also reduce water marks on the surface, which are associated with defects formed during the drying phase following cleaning. Any type of surfactant anionic/cationic/nonionic/zwitterionic or combination thereof can be used. The selection of this surfactant can depend on various criteria including: wetting, foaming, cleaning power, rinsability, and the like. Combinations of surfactants can also be used in which one surfactant is used to dissolve the less soluble hydrophobic surfactant molecules.

本發明的組合物視需要地包含表面活性劑,其某種程度上有助於在拋光期間和之後保護該晶圓表面以減少該晶圓表面中的缺陷。表面活性劑也可用以控制一些拋光時所用的膜,例如低k介電質,之去除速率。合適的表面活性劑包括非離子表面活性劑、陰離子表面活性劑、陽離子表面活性劑、兩性表面活性劑及其混合物。The compositions of the present invention optionally contain surfactants, which in part help protect the wafer surface during and after polishing to reduce defects in the wafer surface. Surfactants can also be used to control the removal rate of some films used in polishing, such as low-k dielectrics. Suitable surfactants include nonionic surfactants, anionic surfactants, cationic surfactants, amphoteric surfactants, and mixtures thereof.

非離子表面活性劑可選自一系列化學物質類型、其包括但不限於長鏈醇、乙氧基化醇、乙氧基化乙炔二醇表面活性劑、聚乙二醇烷基醚、丙二醇烷基醚、葡萄糖苷烷基醚、聚乙二醇辛基苯基醚、聚乙二醇烷基戊二烯基醚、甘油烷基酯、聚氧乙二醇山梨糖醇烷基酯、山梨糖醇烷基酯、椰油醯胺單乙醇胺、椰油醯胺二乙醇胺十二基二甲基胺氧化物、聚乙二醇和聚丙二醇的嵌段共聚物、聚乙氧基化牛脂胺、含氟表面活性劑。該表面活性劑的分子量可從數百到超過一百萬。這些材料的黏度也具有非常廣泛的分佈。Nonionic surfactants can be selected from a range of chemical types including, but not limited to, long chain alcohols, ethoxylated alcohols, ethoxylated acetylene glycol surfactants, polyethylene glycol alkyl ethers, propylene glycol alkanes base ether, glucoside alkyl ether, polyethylene glycol octyl phenyl ether, polyethylene glycol alkyl pentadienyl ether, glycerol alkyl ester, polyoxyethylene glycol sorbitol alkyl ester, sorbose Alkyl alcohol esters, cocoamide monoethanolamine, cocoamide diethanolamine dodecyldimethylamine oxide, block copolymers of polyethylene glycol and polypropylene glycol, polyethoxylated tallow amine, fluorine-containing Surfactant. The molecular weight of the surfactant can range from a few hundred to over a million. The viscosity of these materials also has a very broad distribution.

陰離子表面活性劑包括但不限於具有合適疏水性尾部的鹽類,例如烷基羧酸鹽、烷基聚丙烯酸鹽、烷基硫酸鹽、烷基磷酸鹽、烷基二羧酸鹽、烷基硫酸氫鹽、烷基磷酸氫鹽、例如烷氧基羧酸鹽、烷氧基硫酸鹽、烷氧基磷酸鹽、烷氧基二羧酸鹽、烷氧基硫酸氫鹽、烷氧基磷酸氫鹽、例如經取代的芳基羧酸鹽、經取代的芳基硫酸鹽、經取代的芳基磷酸鹽、經取代的芳基二羧酸鹽、經取代的芳基硫酸氫鹽及經取代的芳基磷酸氫鹽等等。這類表面活性劑的相反離子(counter ion)包括,但不是限於鉀、銨和其他正離子。這些陰離子表面潤濕劑的分子量範圍介於數百到數十萬。Anionic surfactants include, but are not limited to, salts with suitable hydrophobic tails such as alkyl carboxylates, alkyl polyacrylates, alkyl sulfates, alkyl phosphates, alkyl dicarboxylates, alkyl sulfates Hydrogen salts, alkyl hydrogen phosphates, such as alkoxy carboxylates, alkoxy sulfates, alkoxy phosphates, alkoxy dicarboxylates, alkoxy hydrogen sulfates, alkoxy hydrogen phosphates , such as substituted aryl carboxylates, substituted aryl sulfates, substituted aryl phosphates, substituted aryl dicarboxylates, substituted aryl hydrogen sulfates, and substituted aryl bisulfates base hydrogen phosphate, etc. Counter ions of such surfactants include, but are not limited to, potassium, ammonium, and other positive ions. The molecular weights of these anionic surface wetting agents range from hundreds to hundreds of thousands.

陽離子表面活性劑於分子骨幹的主要部分上具有正淨電荷。陽離子表面活性劑通常為包含疏水鏈及陽離子電荷中心的分子之鹵化物例如胺、季銨、苯甲烴銨及烷基吡啶鎓離子。Cationic surfactants have a positive net charge on the majority of the molecular backbone. Cationic surfactants are typically halides of molecules containing hydrophobic chains and cationic charge centers such as amine, quaternary ammonium, benzalkonium, and alkylpyridinium ions.

於另一態樣中,該表面活性劑可為兩性表面活性劑,其於主分子鏈上具有正(陽離子)及負(陰離子)電荷以及其相關相反離子。該陽離子部分以一級、二級或三級胺或季銨陽離子為主。該陰離子部分可能變化更多並且包括磺酸鹽,如同於磺基甜菜鹼類的CHAPS (3-[(3-膽醯胺丙基)-二乙胺]-丙烷磺酸)及椰油醯胺丙基甜菜鹼中的情形。甜菜鹼類例如椰油醯胺丙基甜菜鹼具有帶有銨的羧酸鹽。一些兩性表面活性劑可具有帶有胺或銨的磷酸根陰離子,例如磷脂類的磷脂醯絲胺酸(phosphatidylserine)、磷脂醯乙醇胺(phosphatidylethanolamine)、磷脂醯膽鹼(phosphatidylcholine)及鞘磷脂類(sphingomyelins)。In another aspect, the surfactant can be an amphoteric surfactant having positive (cationic) and negative (anionic) charges and their associated counterions on the main molecular chain. The cationic moiety is dominated by primary, secondary or tertiary amine or quaternary ammonium cations. The anionic moiety may vary more and includes sulfonates like CHAPS (3-[(3-cholamidopropyl)-diethylamine]-propanesulfonic acid) and cocoamide like the sulfobetaines The situation in propyl betaine. Betaines such as cocamidopropyl betaine have carboxylates with ammonium. Some amphoteric surfactants may have phosphate anions with amines or ammonium, such as phosphatidylserine, phosphatidylethanolamine, phosphatidylcholine, and sphingomyelins of phospholipids ).

表面活性劑的實例也包括,但不限於,十二烷基硫酸鈉鹽、月桂基硫酸鈉、十二烷基硫酸銨鹽、第二烷磺酸鹽、醇乙氧基化物、乙炔系表面活性劑及其任意組合。合適的市售表面活性劑之實例包括Clariant Chemicals的Hostapur® SAS-30、由Dow Chemicals製造的TRITON ™、TergitolTM 、DOWFAXTM 表面活性劑系列及由Air Products and Chemicals製造的SURFYNOL™、DYNOLTM 、ZetasperseTM 、NonidetTM 及TomadolTM 表面活性劑系列的各種表面活性劑。合適的表面活性劑也可包括含環氧乙烷(EO)基和環氧丙烷(PO)基的聚合物。EO-PO聚合物的實例係來自BASF Chemicals的Tetronic™ 90R4。Examples of surfactants also include, but are not limited to, sodium lauryl sulfate, sodium lauryl sulfate, ammonium lauryl sulfate, second alkanesulfonates, alcohol ethoxylates, acetylene-based surfactants agents and any combination thereof. Examples of suitable commercially available surfactants include Hostapur® SAS-30 from Clariant Chemicals, TRITON™, Tergitol , DOWFAX surfactant series manufactured by Dow Chemicals, and SURFYNOL™, DYNOL , manufactured by Air Products and Chemicals, Various surfactants from the Zetasperse , Nonidet and Tomadol surfactant series. Suitable surfactants may also include polymers containing ethylene oxide (EO) groups and propylene oxide (PO) groups. An example of an EO-PO polymer is Tetronic™ 90R4 from BASF Chemicals.

於較佳具體實例中,表面活性劑包含乙氧基化基及丙氧基化基(propyloxylate group)。In a preferred embodiment, the surfactant includes an ethoxylate group and a propyloxylate group.

於另一具體實例中,表面活性劑為可藉由以下結構來表示的線性分子,其中m介於1與100之間而且n介於0與100之間。

Figure 02_image001
In another embodiment, a surfactant is a linear molecule that can be represented by the following structure, wherein m is between 1 and 100 and n is between 0 and 100.
Figure 02_image001

具有此結構的表面活性劑之實例係來自Dow Chemicals的TergitolTM MinFoam 1x。An example of a surfactant with this structure is Tergitol MinFoam Ix from Dow Chemicals.

在這些配方中特別有用的表面活性劑係於高離子強度及氟化物物種存在的情形下保持穩定者。在沒有任何特定理論的情況下,於高離子強度下,二表面活性劑分子之間的排斥力顯著降低,導致分子彼此接近,從而使溶液混濁或渾濁。此渾濁的解決方案在先進的半導體製造時可能是不可接受的,因為該溶液中的顆粒計數測量將具有不可接受的高值。高離子強度係具有可離子化基團的高濃度添加物之結果。離子強度可以藉由於25℃下進行的導電率測量來確定。較佳地該表面活性劑在溶液中應該是穩定的,而在導電率為至少40 mS/cm的溶液中,在濃度為至少0.1重量%下沒有任何渾濁或沉澱。理想上可能是該表面活性劑在甚至更高的導電率例如60 mS/cm或80 mS/cm的溶液中應該是穩定的。Particularly useful surfactants in these formulations are those that remain stable in the presence of high ionic strength and fluoride species. Without any particular theory, at high ionic strengths, the repulsive forces between the two surfactant molecules are significantly reduced, causing the molecules to approach each other, thereby making the solution cloudy or cloudy. This cloudy solution may be unacceptable in advanced semiconductor manufacturing because particle count measurements in this solution will have unacceptably high values. High ionic strength is the result of high concentrations of additives with ionizable groups. The ionic strength can be determined by conducting conductivity measurements at 25°C. Preferably the surfactant should be stable in solution without any turbidity or precipitation at a concentration of at least 0.1 wt% in solutions with a conductivity of at least 40 mS/cm. Ideally it may be that the surfactant should be stable in solutions of even higher conductivity such as 60 mS/cm or 80 mS/cm.

吾人所欲為該表面活性劑具有兩個以上的陰離子基團。具有二或更多陰離子基團的表面活性劑即使在高離子溶液中也可能具有足夠的靜電場。因此該表面活性劑溶液將會是穩定的。於一些較佳具體實例中,該表面活性劑具有二或更多磺酸基。We want the surfactant to have more than two anionic groups. Surfactants with di or more anionic groups may have sufficient electrostatic fields even in highly ionic solutions. Therefore the surfactant solution will be stable. In some preferred embodiments, the surfactant has two or more sulfonic acid groups.

較佳的表面活性劑係二苯醚二磺酸或其鹽。該較佳表面活性劑的結構如下所示:

Figure 02_image003
A preferred surfactant is diphenyl ether disulfonic acid or a salt thereof. The structure of the preferred surfactant is shown below:
Figure 02_image003

其中R係選自H或碳鏈長度介於1與20之間的線性或支鏈烷基之基團。wherein R is a group selected from H or a linear or branched alkyl group having a carbon chain length between 1 and 20.

具有此結構的表面活性劑之實例包括Dowfax 2A1、Dowfax 3B2、Calfax DBA70。Examples of surfactants having this structure include Dowfax 2A1, Dowfax 3B2, Calfax DBA70.

於另一較佳具體實例中,該表面活性劑係含氟表面活性劑。含氟表面活性劑的實例包括但不限於全氟辛烷磺酸(PFOS)、全氟辛酸(PFOA)及全氟壬酸(PFNA)、全氟己酸(PFHxA)、全氟丁烷磺酸或全氟丁烷磺酸鹽(PFBS)。In another preferred embodiment, the surfactant is a fluorosurfactant. Examples of fluorosurfactants include, but are not limited to, perfluorooctane sulfonic acid (PFOS), perfluorooctanoic acid (PFOA), and perfluorononanoic acid (PFNA), perfluorohexanoic acid (PFHxA), perfluorobutane sulfonic acid, or perfluorooctane sulfonic acid (PFOA). Fluorobutane sulfonate (PFBS).

該表面活性劑可以0.0001重量%至10重量%的濃度使用,或更佳地介於0.01重量%與3 重量%之間且最佳地介於0.05重量%與1 重量%之間。The surfactant may be used at a concentration of 0.0001 to 10% by weight, or more preferably between 0.01% and 3% by weight and most preferably between 0.05% and 1% by weight.

該清潔化學物質可視需要地含有螯合劑。由於螯合劑可對於一金屬離子比另一金屬離子更具選擇性,因此本文所述的組合物中使用多數螯合劑或其鹽。據信這些螯合劑可與該基材表面上的金屬離子污染物結合並且將其溶於該組合物中。再者,於某些具體實例中,該螯合劑應該能將這些金屬離子保留於該組合物中並且防止該離子再沉積於基材表面上。可用的合適螯合劑之實例包括,但不限於:乙二胺四乙酸(EDTA)、N-羥乙基乙二胺三乙酸(NHEDTA)、腈基三乙酸(NTA)、二伸乙基三胺五乙酸(DPTA)、乙醇二甘胺酸、檸檬酸、葡萄糖酸 、草酸、磷酸、酒石酸、甲基二膦酸、胺基三亞甲基膦酸、亞乙基 - 二膦酸、1-羥基亞乙基-1,1-二膦酸、1-羥基亞丙基-1,1-二膦酸、乙基胺基二亞甲基膦酸、十二烷基胺基二亞甲基膦酸、腈基三亞甲基膦酸酸、乙二胺四亞甲基膦酸、六亞甲基二胺四亞甲基膦酸、二伸乙基三胺五亞甲基膦酸及1,2-丙二胺四亞甲基膦酸或銨鹽、有機胺鹽、黃蓮木酸(maronic acid)、丁二酸、二巰基丁二酸、戊二酸、馬來酸、鄰苯二甲酸、富馬酸、聚羧酸例如三羧酸、丙烷-1,1,2,3-四羧酸、丁烷-1,2,3,4-四羧酸、1,2,4,5-苯四酸、羥基羧酸類(oxycarboxylic acids)例如乙醇酸、β-羥基丙酸、檸檬酸、蘋果酸、酒石酸、丙酮酸、二甘醇酸、水楊酸、沒食子酸、多酚類例如兒茶酚、連苯三酚(pyrogallol)、磷酸類例如焦磷酸、聚磷酸、雜環化合物例如8-羥基喹啉及二酮類例如α-二吡啶基乙醯丙酮。The cleaning chemistry may optionally contain a chelating agent. Since chelating agents can be more selective for one metal ion than another, many chelating agents or salts thereof are used in the compositions described herein. It is believed that these chelating agents can bind to metal ion contaminants on the surface of the substrate and dissolve them in the composition. Furthermore, in certain embodiments, the chelating agent should retain the metal ions in the composition and prevent the ions from redepositing on the substrate surface. Examples of suitable chelating agents that can be used include, but are not limited to: ethylenediaminetetraacetic acid (EDTA), N-hydroxyethylethylenediaminetriacetic acid (NHEDTA), nitrile triacetic acid (NTA), diethylenetriamine Pentaacetic acid (DPTA), ethanol diglycine, citric acid, gluconic acid, oxalic acid, phosphoric acid, tartaric acid, methyldiphosphonic acid, aminotrimethylenephosphonic acid, ethylene-diphosphonic acid, 1-hydroxylidene Ethyl-1,1-diphosphonic acid, 1-hydroxypropylidene-1,1-diphosphonic acid, ethylamino dimethylenephosphonic acid, dodecylamino dimethylenephosphonic acid, Nitrile trimethylenephosphonic acid, ethylenediaminetetramethylenephosphonic acid, hexamethylenediaminetetramethylenephosphonic acid, diethylenetriaminepentamethylenephosphonic acid and 1,2-propane Diaminetetramethylenephosphonic acid or ammonium salt, organic amine salt, maronic acid, succinic acid, dimercaptosuccinic acid, glutaric acid, maleic acid, phthalic acid, fumaric acid, Polycarboxylic acids such as tricarboxylic acid, propane-1,1,2,3-tetracarboxylic acid, butane-1,2,3,4-tetracarboxylic acid, 1,2,4,5-mellitic acid, hydroxy Oxycarboxylic acids such as glycolic acid, beta-hydroxypropionic acid, citric acid, malic acid, tartaric acid, pyruvic acid, diglycolic acid, salicylic acid, gallic acid, polyphenols such as catechol, pyrogallol, phosphoric acids such as pyrophosphoric acid, polyphosphoric acid, heterocyclic compounds such as 8-hydroxyquinoline and diketones such as alpha-dipyridylacetone acetone.

該螯合劑可以介於0.01重量%至30重量%的濃度使用。The chelating agent can be used at a concentration of from 0.01% to 30% by weight.

該清潔化學物質可視需要地含有消泡化合物。該去泡劑(defoamer)或消泡劑(anti-foaming agent)係減少並且阻礙該配方中形成泡沫的化學添加物。措辭消泡劑和去泡劑通常可互換使用。常用的試劑是不溶性油類、聚二甲基矽氧烷類及其他聚矽氧烷、某些醇類、硬脂酸鹽類及二醇類、某些表面活性劑例如聚醚表面活性劑和多元醇脂肪酸酯的組合、來自Evonik Chemicals的Surfynol MD20表面活性劑。該消泡化合物可以介於0.00001重量%至0.01重量%的濃度用於該清潔配方中。The cleaning chemistry may optionally contain an anti-foaming compound. The defoamer or anti-foaming agent is a chemical additive that reduces and hinders foam formation in the formulation. The terms defoamer and defoamer are often used interchangeably. Commonly used agents are insoluble oils, polydimethylsiloxanes and other polysiloxanes, certain alcohols, stearates and glycols, certain surfactants such as polyether surfactants and A combination of polyol fatty acid esters, Surfynol MD20 surfactant from Evonik Chemicals. The anti-foaming compound can be used in the cleaning formulation at a concentration of between 0.00001% to 0.01% by weight.

該清潔化學物質可視需要地含有殺生物劑(biocide)。CMP配方也可包含添加物以控制生物生長例如殺生物劑。控制生物生長的一些添加物係揭示於美國專利第5,230,833號(Romberger等人)及美國專利公開第2002/0025762號,在此以引用的方式併入本文。生物生長抑製劑包括但不限於氯化四甲基銨、氯化四乙基銨、氯化四丙基銨、氯化烷基苯甲基二甲基銨和氫氧化烷基苯基二甲基銨(其中該烷基鏈介於1至約20個碳原子)、亞氯酸鈉、次氯酸鈉、異噻唑啉酮化合物例如甲基異噻唑啉酮、甲基氯異噻唑啉酮及苯并異噻唑啉酮。一些商業上可購得的防腐劑包括來自Dow Chemicals的KATHONTM 及NEOLENETM 產品系列以及來自Lanxess的Preventol™系列。The cleaning chemistry may optionally contain a biocide. CMP formulations may also contain additives to control biological growth such as biocides. Some additives to control biological growth are disclosed in US Patent No. 5,230,833 (Romberger et al.) and US Patent Publication No. 2002/0025762, incorporated herein by reference. Biological growth inhibitors include, but are not limited to, tetramethylammonium chloride, tetraethylammonium chloride, tetrapropylammonium chloride, alkylbenzyldimethylammonium chloride, and alkylphenyldimethylammonium hydroxide Ammonium (wherein the alkyl chain is from 1 to about 20 carbon atoms), sodium chlorite, sodium hypochlorite, isothiazolinone compounds such as methylisothiazolinone, methylchloroisothiazolinone, and benzisothiazole Linones. Some commercially available preservatives include the KATHON and NEOLENE product lines from Dow Chemicals and the Preventol™ line from Lanxess.

該較佳的殺生物劑係異硫唑酮化合物例如甲基異噻唑啉酮、甲基氯異噻唑啉酮及苯并異噻唑啉酮。The preferred biocides are isothiazolinone compounds such as methylisothiazolinone, methylchloroisothiazolinone and benzisothiazolinone.

該CMP拋光組合物視需要地含有0.0001重量%至0.10重量%的殺生物劑,較佳地自0.0001重量%至0.005重量%,而且更佳地0.0002重量%至0.0025重量%以防止儲存期間的細菌和真菌的生長。The CMP polishing composition optionally contains from 0.0001 wt% to 0.10 wt% biocide, preferably from 0.0001 wt% to 0.005 wt%, and more preferably from 0.0002 wt% to 0.0025 wt% to prevent bacteria during storage and fungal growth.

含有此聚合物的化學物質可用於各種清潔應用,這些應用要求從表面除去殘餘物。該殘餘物本質上可為無機或有機的。含有這些聚合物的配方可能有效的製程之實例包括:CMP後清洗、光阻灰分殘餘物去除、光阻去除及後端封裝中的各種應用、例如:預探針晶圓清洗、切割、研磨等等以及用於光伏應用的晶圓之清潔。Chemicals containing this polymer can be used in a variety of cleaning applications that require residue removal from surfaces. The residue may be inorganic or organic in nature. Examples of processes where formulations containing these polymers may be effective include: post-CMP cleaning, photoresist ash residue removal, photoresist removal, and various applications in back-end packaging such as: pre-probe wafer cleaning, dicing, grinding, etc. etc. and cleaning of wafers for photovoltaic applications.

本發明的組合物特別適用於清洗表面上包含至少一或更多金屬膜或介電膜的半導體晶圓。金屬膜可包括互連金屬線或通孔,其包括銅、鎢、鈷、鋁、釕、鈦、鍺 - 銻 - 碲(GST)或其合金。該介電層可為氧化矽膜例如衍生自原矽酸四乙酯(TEOS)前驅物者、具有一或更多元素例如矽、碳、氮、氧和氫的介電膜。介電膜可能是多孔性或非多孔性,或者該結構可包含氣隙。The compositions of the present invention are particularly useful for cleaning semiconductor wafers containing at least one or more metal or dielectric films on the surface. The metal film may include interconnecting metal lines or vias including copper, tungsten, cobalt, aluminum, ruthenium, titanium, germanium-antimony-tellurium (GST) or alloys thereof. The dielectric layer may be a silicon oxide film such as one derived from a tetraethylorthosilicate (TEOS) precursor, a dielectric film having one or more elements such as silicon, carbon, nitrogen, oxygen, and hydrogen. The dielectric film may be porous or non-porous, or the structure may contain air gaps.

清潔組合物可用各種類型的清潔技術清潔該晶圓表面,該清潔技術包括但不限於刷盒清潔、噴灑清潔、超音波清潔、墊上拋光清潔、單晶圓噴灑工具、批量浸入式清潔工具等等。Cleaning compositions The wafer surface can be cleaned using various types of cleaning techniques including, but not limited to, brush box cleaning, spray cleaning, ultrasonic cleaning, polishing on pad cleaning, single wafer spray tools, batch immersion cleaning tools, and the like .

於某些較佳具體實例中,當該清潔組合物用水稀釋時能於室溫下以較佳地介於0.2至50埃/分之間,或更佳地介於 1與20埃/分之間的蝕刻速率蝕刻該介電膜。In certain preferred embodiments, the cleaning composition can be preferably between 0.2 and 50 angstroms/min, or more preferably between 1 and 20 angstroms/min at room temperature when diluted with water The dielectric film is etched at an etch rate in between.

於一些較佳具體實例中,金屬膜(鎢和氮化鈦)的室溫蝕刻速率非常低,較佳地小於10埃/分,或更佳地小於5埃/分或最佳地小於2埃/分。In some preferred embodiments, the room temperature etch rate of the metal films (tungsten and titanium nitride) is very low, preferably less than 10 angstroms/min, or more preferably less than 5 angstroms/min or most preferably less than 2 angstroms /Minute.

本發明的配方特別適用於鎢的CMP後清潔應用。鎢CMP產生包含W、Ti及Fe的金屬殘餘物,其可於該介電質表面上形成不可見的殘餘物。這些殘餘物可能提昇洩漏電流並且降低該半導體裝置的有效性。鈦特別難以從該晶圓表面除去,因為鈦在寬廣的pH範圍內大體上穩定地呈現固體氧化物相。由於合適的有機酸及介電蝕刻能力,本發明的配方能夠有效地去除鈦殘餘物並且改善該裝置的電氣性能。The formulations of the present invention are particularly suitable for post-CMP cleaning applications of tungsten. Tungsten CMP produces metallic residues including W, Ti, and Fe, which can form invisible residues on the dielectric surface. These residues can increase leakage current and reduce the effectiveness of the semiconductor device. Titanium is particularly difficult to remove from the wafer surface because titanium is generally stable in the solid oxide phase over a wide pH range. Due to suitable organic acids and dielectric etch capabilities, the formulations of the present invention can effectively remove titanium residues and improve the electrical performance of the device.

配方或該配方的組分可在製造該配方時或使用該配方時淨化並且過濾。於一些具體實例中,配方可被製成二或更多組分並且於使用點混合。The formulation or components of the formulation can be purified and filtered when the formulation is manufactured or when the formulation is used. In some embodiments, the formulation can be made into two or more components and mixed at the point of use.

本文所述的清潔組合物和方法將更詳細地參考以下實施例來例示,但是應理解為不得認為其限於此。The cleaning compositions and methods described herein will be exemplified in more detail with reference to the following examples, but should not be construed to be limited thereto.

工作實施例使用的所有CMP後清潔組合物皆具有介於4與5之間的pH。工作實施例 實施例 1 All post-CMP cleaning compositions used in the working examples had a pH between 4 and 5. Working Example Example 1

此實施例進行電化學試驗以表徵Ti的去除。This example performed electrochemical tests to characterize the removal of Ti.

表1提供於0.2重量%濃度下測試的各種化學物質之鈍化電流密度。 表1

Figure 108122295-A0304-0001
Table 1 provides passivation current densities for various chemistries tested at 0.2 wt% concentration. Table 1
Figure 108122295-A0304-0001

在酸性pH下,Ti在陽極電位下顯示出鈍化特性。穩態鈍化電流密度係氧化膜形成與氧化膜溶解之間達到均衡的結果。因此,該穩態鈍化電流密度可作為溶液溶解鈦殘餘物的能力之代表。At acidic pH, Ti exhibits passivation properties at anodic potential. The steady-state passivation current density is the result of a balance between oxide film formation and oxide film dissolution. Therefore, this steady-state passivation current density can be used as a proxy for the solution's ability to dissolve titanium residues.

極化圖的實施例如圖1所示。An example of a polarization diagram is shown in Figure 1.

數據顯示羥基羧酸類例如檸檬酸、葡萄糖酸、乳酸顯示高鈍化電流密度,指明與Ti化合物錯合的能力很強。實施例 2 The data show that hydroxycarboxylic acids such as citric acid, gluconic acid, lactic acid show high passivation current densities, indicating a strong ability to complex with Ti compounds. Example 2

TEOS晶圓在由Applied Materials,3050 Boweres Avenue,Santa Clara,California,95054製造的Mirra®上拋光。該晶圓靠在壓盤1上,用工業用鎢塊拋光漿料在Dow Chemicals的IC1000墊子上拋光1分鐘。TEOS wafers were polished on Mirra® manufactured by Applied Materials, 3050 Boweres Avenue, Santa Clara, California, 95054. The wafer rested on platen 1 and was polished for 1 minute on an IC1000 pad from Dow Chemicals with a commercial tungsten block polishing slurry.

使用二刷盒在Ontrak清潔機上進行清潔,而且清潔工作程序包含於第一刷盒中的30秒化學物質配送及20秒DI水沖洗以及於第二刷盒站中的10秒化學物質配送和40秒DI水沖洗。 表2

Figure 108122295-A0304-0002
Cleaning was performed on an Ontrak cleaning machine using a second brush box and the cleaning schedule consisted of 30 seconds chemical dispensing and 20 seconds DI water rinse in the first brush box and 10 seconds chemical dispensing and 20 seconds in the second brush box station. 40 seconds DI water rinse. Table 2
Figure 108122295-A0304-0002

按照表2製備所述的濃縮清潔組合物。選擇酸濃度以提供相同的莫耳濃度。該組合物的其餘部分是水。按表3中的比例,用水和40%氟化銨進一步稀釋這些化學物質。The concentrated cleaning compositions described were prepared according to Table 2. The acid concentration was chosen to provide the same molar concentration. The remainder of the composition is water. These chemicals were further diluted with water and 40% ammonium fluoride in the proportions in Table 3.

為了表徵缺陷去除及痕量金屬去除能力,進行以下試驗序列。對於各自配方,拋光3個氧化物仿製品,接著使用非原位墊調節3個TEOS監測器。然後藉由拋光3個TiN晶圓而沒有任何墊調節使該墊子受到污染。接著藉由氣相分解感應耦合電漿質譜術(VPD-ICPMS)來檢測TEOS晶圓監測器以確定殘留於該表面上的金屬污染物。To characterize defect removal and trace metal removal capabilities, the following test sequence was performed. For each formulation, 3 oxide replicas were polished, followed by conditioning 3 TEOS monitors using an ex-situ pad. The pads were then contaminated by polishing 3 TiN wafers without any pad conditioning. The TEOS wafer monitor was then inspected by vapor phase decomposition inductively coupled plasma mass spectrometry (VPD-ICPMS) to determine residual metal contamination on the surface.

使用來自KLA-Tencor的Surfscan™ SP2以0.07微米的靈敏度分析前三個TEOS監測器晶圓。The first three TEOS monitor wafers were analyzed using the Surfscan™ SP2 from KLA-Tencor with a sensitivity of 0.07 microns.

此外,於室溫下在TEOS、Ti及W晶圓上測量蝕刻速率。 表3

Figure 108122295-A0304-0003
In addition, etch rates were measured on TEOS, Ti and W wafers at room temperature. table 3
Figure 108122295-A0304-0003

於室溫下在攪拌的情形下測量蝕刻速率。TEOS的蝕刻時間為30分鐘。W和Ti膜的蝕刻時間為5分鐘。TEOS蝕刻速率用橢圓測量技術來測量,而W和Ti蝕刻速率則用四點探針技術來測量。The etch rate was measured at room temperature with stirring. The etching time for TEOS was 30 minutes. The etching time for the W and Ti films was 5 minutes. The TEOS etch rate was measured with ellipsometry, while the W and Ti etch rates were measured with the four-point probe technique.

表4彙總這些數據。Table 4 summarizes these data.

表4顯示像檸檬酸(D6)這樣的羥基羧酸提供較好的Ti去除效果。像草酸(D5)這樣的二羧酸更適用於去除Fe。檸檬酸也提供優異的缺陷率。藉由使用氟化銨,TEOS的缺陷可通過TEOS蝕刻速率的提昇而顯著降低。 表4

Figure 108122295-A0304-0004
實施例 3 Table 4 shows that hydroxycarboxylic acids like citric acid (D6) provide better Ti removal. Dicarboxylic acids like oxalic acid (D5) are more suitable for Fe removal. Citric acid also provides excellent defectivity. By using ammonium fluoride, TEOS defects can be significantly reduced by increasing the TEOS etch rate. Table 4
Figure 108122295-A0304-0004
Example 3

以下配方按表5所示般製作。所有配方皆含有NH4F和水。The following recipes were prepared as shown in Table 5. All formulations contain NH4F and water.

Dowfax 2A1、Dowfax 3B2、Calfax DBA70及Calfax 10L-45係含有帶烷基的二苯基二磺酸結構之專利表面活性劑。Dowfax 2A1, Dowfax 3B2, Calfax DBA70 and Calfax 10L-45 are patented surfactants containing diphenyldisulfonic acid structure with alkyl groups.

Hostapur SAS係僅含有一磺酸基的二級烷磺酸表面活性劑。Tergitol Minfoam 1x係非離子表面活性劑。 表5

Figure 108122295-A0304-0005
Hostapur SAS is a secondary alkanesulfonic acid surfactant containing only one sulfonic acid group. Tergitol Minfoam 1x is a nonionic surfactant. table 5
Figure 108122295-A0304-0005

表5中的數據顯示配方C6和C7係混濁的,而C8至C10係澄清的。於250℃下測量的所有溶液之導電率係約90 mS/cm。The data in Table 5 shows that formulations C6 and C7 were cloudy, while C8 to C10 were clear. The conductivity of all solutions measured at 250°C was about 90 mS/cm.

因此,很明顯地具有二磺酸基的表面活性劑於具有非常高的導電率(約90 mS/cm)之配方中係穩定的。實施例 4 Thus, it is clear that surfactants with disulfonic acid groups are stable in formulations with very high electrical conductivity (about 90 mS/cm). Example 4

用不同的添加物(聚合物及表面活性劑)加於包含13.79重量%檸檬酸和5重量%氟化銨,其餘為水的鹼性化學物質中製作配方。該配方用1份配方稀釋於49份水中。然後將添加物如表6所述以0.2重量%濃度加於該稀釋配方中。The formulations were made with various additives (polymers and surfactants) in an alkaline chemistry comprising 13.79 wt% citric acid and 5 wt% ammonium fluoride, the balance being water. This formula is diluted with 1 part formula in 49 parts water. Additives were then added to the diluted formulation as described in Table 6 at a concentration of 0.2% by weight.

關於清潔評估,首先藉由將浸漬於pH 2.3的3重量%膠態氧化矽(Fuso PL-3 Particles)漿料中30分鐘污染被拋光的鎢晶圓片,產生幾乎完全被顆粒覆蓋的鎢表面。然後藉由在攪拌的情形下浸入該清潔溶液中5分鐘來清潔這些晶圓片。藉由掃描電子顯微鏡於10000倍放大率下評估顆粒清潔效果。主觀上將清潔性能分類為優異(清潔後的顆粒區域覆蓋率>成像區域的10%)、中等(清潔後的顆粒區域覆蓋率為該成像區域的10至50%)及差(清潔後的顆粒區域覆蓋率超過50%)。 表6

Figure 108122295-A0304-0006
For cleaning evaluation, a polished tungsten wafer was first contaminated by immersion in a 3 wt% colloidal silica (Fuso PL-3 Particles) slurry at pH 2.3 for 30 minutes, resulting in a nearly complete particle-covered tungsten surface . The wafers were then cleaned by immersion in the cleaning solution for 5 minutes with agitation. The particle cleaning effect was assessed by scanning electron microscopy at 10,000x magnification. Cleaning performance was subjectively classified as excellent (grain area coverage after cleaning > 10% of the imaged area), moderate (grain area coverage after cleaning 10 to 50% of the imaged area), and poor (grain area after cleaning area coverage over 50%). Table 6
Figure 108122295-A0304-0006

從此表可明顯看出僅包含聚乙二醇基的添加物例如聚乙二醇(分子量400、1000、4000、12000)、聚乙二醇(PEO)和聚丙二醇(PPO)的共聚物例如Pluronic L64和Pluronic 17R4的那些配方提供優異的清潔性能。實施例 5 It is evident from this table that additives containing only polyethylene glycol groups such as polyethylene glycol (molecular weight 400, 1000, 4000, 12000), copolymers of polyethylene glycol (PEO) and polypropylene glycol (PPO) such as Pluronic Those formulations of L64 and Pluronic 17R4 provide excellent cleaning performance. Example 5

用鎢拋光劑漿料拋光TEOS和SiN晶圓,並且在Mirra拋光機上使用IC1000墊子清潔。將所用的濃縮物配方彙總於表7。配方用1份配方稀釋於49份水,製成使用點的清潔配方。以這些配方用於Ontrak DSS200清潔機上清潔該晶圓。使用二刷盒在Ontrak清潔機上進行清潔,而且清潔工作程序包含於第一刷盒中的30秒化學物質配送及320秒DI水沖洗以及於第二刷盒站中的10秒化學物質配送和40秒DI水沖洗。TEOS and SiN wafers were polished with tungsten polish slurry and cleaned using IC1000 pads on a Mirra polisher. The concentrate formulations used are summarized in Table 7. The formula is diluted with 1 part formula in 49 parts water to make a point-of-use cleaning formula. The wafers were cleaned with these recipes on an Ontrak DSS200 cleaner. Cleaning was performed on an Ontrak cleaning machine using a second brush box, and the cleaning schedule consisted of 30 seconds of chemical dispensing and 320 seconds of DI water rinse in the first brush box and 10 seconds of chemical dispensing in the second brush box station and 40 seconds DI water rinse.

表7也彙總使用KLA Surfscan SP2缺陷計量工具在TEOS和SiN晶圓上測得的缺陷數。Table 7 also summarizes the number of defects measured on TEOS and SiN wafers using the KLA Surfscan SP2 defect metrology tool.

此外,使用實施例4中描述的方法進行鎢清潔。將從鎢表面的氧化矽顆粒清潔能力也彙總於表9。 表7

Figure 108122295-A0304-0007
In addition, tungsten cleaning was performed using the method described in Example 4. The ability to clean silicon oxide particles from tungsten surfaces is also summarized in Table 9. Table 7
Figure 108122295-A0304-0007

很明顯地包含陰離子聚合物(Dequest P9030)的配方C11和C13提供對SiN表面的較好清潔效果。然而,由表8顯而易見陰離子聚合物對於從鎢表面清潔未經表面改質的氧化矽顆粒是無效的。然而,包含陰離子聚合物及含聚乙二醇基的聚合物之配方C13可提供涉及TEOS、SiN和鎢的所有表面之優異清潔效果。結果也顯示,相對於通常用於此產業中的CMP後清洗之2%氨溶液,本發明的配方之缺陷數有顯著改善。實施例 6 It is evident that formulations C11 and C13 containing an anionic polymer (Dequest P9030) provide better cleaning of SiN surfaces. However, it is evident from Table 8 that the anionic polymer is ineffective for cleaning unsurface-modified silica particles from the tungsten surface. However, formulation C13 comprising anionic polymers and polymers containing polyethylene glycol groups provided excellent cleaning results for all surfaces involving TEOS, SiN and tungsten. The results also show a significant improvement in defect count for the formulations of the present invention relative to the 2% ammonia solution commonly used in the industry for post-CMP cleaning. Example 6

表7所列的配方係用於清潔先前使用KLA Surfscan SP2測過缺陷數的TEOS晶圓。使晶圓通過Ontrak刷盒清潔機。僅使用第二刷盒進行清潔。在此第二刷盒中,將配方配送30秒而沒有於刷盒中的沖洗步驟。然後將該晶圓送入旋轉沖洗乾燥站(spin-rinse dry station)進行乾燥。在此處理之後測量TEOS晶圓上的缺陷數。處理後的缺陷數(缺陷增加數量(defect adder))的增加表示該清潔化學物質的不完全漂洗產生之缺陷。吾人非常希望該清潔化學物質易於沖洗掉並且不完全沖洗的缺陷增加數量最小。 表8

Figure 108122295-A0304-0008
The recipes listed in Table 7 were used to clean TEOS wafers previously measured for defect count using the KLA Surfscan SP2. Pass the wafer through the Ontrak brush box cleaner. Only use the second brush box for cleaning. In this second brush box, the formula was dispensed for 30 seconds without a rinsing step in the brush box. The wafer is then sent to a spin-rinse dry station for drying. Defect counts on TEOS wafers were measured after this treatment. An increase in the number of defects after treatment (defect adder) indicates defects resulting from incomplete rinsing of the cleaning chemistry. It is highly desirable that the cleaning chemistry be easy to rinse off with minimal increase in the number of imperfections due to incomplete rinsing. Table 8
Figure 108122295-A0304-0008

表8顯示不同化學物質的缺陷增加數量。顯然包含環氧乙烷(C12和C13)的非離子聚合物之化學性質具有最低的缺陷增加數量,表示該清潔化學物質可輕易地從該表面沖洗掉,這對於增大清潔加工窗很重要。實施例 7 藉由將於TEOS晶圓試樣上包含約20% Fuso PL-3顆粒的漿料液滴乾燥評估從TEOS表面除去小顆粒的能力。如表9所述用水和40%氟化銨稀釋表2的配方C1。將具有乾燥漿料的晶圓試樣在攪拌情形下浸於該清潔溶液中15分鐘。經過156分鐘之後,沖洗該試樣並乾燥。在掃描電子顯微鏡之下檢查試樣以確定氧化矽顆粒殘餘物的去除。不含氟化銨的配方(D9)顯示幾乎沒有去除乾燥的氧化矽殘餘物,而包含氟化銨的配方(D8)顯示氧化矽殘餘物的去除大幅減少。這表示氟化銨添加有助於去除氧化矽殘餘物。 表9

Figure 108122295-A0304-0009
儘管本發明已經聯合本發明的特定具體實例加以描述,但是很明顯地按照前面的描述此領域之習知技藝者將顯而易見許多替代物、修飾及變化。 因此,可偏離這些細節而不悖離總體發明概念的精神或範疇。Table 8 shows the number of defect increases for different chemistries. It is apparent that the chemistry of the non-ionic polymers containing ethylene oxide (C12 and C13) has the lowest number of defect increases, indicating that the cleaning chemistry can be easily washed off the surface, which is important for increasing the cleaning process window. Example 7 The ability to remove small particles from TEOS surfaces was evaluated by drop drying of a slurry containing about 20% Fuso PL-3 particles on TEOS wafer coupons. Formula C1 of Table 2 was diluted with water and 40% ammonium fluoride as described in Table 9. The wafer coupons with the dry slurry were immersed in the cleaning solution for 15 minutes with agitation. After 156 minutes, the sample was rinsed and dried. The samples were examined under a scanning electron microscope to determine the removal of silica particle residues. The formula without ammonium fluoride (D9) showed little removal of dry silica residue, while the formula containing ammonium fluoride (D8) showed a substantial reduction in the removal of silica residue. This means that the addition of ammonium fluoride helps to remove the silicon oxide residues. Table 9
Figure 108122295-A0304-0009
Although the present invention has been described in conjunction with specific embodiments of the invention, it is evident that many alternatives, modifications and variations will be apparent to those skilled in the art in light of the foregoing description. Accordingly, departures from these details may be made without departing from the spirit or scope of the general inventive concept.

圖1顯示提供各種化學物質於0.2重量%濃度下測試的鈍化電流密度(passivation current density)之極化圖。Figure 1 shows polarization diagrams providing passivation current densities tested at 0.2 wt% concentration for various chemistries.

Claims (20)

一種化學機械平坦化(CMP)後清潔組合物,其包含:至少一有機酸或其鹽,其係選自由二羧酸、羥基羧酸、聚羧酸、其鹽及其組合所組成的群組;氟化物,其係選自由氫氟酸、氟化銨、氟化氫銨、氟化季銨及其組合所組成的群組;至少一聚合物添加物,其係選自由丙烯酸-丙烯醯胺基丙烷磺酸共聚物及其鹽、聚(2-丙烯醯胺基-2-甲基-1-丙烷磺酸)及其鹽、羧甲基纖維素、甲基纖維素、羥丙基甲基纖維素、聚(1-乙烯基吡咯烷酮-甲基丙烯酸2-二甲胺基乙酯)共聚物、聚(4-苯乙烯磺酸鈉)、包含環氧丙烷之聚合物、聚(4-苯乙烯磺酸)及其鹽、聚丙二醇、聚丙烯醯胺、聚(丙烯醯胺/丙烯酸)共聚物及其鹽、及其組合所組成的群組;及水;其中該組合物具有介於1至小於5之間的pH,且該組合物不含有烷基、芳基或芳烷基取代的氫氧化銨。 A chemical mechanical planarization (CMP) post-cleaning composition, comprising: at least one organic acid or a salt thereof selected from the group consisting of dicarboxylic acid, hydroxycarboxylic acid, polycarboxylic acid, salts thereof, and combinations thereof ; fluoride, which is selected from the group consisting of hydrofluoric acid, ammonium fluoride, ammonium bifluoride, quaternary ammonium fluoride and combinations thereof; at least one polymer additive, which is selected from acrylic acid-acrylamido propane Sulfonic acid copolymer and its salt, poly(2-acrylamido-2-methyl-1-propanesulfonic acid) and its salt, carboxymethyl cellulose, methyl cellulose, hydroxypropyl methyl cellulose , poly(1-vinylpyrrolidone-2-dimethylaminoethyl methacrylate) copolymer, poly(4-styrene sulfonate sodium), polymers containing propylene oxide, poly(4-styrene sulfonate) acid) and salts thereof, polypropylene glycol, polyacrylamide, poly(acrylamide/acrylic acid) copolymers and salts thereof, and the group consisting of combinations thereof; and water; wherein the composition has between 1 and less than pH between 5 and the composition does not contain alkyl, aryl or aralkyl substituted ammonium hydroxide. 如申請專利範圍第1項之CMP後清潔組合物,其進一步包含選自以下所組成的群組的至少一個成分:表面活性劑,其係選自由非離子表面活性劑、陰離子表面活性劑、陽離子表面活性劑、兩性表面活性劑及其混合物所組成的群組;腐蝕抑制劑;生物防腐劑;及pH調節劑。 The post-CMP cleaning composition of claim 1, further comprising at least one component selected from the group consisting of: a surfactant, which is selected from the group consisting of nonionic surfactants, anionic surfactants, cationic surfactants The group consisting of surfactants, amphoteric surfactants, and mixtures thereof; corrosion inhibitors; biological preservatives; and pH adjusters. 如申請專利範圍第1項之CMP後清潔組合物,其中該至少一聚合物添加物具有介於100至1,000,000之間的分子量範圍。 The post-CMP cleaning composition of claim 1, wherein the at least one polymer additive has a molecular weight ranging from 100 to 1,000,000. 如申請專利範圍第1項之CMP後清潔組合物,其中該至少一有機酸或其鹽介於1至30重量%,該至少一聚合物添加物介於0.1至3重量%,該氟化物介於1至25重量%,及餘量的水。 The post-CMP cleaning composition of claim 1, wherein the at least one organic acid or its salt is 1 to 30 wt %, the at least one polymer additive is 0.1 to 3 wt %, the fluoride intermediate At 1 to 25 wt%, and the balance water. 如申請專利範圍第4項之CMP後清潔組合物,其進一步包含其體積2至500倍的去離子水。 The post-CMP cleaning composition of claim 4 further comprises deionized water 2 to 500 times its volume. 如申請專利範圍第1項之CMP後清潔組合物,其中該至少一有機酸或其鹽係選自由羥基羧酸或其鹽、二羧酸或其鹽及其組合所組成的群組。 The post-CMP cleaning composition of claim 1, wherein the at least one organic acid or its salt is selected from the group consisting of hydroxycarboxylic acid or its salt, dicarboxylic acid or its salt, and combinations thereof. 如申請專利範圍第1項之CMP後清潔組合物,其中該至少一有機酸或其鹽係選自由草酸、檸檬酸、丙二酸或其鹽及其組合所組成的群組;該至少一聚合物添加物係該包含環氧丙烷之聚合物。 The post-CMP cleaning composition of claim 1, wherein the at least one organic acid or a salt thereof is selected from the group consisting of oxalic acid, citric acid, malonic acid or a salt thereof and a combination thereof; the at least one polymer The additive is the propylene oxide containing polymer. 如申請專利範圍第1項之CMP後清潔組合物,其中該CMP後清潔組合物包含0.5至5重量%的草酸或其鹽、0.5至5重量%的檸檬酸或其鹽、0.5至5重量%的丙二酸或其鹽;及0.1至2重量%的至少一聚合物添加物,其係選自由丙烯酸-丙烯醯胺基丙烷磺酸共聚物、聚丙二醇、及其組合所組成的群組;及1至25重量%的氟化物。 The post-CMP cleaning composition of claim 1, wherein the post-CMP cleaning composition comprises 0.5 to 5 wt % of oxalic acid or its salt, 0.5 to 5 wt % of citric acid or its salt, 0.5 to 5 wt % malonic acid or a salt thereof; and 0.1 to 2% by weight of at least one polymer additive selected from the group consisting of acrylic acid-acrylamidopropane sulfonic acid copolymer, polypropylene glycol, and combinations thereof; and 1 to 25% by weight of fluoride. 如申請專利範圍第1項之CMP後清潔組合物,其中該CMP後清潔組合物包含1至30重量%的檸檬酸或其鹽;0.1至3重量%的至少一聚合物添加物,其係選自由丙烯酸-丙烯醯胺基丙烷磺酸共聚物、聚丙二醇、及其組合所組成的群組;及1至25重量%的氟化物。 As claimed in claim 1, the post-CMP cleaning composition, wherein the post-CMP cleaning composition comprises 1 to 30% by weight of citric acid or a salt thereof; 0.1 to 3% by weight of at least one polymer additive, which is selected from the group consisting of acrylic acid-acrylamidopropane sulfonic acid copolymer, polypropylene glycol, and combinations thereof; and 1 to 25 weight percent fluoride. 如申請專利範圍第1項之CMP後清潔組合物,其中該CMP後清潔組合物包含0.0001重量%至10重量%的表面活性劑;其中該表面活性劑在導電率
Figure 108122295-A0305-02-0031-2
40mS/cm的組合物中穩定而沒有任何渾濁或沉澱。
The post-CMP cleaning composition as claimed in claim 1, wherein the post-CMP cleaning composition comprises 0.0001 wt % to 10 wt % of a surfactant; wherein the surfactant is in the conductivity
Figure 108122295-A0305-02-0031-2
The composition at 40 mS/cm was stable without any haze or precipitation.
如申請專利範圍第10項之CMP後清潔組合物,其中該表面活性劑包含至少二帶負電荷的陰離子基團。 The post-CMP cleaning composition of claim 10, wherein the surfactant comprises at least dinegatively charged anionic groups. 如申請專利範圍第2項之CMP後清潔組合物,其中該表面活性劑係具有以下結構的二苯醚二磺酸或其鹽:
Figure 108122295-A0305-02-0032-1
其中R係選自H或碳鏈長度介於1與20之間的線性或支鏈烷基。
As claimed in claim 2, the post-CMP cleaning composition, wherein the surfactant is a diphenyl ether disulfonic acid or a salt thereof having the following structure:
Figure 108122295-A0305-02-0032-1
wherein R is selected from H or a linear or branched alkyl having a carbon chain length between 1 and 20.
一種化學機械平坦化(CMP)後清潔半導體晶圓之方法,該半導體晶圓包含至少一選自由金屬膜、介電膜及其組合所組成的群組之表面,該方法包含:提供該半導體晶圓;提供如申請專利範圍第1至12項中任一項的前述化學機械平坦化(CMP)後清潔組合物;及使用該CMP後清潔組合物清洗該半導體晶圓。 A method of cleaning a semiconductor wafer after chemical mechanical planarization (CMP), the semiconductor wafer comprising at least one surface selected from the group consisting of metal films, dielectric films, and combinations thereof, the method comprising: providing the semiconductor wafer circle; providing the aforementioned post-chemical mechanical planarization (CMP) cleaning composition of any one of claims 1 to 12; and cleaning the semiconductor wafer using the post-CMP cleaning composition. 如申請專利範圍第13項之CMP後清潔方法,其中該金屬膜係選自由銅、鎢、鈷、鋁、鈦、釕、其合金及其組合所組成的群組;該介電膜係選自由衍生自原矽酸四乙酯(TEOS)前驅物的氧化矽膜、具有至少一選自由矽、碳、氮、氧和氫所組成的群組之元素的介電膜及其組合所組成的群組。 The post-CMP cleaning method of claim 13, wherein the metal film is selected from the group consisting of copper, tungsten, cobalt, aluminum, titanium, ruthenium, alloys thereof, and combinations thereof; the dielectric film is selected from Silicon oxide films derived from tetraethyl orthosilicate (TEOS) precursors, dielectric films having at least one element selected from the group consisting of silicon, carbon, nitrogen, oxygen, and hydrogen, and the group consisting of combinations thereof Group. 如申請專利範圍第13項之CMP後清潔方法,其中該CMP後清潔方法係選自由刷盒清潔(brush box cleaning)、噴灑清潔、超音波清潔、墊上拋光 清潔(buff cleaning on a pad)、單晶圓噴灑工具、批量浸入式清潔工具及其組合所組成的群組。 As claimed in claim 13, the post-CMP cleaning method, wherein the post-CMP cleaning method is selected from brush box cleaning, spray cleaning, ultrasonic cleaning, and pad polishing A group consisting of buff cleaning on a pad, single wafer spray tools, batch immersion cleaning tools, and combinations thereof. 如申請專利範圍第13項之CMP後清潔方法,其中該CMP後清潔組合物包含1至30重量%的至少一有機酸或其鹽、0.1至3重量%的至少一聚合物添加物、1至25重量%的氟化物、及餘量的水,該至少一聚合物添加物具有介於100至1,000,000之間的分子量範圍;該CMP後清潔組合物係視需要地於使用點用去離子水稀釋2至500倍;而且該經稀釋的CMP清潔組合物以介於0.2至50埃/分之間的蝕刻速率蝕刻該介電膜;以小於1埃/分的蝕刻速率蝕刻鎢;並且於室溫下以小於5埃/分的蝕刻速率蝕刻氮化鈦膜;而且該至少一表面包含SiO2、W、Ti、TiN及SiN膜中的至少其一。 As claimed in claim 13, the post-CMP cleaning method, wherein the post-CMP cleaning composition comprises 1 to 30 wt % of at least one organic acid or salt thereof, 0.1 to 3 wt % of at least one polymer additive, 1 to 30 wt % 25 wt% fluoride, and the balance water, the at least one polymer additive has a molecular weight range between 100 and 1,000,000; the post-CMP cleaning composition is optionally diluted with deionized water at the point of use 2 to 500 times; and the diluted CMP cleaning composition etches the dielectric film at an etch rate between 0.2 and 50 angstroms/min; etches tungsten at an etch rate of less than 1 angstrom/min; and at room temperature and the at least one surface includes at least one of SiO 2 , W, Ti, TiN and SiN films. 一種化學機械平坦化(CMP)後清潔半導體晶圓之系統,該半導體晶圓包含至少一選自由金屬膜、介電膜及其組合所組成的群組之表面,該系統包含:該半導體晶圓;及如申請專利範圍第1至12項中任一項的前述化學機械平坦化(CMP)後清潔組合物;其中該至少一表面與該CMP後清潔組合物接觸。 A system for cleaning a semiconductor wafer after chemical mechanical planarization (CMP), the semiconductor wafer comprising at least one surface selected from the group consisting of metal films, dielectric films, and combinations thereof, the system comprising: the semiconductor wafer ; and the aforementioned post-chemical mechanical planarization (CMP) cleaning composition of any one of claims 1 to 12; wherein the at least one surface is in contact with the post-CMP cleaning composition. 如申請專利範圍第17項之CMP後清潔系統,其中該金屬膜係選自由銅、鎢、鈷、鋁、鈦、鐵、釕、其合金及其組合所組成的群組;該介電膜係選自由衍生自原矽酸四乙酯(TEOS)前驅物的氧化矽膜、具有至少一選自由矽、碳、氮、氧和氫所組成的群組之元素的介電膜及其組合所組成的群組。 The post-CMP cleaning system of claim 17, wherein the metal film is selected from the group consisting of copper, tungsten, cobalt, aluminum, titanium, iron, ruthenium, alloys thereof, and combinations thereof; the dielectric film is Consists of silicon oxide films derived from tetraethyl orthosilicate (TEOS) precursors, dielectric films having at least one element selected from the group consisting of silicon, carbon, nitrogen, oxygen, and hydrogen, and combinations thereof 's group. 如申請專利範圍第17項之CMP後清潔系統,其中該系統係用於選自由刷盒清潔、噴灑清潔、超音波清潔、墊上拋光清潔、單晶圓噴灑工具、批量浸入式清潔工具及其組合所組成的群組中之一清潔方法。 The post-CMP cleaning system of claim 17, wherein the system is used for a cleaning system selected from the group consisting of brush box cleaning, spray cleaning, ultrasonic cleaning, polishing on pad cleaning, single-wafer spray tools, batch immersion cleaning tools, and combinations thereof One of the cleaning methods in the group. 如申請專利範圍第17項之CMP後清潔系統,其中該CMP後清潔組合物包含1至30重量%的至少一有機酸或其鹽、0.1至3重量%的至少一聚合物添加物、1至25重量%的氟化物、及餘量的水,該至少一聚合物添加物具有介於100至1,000,000之間的分子量範圍;當該CMP後清潔組合物於使用點用去離子水稀釋2至500倍時;該經稀釋的CMP清潔組合物以介於0.2至50埃/分之間的蝕刻速率蝕刻該介電膜;以小於1埃/分的蝕刻速率蝕刻鎢;並且於室溫下以小於5埃/分的蝕刻速率蝕刻氮化鈦膜;而且該至少一表面包含SiO2、W、Ti、TiN及SiN膜中的至少其一。 The post-CMP cleaning system of claim 17, wherein the post-CMP cleaning composition comprises 1 to 30 wt% of at least one organic acid or salt thereof, 0.1 to 3 wt% of at least one polymer additive, 1 to 30 wt% 25 wt% fluoride, and the balance water, the at least one polymer additive has a molecular weight range between 100 and 1,000,000; when the post-CMP cleaning composition is diluted 2 to 500 with deionized water at the point of use times; the diluted CMP cleaning composition etched the dielectric film at an etch rate between 0.2 and 50 angstroms/min; etched tungsten at an etch rate of less than 1 angstrom/min; and at room temperature with an etch rate of less than The titanium nitride film is etched at an etch rate of 5 angstroms/min; and the at least one surface includes at least one of SiO 2 , W, Ti, TiN and SiN films.
TW108122295A 2018-06-26 2019-06-26 Post chemical mechanical planarization (cmp) cleaning TWI754154B (en)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201862690108P 2018-06-26 2018-06-26
US62/690108 2018-06-26
US16/444,490 US11560533B2 (en) 2018-06-26 2019-06-18 Post chemical mechanical planarization (CMP) cleaning
US16/444490 2019-06-18

Publications (2)

Publication Number Publication Date
TW202000881A TW202000881A (en) 2020-01-01
TWI754154B true TWI754154B (en) 2022-02-01

Family

ID=67105782

Family Applications (1)

Application Number Title Priority Date Filing Date
TW108122295A TWI754154B (en) 2018-06-26 2019-06-26 Post chemical mechanical planarization (cmp) cleaning

Country Status (7)

Country Link
US (2) US11560533B2 (en)
EP (1) EP3588535B1 (en)
JP (2) JP6999603B2 (en)
KR (1) KR102414343B1 (en)
CN (1) CN110643434A (en)
SG (1) SG10201905930XA (en)
TW (1) TWI754154B (en)

Families Citing this family (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11060051B2 (en) * 2018-10-12 2021-07-13 Fujimi Incorporated Composition for rinsing or cleaning a surface with ceria particles adhered
KR102518676B1 (en) * 2018-11-16 2023-04-06 도아고세이가부시키가이샤 Detergent for semiconductor parts and its use
WO2020194978A1 (en) * 2019-03-26 2020-10-01 株式会社フジミインコーポレーテッド Surface treatment composition, method for manufacturing same, surface treatment method, and method for manufacturing semiconductor substrate
KR20200133177A (en) 2019-05-17 2020-11-26 성균관대학교산학협력단 Functional cleaning solution containing hydrogen water and wafer cleaning method after CMP process using the same
CN113430070A (en) * 2020-03-23 2021-09-24 上海新阳半导体材料股份有限公司 CoWP-compatible semi-aqueous cleaning solution, and preparation method and application thereof
CN113430060B (en) * 2020-03-23 2024-04-19 上海新阳半导体材料股份有限公司 Tungsten compatible cleaning solution for removing hard mask, preparation method and application thereof
CN113430066B (en) * 2020-03-23 2024-04-19 上海新阳半导体材料股份有限公司 Cleaning composition for selectively removing hard mask, preparation method and application thereof
CN113430063B (en) * 2020-03-23 2024-02-23 上海新阳半导体材料股份有限公司 Cleaning solution for selectively removing hard mask, preparation method and application thereof
CN113430072A (en) * 2020-03-23 2021-09-24 上海新阳半导体材料股份有限公司 Cobalt-compatible semi-aqueous cleaning solution for removing hard mask, and preparation method and application thereof
US20210317389A1 (en) * 2020-04-14 2021-10-14 William Quan Chemical product for rapid removal of food burned on to the surfaces of cooktops
KR20230057437A (en) * 2020-08-28 2023-04-28 버슘머트리얼즈 유에스, 엘엘씨 Cleaning after chemical mechanical planarization (CMP)
CN112175756A (en) * 2020-11-05 2021-01-05 河北工业大学 Cleaning solution for removing surface residues after CMP of multi-layer copper interconnection barrier layer
JP7011098B1 (en) * 2021-06-14 2022-01-26 富士フイルムエレクトロニクスマテリアルズ株式会社 Cleaning composition, cleaning method of semiconductor substrate, and manufacturing method of semiconductor element
KR20230032361A (en) 2021-08-30 2023-03-07 (주)큐알피 Method of removing metallic fine impurities by electromagnetic force on the surface after polishing the Sic reclaiming wafer
WO2024071182A1 (en) * 2022-09-30 2024-04-04 富士フイルム株式会社 Semiconductor manufacturing treatment liquid, treated object washing method, and semiconductor manufacturing method

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW201215672A (en) * 2010-10-01 2012-04-16 Mitsubishi Chem Corp Cleaning solution for substrate for semiconductor devices and cleaning method
TW201233794A (en) * 2010-12-31 2012-08-16 Cheil Ind Inc Cleaning composition for semiconductor device and method of cleaning semiconductor device
TW201308627A (en) * 2011-05-06 2013-02-16 Advanced Tech Materials Removal of metal impurities from silicon surfaces for solar cell and semiconductor applications
TW201602413A (en) * 2014-07-14 2016-01-16 氣體產品及化學品股份公司 Copper corrosion inhibition system

Family Cites Families (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5230833A (en) 1989-06-09 1993-07-27 Nalco Chemical Company Low sodium, low metals silica polishing slurries
JPH1181494A (en) 1997-09-08 1999-03-26 Biimatsukusu:Kk Square timber erect column execution method and its execution object
JP3962468B2 (en) 1997-12-25 2007-08-22 花王株式会社 Cleaning composition
EP1148538A4 (en) 1998-12-25 2009-10-21 Hitachi Chemical Co Ltd Cmp abrasive, liquid additive for cmp abrasive and method for polishing substrate
JP4516176B2 (en) 1999-04-20 2010-08-04 関東化学株式会社 Substrate cleaning solution for electronic materials
US6147002A (en) * 1999-05-26 2000-11-14 Ashland Inc. Process for removing contaminant from a surface and composition useful therefor
IL146733A (en) 1999-05-26 2005-07-25 Air Prod & Chem Process for removing contaminant from a surface and composition useful therefor
JP4147369B2 (en) * 1999-06-23 2008-09-10 Jsr株式会社 Semiconductor component cleaning agent and semiconductor component cleaning method
TW593674B (en) 1999-09-14 2004-06-21 Jsr Corp Cleaning agent for semiconductor parts and method for cleaning semiconductor parts
WO2001060940A1 (en) 2000-02-16 2001-08-23 Rodel Inc Biocides for polishing slurries
US20030085175A1 (en) 2000-02-29 2003-05-08 Beardwood Edward S. Metal oxides dispersant composition
WO2001097268A1 (en) 2000-06-16 2001-12-20 Kao Corporation Detergent composition
US7300601B2 (en) 2002-12-10 2007-11-27 Advanced Technology Materials, Inc. Passivative chemical mechanical polishing composition for copper film planarization
US7159655B2 (en) 2003-09-25 2007-01-09 Bj Services Company Method for inhibiting or controlling inorganic scale formations
US7087564B2 (en) 2004-03-05 2006-08-08 Air Liquide America, L.P. Acidic chemistry for post-CMP cleaning
KR100582771B1 (en) 2004-03-29 2006-05-22 한화석유화학 주식회사 Chemical mechanical polishing slurry composition for shallow trench isolation process of semiconductor
JP4600169B2 (en) * 2004-06-25 2010-12-15 Jsr株式会社 Semiconductor component cleaning composition and method for manufacturing semiconductor device
US7427362B2 (en) 2005-01-26 2008-09-23 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Corrosion-resistant barrier polishing solution
JP4667147B2 (en) * 2005-07-15 2011-04-06 株式会社トクヤマ Substrate cleaning solution
TW200734448A (en) 2006-02-03 2007-09-16 Advanced Tech Materials Low pH post-CMP residue removal composition and method of use
CN101290482A (en) 2007-04-19 2008-10-22 安集微电子(上海)有限公司 Cleaning fluid for cleaning plasma etching residue
CN102007196B (en) 2008-03-07 2014-10-29 高级技术材料公司 Non-selective oxide etch wet clean composition and method of use
CN101295143B (en) 2008-06-19 2011-11-23 大连三达奥克化学股份有限公司 Photoresist leftover cleaning agent
US8685272B2 (en) * 2008-08-08 2014-04-01 Samsung Electronics Co., Ltd. Composition for etching silicon oxide layer, method for etching semiconductor device using the same, and composition for etching semiconductor device
CN102533273A (en) 2010-12-31 2012-07-04 第一毛织株式会社 Cleaning composition for semiconductor device and method of cleaning semiconductor device using the same
JP5913869B2 (en) 2011-08-31 2016-04-27 林純薬工業株式会社 Etching solution composition and etching method
KR101761789B1 (en) * 2015-12-24 2017-07-26 주식회사 케이씨텍 Additive composition for polishing slurry and positive polishing slurry composition comprising the same
TWI796289B (en) 2016-03-09 2023-03-21 美商恩特葛瑞斯股份有限公司 Post-cmp cleaning compositions and cleaning method

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW201215672A (en) * 2010-10-01 2012-04-16 Mitsubishi Chem Corp Cleaning solution for substrate for semiconductor devices and cleaning method
TW201233794A (en) * 2010-12-31 2012-08-16 Cheil Ind Inc Cleaning composition for semiconductor device and method of cleaning semiconductor device
TW201308627A (en) * 2011-05-06 2013-02-16 Advanced Tech Materials Removal of metal impurities from silicon surfaces for solar cell and semiconductor applications
TW201602413A (en) * 2014-07-14 2016-01-16 氣體產品及化學品股份公司 Copper corrosion inhibition system

Also Published As

Publication number Publication date
EP3588535A1 (en) 2020-01-01
US11560533B2 (en) 2023-01-24
KR102414343B1 (en) 2022-06-29
JP2022009467A (en) 2022-01-14
KR20200001548A (en) 2020-01-06
JP6999603B2 (en) 2022-01-18
EP3588535B1 (en) 2023-09-20
CN110643434A (en) 2020-01-03
US20190390139A1 (en) 2019-12-26
JP2020004968A (en) 2020-01-09
SG10201905930XA (en) 2020-01-30
US20230183611A1 (en) 2023-06-15
TW202000881A (en) 2020-01-01

Similar Documents

Publication Publication Date Title
TWI754154B (en) Post chemical mechanical planarization (cmp) cleaning
TWI703210B (en) Post chemical mechanical polishing formulations and method of use
JP5097640B2 (en) Cleaning composition after chemical mechanical planarization (CMP)
KR102041624B1 (en) Cleaning liquid composition for electronic device
US9340760B2 (en) Non-amine post-CMP composition and method of use
JP4550838B2 (en) Improved alkaline chemical product for post-cleaning of chemical mechanical planarization
TWI576428B (en) Copper passivating post-chemical mechanical polishing cleaning composition and method of use
EP2812422B1 (en) A post chemical-mechanical-polishing (post-cmp) cleaning composition comprising a specific sulfur-containing compound and a sugar alcohol
TWI718742B (en) Post cmp cleaning composition
KR20020030743A (en) Process for Removing Contaminant from a Surface and Composition Useful Therefor
CN116438284A (en) Microelectronic device cleaning compositions
TWI804963B (en) Post chemical mechanical planarization (cmp) cleaning
TW201730326A (en) Acidic semi-aqueous fluoride activated anti-reflective coating cleaners with superior substrate compatibilities and exceptional bath stability
WO2023183316A1 (en) Post cmp cleaning composition
WO2023096862A1 (en) Microelectronic device cleaning composition
JP2015203047A (en) Substrate cleaning liquid for semiconductor device and method for cleaning substrate for semiconductor device