TWI749506B - 基板處理裝置,半導體裝置的製造方法及程式 - Google Patents

基板處理裝置,半導體裝置的製造方法及程式 Download PDF

Info

Publication number
TWI749506B
TWI749506B TW109111458A TW109111458A TWI749506B TW I749506 B TWI749506 B TW I749506B TW 109111458 A TW109111458 A TW 109111458A TW 109111458 A TW109111458 A TW 109111458A TW I749506 B TWI749506 B TW I749506B
Authority
TW
Taiwan
Prior art keywords
substrate
processing
plasma
coil
coil electrode
Prior art date
Application number
TW109111458A
Other languages
English (en)
Other versions
TW202105519A (zh
Inventor
八幡橘
Original Assignee
日商國際電氣股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 日商國際電氣股份有限公司 filed Critical 日商國際電氣股份有限公司
Publication of TW202105519A publication Critical patent/TW202105519A/zh
Application granted granted Critical
Publication of TWI749506B publication Critical patent/TWI749506B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32733Means for moving the material to be treated
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • H01J37/3211Antennas, e.g. particular shapes of coils
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32541Shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32568Relative arrangement or disposition of electrodes; moving means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • H01J2237/3341Reactive etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma Technology (AREA)
  • Formation Of Insulating Films (AREA)
  • Drying Of Semiconductors (AREA)
  • Photovoltaic Devices (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

本發明的課題是謀求利用在電漿產生空間所產生的電漿來進行的基板處理的適當化。 其解決手段是在於提供一種具有下列構成的技術, 處理室,其係具有電漿產生空間與處理空間; 線圈電極,其係被配置於電漿產生空間的周圍; 基板載置部,其係載置被處理於處理空間的基板; 移動機構部,其係使基板載置部移動於處理室內;及 控制部,其係以能夠按照有關基板的處理分佈資訊來使基板與線圈電極的端部之間的距離可變的方式控制移動機構部。

Description

基板處理裝置,半導體裝置的製造方法及程式
本案是有關基板處理裝置,半導體裝置的製造方法及程式。
近年來,半導體裝置有高集成化的傾向,伴隨於此,圖案大小顯著微細化。被微細化的圖案是經過硬質遮罩或抗蝕劑層等的形成工程、微影製程(photolithography)工程、蝕刻工程等的各種工程來形成。例如,在專利文獻1是揭示利用在電漿產生空間產生的電漿,在被形成於基板上的圖案表面進行預定處理(例如氧化處理),作為具有微細圖案的半導體裝置的製造工程之一工程。 [先前技術文獻] [專利文獻]
[專利文獻1] 日本特開2014-75579號公報
(發明所欲解決的課題)
本案是提供一種謀求利用在電漿產生空間所產生的電漿來進行的基板處理的適當化的技術。 (用以解決課題的手段)
若根據一形態,則提供一種具有下列構成的技術, 處理室,其係具有電漿產生空間與處理空間; 線圈電極,其係被配置於前述電漿產生空間的周圍; 基板載置部,其係載置被處理於前述處理空間的基板; 移動機構部,其係使前述基板載置部移動於前述處理室內;及 控制部,其係以能夠按照有關前述基板的處理分佈資訊來使前述基板與前述線圈電極的端部之間的距離可變的方式控制前述移動機構部。 [發明的效果]
若根據本案的技術,則可謀求利用在電漿產生空間產生的電漿來進行的基板處理的適當化。
<一實施形態>
以下,一邊參照圖面,一邊說明有關本案的一實施形態。
在以下的實施形態舉例的基板處理裝置是可用在半導體裝置的製造工程者,被構成為對於成為處理對象的基板進行預定的製程處理者。 成為處理對象的基板是例如可舉作為製入半導體積體電路裝置(半導體裝置)的半導體晶圓基板(以下簡稱「晶圓」)。另外,在本說明書中,使用稱為「晶圓」的言辭時,有意思「晶圓本身」的情況,或意思「晶圓與被形成於其表面的預定的層或膜等的層疊體(集合體)」的情況(亦即,包含被形成於表面的預定的層或膜等來稱為晶圓的情況)。並且,在本說明書中使用稱為「晶圓的表面」的言辭時,有意思「晶圓本身的表面(露出面)」的情況,或意思「被形成於晶圓上的預定的層或膜等的表面,亦即作為層疊體的晶圓的最表面」的情況。在本說明書中使用稱為「基板」的言辭時,也有與使用稱為「晶圓」的言辭時同義。 又,作為對於晶圓進行的處理,是例如有氧化處理、擴散處理、為了離子植入後的載體活化或平坦化的回流或退火、成膜處理等。在本實施形態中,特別是舉進行晶圓面上的膜的改質處理(氧化處理)的情況為例。
(1)基板處理裝置的構成 首先,利用圖1來說明有關本實施形態的基板處理裝置的概略構成例。 圖1是本實施形態的基板處理裝置的概略構成圖。
(處理室) 本實施形態的基板處理裝置(以下簡稱「處理裝置」)100是具備電漿處理晶圓200的處理爐202。在處理爐202是設有構成處理室201的處理容器203。處理容器203是具備:第1容器之圓頂型的上側容器210,及第2容器之碗型的下側容器211。藉由上側容器210蓋在下側容器211上,形成處理室201。上側容器210是例如以氧化鋁(Al2 O3 )或石英(SiO2 )等的非金屬材料所形成。下側容器211是例如以鋁(Al)所形成。
並且,在下側容器211的下部側壁是設有閘閥244。閘閥244是被構成在開啟時,可藉由搬送機構(未圖示),經由搬入出口245,往處理室201內搬入晶圓200,或往處理室201外搬出晶圓200。閘閥244是被構成為在關閉時,成為保持處理室201內的氣密性的隔閥。
處理室201是具有:在周圍設有線圈電極(以下亦簡稱「線圈」)212的電漿產生空間201a,及連通至電漿產生空間201a的基板處理空間201b。電漿產生空間201a是產生電漿的空間,意指處理室內的空間之中,比線圈212的下端還上方,且比線圈212的上端還下方的空間。另一方面,基板處理空間201b是利用電漿來處理基板的空間,意指比線圈212的下端還下方的空間。在本實施形態中,電漿產生空間201a與基板處理空間201b的水平方向的直徑被構成為大致相同。
(基座) 在處理室201的底側中央是配置有作為載置晶圓200的基板載置部的基座217。基座217是例如由氮化鋁(AlN)、陶瓷、石英等的非金屬材料所形成,被構成為可減低對於被形成於晶圓200上的膜等的金屬汚染。
在基座217的內部是作為加熱機構的加熱器217b會被一體地埋入。加熱器217b是被構成為一旦被供給電力,則可將晶圓200表面例如從25℃加熱至750℃程度。
基座217是與下側容器211電性絕緣。阻抗調整電極217c是為了使在被載置於基座217的晶圓200上產生的電漿的密度的均一性更提升,而設在基座217內部,經由作為阻抗調整部的阻抗可變機構275來接地。阻抗可變機構275是由線圈及可變電容器所構成,被構成為藉由控制線圈的電感及電阻以及可變電容器的電容值,可使阻抗變化於從約0Ω到處理室201的寄生阻抗值的範圍內。藉此,可經由阻抗調整電極217c及基座217來控制晶圓200的電位(偏壓電壓)。另外,在本實施形態中,如後述般,由於可使在晶圓200上產生的電漿的密度的均一性提升,因此當該電漿的密度的均一性收於所望的範圍時,利用阻抗調整電極217c的偏壓電壓控制是不進行。又,不進行該偏壓電壓控制時,亦可不在基座217設置電極217c。但,亦可以使該均一性更提升為目的進行該偏壓電壓控制。
主要藉由基座217、加熱器217b、阻抗調整電極217c來構成本實施形態的基板載置部。
又,基座217是藉由軸269來從下方側支撐。軸269是邊保持處理室201內的氣密性,邊貫通下側容器211的底面,在處理室201的外方被連結至基座昇降機構268。基座昇降機構268是具有依照來自後述的控制器221的指示動作的電動馬達等的驅動源(未圖示),被構成為藉由該驅動源動作,使軸269及被軸支撐的基座217移動於上下方向。亦即,基座昇降機構268是具有作為在處理室201內使基座217移動(昇降)於上下方向的移動機構部或昇降部的機能。
並且,在基座217是設有貫通孔217a,在下側容器211的底面是設有晶圓頂起銷266。貫通孔217a與晶圓頂起銷266是在彼此對向的位置,至少各設置3處。藉由基座昇降機構268來使基座217下降時,晶圓頂起銷266會在與基座217非接觸的狀態下,被構成為穿過貫通孔217a。
(氣體供給部) 在處理室201的上方,亦即上側容器210的上部是設有氣體供給頭236。氣體供給頭236是具備:蓋狀的蓋體233、氣體導入口234、緩衝室237、開口238、遮蔽板240及氣體吹出口239,被構成為可將反應氣體往處理室201內供給。緩衝室237是具有作為將從氣體導入口234導入的反應氣體分散的分散空間的機能。
在氣體導入口234是以供給作為含氧氣體的氧(O2 )氣體的含氧氣體供給管232a的下游端、供給作為含氫氣體的氫(H2 )氣體的含氫氣體供給管232b的下游端、及供給作為惰性氣體的氬(Ar)氣體的惰性氣體供給管232c的下游端會合流的方式連接。在含氧氣體供給管232a是從上游側依序設有O2 氣體供給源250a、作為流量控制裝置的質量流控制器(MFC)252a、作為開閉閥的閥253a。在含氫氣體供給管232b是從上游側依序設有H2 氣體供給源250b、MFC252b、閥253b。在惰性氣體供給管232c是從上游側依序設有Ar氣體供給源250c、MFC252c、閥253c。在含氧氣體供給管232a、含氫氣體供給管232b及惰性氣體供給管232c合流的下游側是設有閥243a,被連接至氣體導入口234的上游端。藉由使閥253a、253b、253c、243a開閉,構成為可一面藉由MFC252a、252b、252c來調整各者的氣體的流量,一面經由氣體供給管232a、232b、232c來將含氧氣體、含氫氣體、惰性氣體等的處理氣體供給至處理室201內。
主要藉由氣體供給頭236(蓋體233、氣體導入口234、緩衝室237、開口238、遮蔽板240、氣體吹出口239)、含氧氣體供給管232a、含氫氣體供給管232b、惰性氣體供給管232c、MFC252a,252b,252c、閥253a,253b,253c,243a來構成本實施形態的氣體供給部(氣體供給系)。
又,藉由氣體供給頭236、含氧氣體供給管232a、MFC252a、閥253a,243a來構成本實施形態的含氧氣體供給系。又,藉由氣體供給頭236、含氫氣體供給管232b、MFC252b、閥253b,243a來構成本實施形態的氫氣體供給系。又,藉由氣體供給頭236、惰性氣體供給管232c、MFC252c、閥253c,243a來構成本實施形態的惰性氣體供給系。
另外,本實施形態的處理裝置100是被構成為藉由從含氧氣體供給系供給作為含氧氣體的O2 氣體來進行氧化處理,但亦可取代含氧氣體供給系,設置將含氮氣體供給至處理室201內的含氮氣體供給系。若根據如此構成的處理裝置,則可取代基板的氧化處理來進行氮化處理。此情況,取代O2 氣體供給源250a,例如設置作為含氮氣體供給源的N2 氣體供給源,含氧氣體供給管232a構成為含氮氣體供給管。
(排氣部) 在下側容器211的側壁是設有從處理室201內排除反應氣體的氣體排氣口235。氣體排氣口235是連接氣體排氣管231的上游端。在氣體排氣管231是從上游側依序設有作為壓力調整器(壓力調整部)的APC(Auto Pressure Controller)242、作為開閉閥的閥243b、作為真空排氣裝置的真空泵246。
主要藉由氣體排氣口235、氣體排氣管231、APC242、閥243b來構成本實施形態的排氣部。另外,亦可將真空泵246含在排氣部中。
(電漿產生部) 在處理室201的外周部,亦即上側容器210的側壁的外側是以能夠包圍處理室201的方式設有螺旋狀的線圈電極(以下亦稱為「共振線圈」)212。共振線圈212是連接RF感測器272、高頻電源273、進行高頻電源273的阻抗或輸出頻率的匹配的匹配器274。
高頻電源273是對共振線圈212供給高頻電力(RF電力)者。RF感測器272是被設在高頻電源273的輸出側,監視被供給的高頻的行波或反射波的資訊者。藉由RF感測器272來監視的反射波電力是被輸入至匹配器274。匹配器274是根據從RF感測器272輸入的反射波的資訊,以反射波成為最小的方式,控制高頻電源273的阻抗或被輸出的高頻電力的頻率者。
高頻電源273是具備:包含用以規定振盪頻率及輸出的高頻振盪電路及前置放大器的電源控制手段(控制電路),及用以放大預定的輸出的放大器(輸出電路)。電源控制手段是根據有關經由操作面板而預先設定的頻率及電力的輸出條件來控制放大器。放大器是經由傳送線路來供給一定的高頻電力至共振線圈212。
共振線圈212是以能夠跨越處理室201的上下方向,沿著其上下方向(亦即基座217的移動方向)延伸的方式,螺旋狀地捲繞於處理室201的周圍而配置。被螺旋狀地捲繞的共振線圈212是為了形成預定的波長的駐波,而以能夠以一定的波長共振之方式,設定捲徑、捲繞間距、捲數。亦即,共振線圈212的電性長度是被設定成相當於從高頻電源273供給的高頻電力的預定頻率的1波長的整數倍(1倍、2倍、…)的長度。
具體而言,考慮施加的電力或使產生的磁場強度或適用的裝置的外形等,共振線圈212是以能夠例如藉由800kHz~50MHz、0.5~5KW的高頻電力來產生0.01~10高斯程度的磁場之方式,設為50~300mm2 的有效剖面積,且200~500mm的線圈直徑,在形成電漿產生空間201a的室的外周側捲繞2~60次程度。
作為合適的實施例,是例如頻率為13.56 MHz時,1波長的長度是約22公尺,頻率為27.12MHz時,1波長的長度是約11公尺,共振線圈212的電性長度是被設成為該等的1波長的長度(1倍)。在本實施形態中,將高頻電力的頻率設定成27.12MHz,將共振線圈212的電性長度設定成1波長的長度(約11公尺)。共振線圈212的捲繞間距是例如以24.5mm間隔來設成為等間隔。又,共振線圈212的捲徑(直徑)是被設定為比晶圓200的直徑更大。在本實施形態中,將晶圓200的直徑設為300mm,共振線圈212的捲徑是被設成為500mm,比晶圓200的直徑更大。
構成共振線圈212的素材是使用銅管、銅的薄板、鋁管、鋁薄板、在聚合物皮帶蒸鍍銅或鋁的素材等。共振線圈212是藉由:以絕緣性材料來形成平板狀,且被鉛直地立設於底板248的上端面之複數的支座(未圖示)所支撐。
共振線圈212的兩端是被電性接地,其中的至少一端是為了在裝置的最初的設置時或處理條件的變更時微調整該共振線圈的電性長度,而經由可動插座213來接地。圖1中的符號214是表示另一方的固定接地。可動插座213是以能夠使共振線圈212的共振特性形成與高頻電源273大致相等的方式調整位置。而且,為了在裝置的最初的設置時或處理條件的變更時微調整共振線圈212的阻抗,而在共振線圈212的被接地的兩端之間是藉由可動插座215來構成給電部。藉由如此共振線圈212具備可變式接地部及可變式給電部,如後述般,在調整處理室201的共振頻率及負荷阻抗時,可更加簡便地調整。
而且,以共振線圈212的電性中點,相位及反相位電流會對稱地流動的方式,在共振線圈212的一端(或另一端或兩端)插入由線圈及屏蔽所成的波形調整電路(未圖示)。波形調整電路是藉由將共振線圈212的端部設為電性非連接狀態或設定成電性等效的狀態來構成開路。另外,共振線圈212的端部是亦可藉由扼流圈串聯電阻來設為非接地,被直流連接於固定基準電位。
遮蔽板223是遮蔽共振線圈212的外側的電場,且為了在與共振線圈212之間形成構成共振電路所需要的電容成分(C成分)而設。遮蔽板223是一般使用鋁合金等的導電性材料來圓筒狀地構成。遮蔽板223是從共振線圈212的外周隔開5~150mm程度配置。通常,遮蔽板223是以電位會與共振線圈212的兩端相等的方式接地,但為了正確地設定共振線圈212的共振數,遮蔽板223的一端或兩端是被構成可調整插座位置。或,為了正確地設定共振數,亦可在共振線圈212與遮蔽板223之間插入微調電容(trimming capacitance)。
主要藉由共振線圈212、RF感測器272、匹配器274來構成本實施形態的電漿產生部。另外,亦可包含高頻電源273作為電漿產生部。
在此,利用圖2來說明有關本實施形態的裝置的電漿產生原理及被產生的電漿的性質。 圖2是說明本實施形態的基板處理裝置的電漿產生原理的說明圖。
藉由共振線圈212所構成的電漿產生電路是以RLC的並列共振電路所構成。從高頻電源273供給的高頻電力的波長與共振線圈212的電性長度為相同時,共振線圈212的共振條件是藉由共振線圈212的電容成分或感應成分所作出的電抗成分會相抵,形成純電阻。然而,在上述電漿產生電路中,使電漿產生時,因為共振線圈212的電壓部與電漿之間的電容耦合的變動、或電漿產生空間201a與電漿之間的感應耦合的變動、電漿的激發狀態等,實際的共振頻率會稍微變動。
於是,在本實施形態中,在電源側補償電漿產生時的共振線圈212的共振的偏差,因此具有在RF感測器272中檢測出電漿產生時的來自共振線圈212的反射波電力,根據被檢測出的反射波電力,匹配器274修正高頻電源273的輸出之機能。
具體而言,匹配器274是根據在RF感測器272中被檢測出的電漿產生時的來自共振線圈212的反射波電力,以反射波電力會成為最小的方式使高頻電源273的阻抗或輸出頻率增加或減少。控制阻抗時,匹配器274是藉由修正預先被設定的阻抗之可變電容器控制電路所構成,控制頻率時,匹配器274是藉由修正預先被設定的高頻電源273的振盪頻率之頻率控制電路所構成。另外,高頻電源273與匹配器274是亦可構成為一體。
藉由如此的構成,在本實施形態的共振線圈212中,如圖2所示般,由於供給包含電漿的該共振線圈的實際的共振頻率之高頻電力(或以能夠匹配於包含電漿的該共振線圈的實際的阻抗之方式供給高頻電力),因此形成相位電壓與反相位電壓經常被相抵的狀態的駐波。當共振線圈212的電性長度與高頻電力的波長相同時,在線圈的電性中點(電壓為零的節點)產生最高的相位電流。因此,在電性中點的附近是與處理室壁或基座217的電容耦合幾乎無,形成電性電位極低的甜甜圈狀的感應電漿。
(控制部) 本實施形態的處理裝置100是如圖1所示般,具備作為控制部(控制手段)的控制器221。
控制器221是被構成為經由訊號線A來控制APC242、閥243b及真空泵246,經由訊號線B來控制基座昇降機構268,經由訊號線C來控制加熱器電力調整機構276及阻抗可變機構275,經由訊號線D來控制閘閥244,經由訊號線E來控制RF感測器272、高頻電源273及匹配器274,經由訊號線F來控制MFC252a~252c及閥253a~253c,243a。
在此,更詳細說明有關控制器221的構成。 圖3是表示本實施形態的基板處理裝置的控制器的構成例的方塊圖。
如圖3所示般,控制器221是構成為具備CPU (Central Processing Unit)221a、RAM(Random Access Memory) 221b、記憶裝置221c、I/O埠221d的電腦。RAM221b、記憶裝置221c、I/O埠221d是被構成為可經由內部匯流排221e來與CPU221a資料交換。 並且,在控制器221連接例如構成為觸控面板或顯示器等的輸出入裝置222、外部記憶裝置223。而且,控制器221是被構成為可經由收訊部263來連接成為處理裝置100的上位裝置的主裝置(主電腦)270。另外,在本案所謂的連接是亦包含各部以物理性的電纜(訊號線)來連接的意思,但亦包含各部的訊號(電子資料)可直接或間接地發送/接收的意思。
記憶裝置221c是例如以快閃記憶體、HDD (Hard Disk Drive)等所構成。在記憶裝置221c內,控制基板處理裝置的動作的控制程式、記載有後述的基板處理的程序或條件等的程式處方等會可讀取地被儲存。程式處方是被組合成使後述的基板處理工程的各程序實行於控制器221,可取得預定的結果,作為程式機能。以下,亦將此程式處方、控制程式等總簡稱為程式。另外,在本說明書中使用程式的言辭時,有只包含程式處方單體的情況,只包含控制程式單體的情況,或包含其雙方的情況。又,RAM221b是被構成為暫時性地保持藉由CPU221a所讀出的程式或資料等的記憶體區域(工作區域)。
I/O埠221d是被連接至上述的MFC252a~ 252c、閥253a~253c、243a、243b、閘閥244、APC閥242、真空泵246、RF感測器272、高頻電源273、匹配器274、基座昇降機構268、阻抗可變機構275、加熱器電力調整機構276等。
CPU221a是被構成為從記憶裝置221c讀出控制程式而實行,且按照來自輸出入裝置222的操作指令的輸入等,從記憶裝置221c讀出製程處方。而且,CPU221a是被構成為可按照讀出的製程處方的內容,經由I/O埠221d及訊號線A來控制APC閥242的開度調整動作、閥243b的開閉動作、及真空泵246的起動・停止,經由訊號線B來控制基座昇降機構268的昇降動作,經由訊號線C來控制利用加熱器電力調整機構276之往加熱器217b的供給電力量調整動作(溫度調整動作)或利用阻抗可變機構275的阻抗值調整動作,經由訊號線D來控制閘閥244的開閉動作,經由訊號線E來控制RF感測器272、匹配器274及高頻電源273的動作,經由訊號線F來控制利用MFC252a~252c之各種氣體的流量調整動作、及閥253a~253c、243a的開閉動作等。
又,CPU221a是藉由實行從記憶裝置221c讀出的控制程式,作為處理分佈資訊判斷部221f的機能。處理分佈資訊判斷部221f是被構成為按照詳細後述的處理分佈資訊,設定成為處理對象的晶圓200的高度位置,對於基座昇降機構268指示其設定結果。處理分佈資訊判斷部221f是利用記憶裝置221c的表221g來進行如此的高度位置的設定。亦即,在記憶裝置221c是設為登錄有表221g,該表221g是記錄有處理分佈資訊與基座昇降機構268的控制值的關係。
控制器221是將被儲存於外部記憶裝置(例如磁帶、軟碟或硬碟等的磁碟、CD或DVD等的光碟、MO等的光磁碟、USB記憶體或記憶卡等的半導體記憶體)223的上述程式安裝於電腦來構成。記憶裝置221c或外部記憶裝置223是構成為電腦可讀取的記錄媒體。以下,亦將該等總簡稱為記錄媒體。另外,在本說明書中使用稱為記錄媒體時,是有只包含記憶裝置221c單體的情況,只包含外部記憶裝置223單體的情況,或包含其雙方的情況。另外,往電腦的程式的提供是亦可不使用外部記憶裝置223,利用網際網路或專用線路等的通訊手段來進行。
(2)基板處理工程 其次,主要利用圖4來說明有關本實施形態的基板處理工程。 圖4是表示本實施形態的基板處理工程的程序的概要的流程圖。圖5是表示在本實施形態的基板處理工程被處理之形成有溝(trench)的基板的例子的說明圖。
本實施形態的基板處理工程是例如作為快閃記憶體等的半導體裝置(半導體裝置)的製造工程之一工程,藉由上述的處理裝置100來實施。在以下的說明中,構成處理裝置100的各部的動作是藉由控制器221來控制。
另外,在本實施形態的基板處理工程被處理的晶圓200的表面是例如圖5所示般,至少表面以矽的層所構成,預先形成具有寬高比高的凹凸部的溝301。在本實施形態中,對露出於溝301的內壁的矽層,進行氧化處理,作為使用電漿的處理。溝301是例如在晶圓200上形成施以預定的圖案的遮罩層302,藉由將晶圓200表面蝕刻至預定深度來形成。
(基板搬入工程:S110) 首先,將上述的晶圓200搬入至處理室201內。具體而言,基座昇降機構268會使基座217下降至晶圓200的搬送位置,使晶圓頂起銷266貫通於基座217的貫通孔217a。其結果,晶圓頂起銷266會成為僅預定的高度部分比基座217表面更突出的狀態。
接著,開啟閘閥244,從與處理室201鄰接的真空搬送室,利用晶圓搬送機構(未圖示)來將晶圓200搬入至處理室201內。被搬入的晶圓200是以水平姿勢來被支撐於從基座217的表面突出的晶圓頂起銷266上。一旦將晶圓200搬入至處理室201內,則使晶圓搬送機構退避至處理室201外,關閉閘閥244而將處理室201內密閉。然後,藉由基座昇降機構268使基座217上昇,晶圓200被支撐於基座217的上面。
(昇溫・真空排氣工程:S120) 接著,進行被搬入至處理室201內的晶圓200的昇溫。加熱器217b是預先被加熱,藉由將晶圓200保持於埋入加熱器217b的基座217上,可將晶圓200加熱至例如150~750℃的範圍內的預定值。在此,晶圓200的溫度會被加熱成為600℃。並且,進行晶圓200的昇溫的期間,藉由真空泵246,經由氣體排氣管231來將處理室201內真空排氣,將處理室201內的壓力設為預定的值。真空泵246是使至少作動至後述的基板搬出工程(S160)終了為止。
(反應氣體供給工程:S130) 其次,開始供給含氧氣體的O2 氣體與含氫氣體的H2 氣體,作為反應氣體。具體而言,開啟閥253a及253b,一邊在MFC252a及252b流量控制,一邊開始供給O2 氣體及H2 氣體至處理室201內。此時,將O2 氣體的流量設為例如20~2000sccm,理想是20~1000sccm的範圍內的預定值。並且,將H2 氣體的流量設為例如20~1000sccm,理想是20~ 500sccm的範圍內的預定值。作為更合適的例子,是將O2 氣體與H2 氣體的合計流量設為1000sccm,將流量比設為O2 /H2 ≧950/50為理想。
並且,調整APC242的開度,控制處理室201內的排氣,而使處理室201內的壓力成為例如1~250Pa、理想是50~200Pa的範圍內的預定壓力、更理想是約150Pa。如此,一面將處理室201內適度地排氣,一面繼續O2 氣體及H2 氣體的供給至後述的電漿處理工程(S140)的終了時為止。
(電漿處理工程:S140) 一旦處理室201內的壓力安定,則對於共振線圈212,從高頻電源273經由RF感測器272,開始高頻電力的施加。在本實施形態中,從高頻電源273供給27.12MHz的高頻電力至共振線圈212。供給至共振線圈212的高頻電力是例如100~5000W的範圍內的預定的電力,理想是100~3500W,更理想是約3500W。當電力比100W低時,難以使電漿放電安定地產生。
藉此,在被供給O2 氣體及H2 氣體的電漿產生空間201a內形成高頻電場,藉由如此的電場,在相當於電漿產生空間的共振線圈212的電性中點的高度位置激發具有最高的電漿密度的甜甜圈狀的感應電漿。電漿狀的O2 氣體及H2 氣體解離,產生含氧的氧自由基(氧活性種)或氧離子、含氫的氫自由基(氫活性種)或氫離子等的反應種。
如前述般,當共振線圈212的電性長度與高頻電力的波長相同時,在電漿產生空間201a內,共振線圈212的電性中點的附近,與處理室壁或基板載置台的電容耦合幾乎無,電性電位極低的甜甜圈狀的感應電漿會被激發。因為產生電性電位極低的電漿,所以可防止在電漿產生空間201a的壁或基座217上產生鞘層(sheath)。因此,在本實施形態中,電漿中的離子是不被加速。
在基板處理空間201b被保持於基座217上的晶圓200是藉由感應電漿所產生的自由基與不被加速的狀態的離子會被均一地供給至溝301內。被供給的自由基及離子是與側壁301a,301b均一地反應,將表面的矽層改質成階梯覆蓋(step coverage)良好的矽氧化層。
又,由於離子的加速被防止,因此可抑制晶圓200因為被加速的離子而受到損傷,又,抑制對於電漿產生空間的周壁之濺射環作用,亦無使電漿產生空間201a的周壁遭受損傷的情形。
又,由於被附設高頻電源273的匹配器274會在高頻電源273側補償在共振線圈212產生的阻抗的不匹配所造成的反射波電力,彌補實效負荷電力的降低,因此可對於共振線圈212經常確實地供給初期的水準的高頻電力,可使電漿安定。因此,可以一定的速率且均一地處理被保持於基板處理空間201b的晶圓200。
然後,一旦經過預定的處理時間,例如10~ 300秒,則停止來自高頻電源273的電力的輸出,停止處理室201內的電漿放電。並且,關閉閥253a,253b,停止O2 氣體及H2 氣體往處理室201內供給。藉由以上,完成電漿處理工程(S140)。
(真空排氣工程:S150) 一旦停止O2 氣體及H2 氣體的供給,則經由氣體排氣管231來將處理室201內真空排氣。藉由,將處理室201內的O2 氣體或H2 氣體、藉由該等氣體的反應所產生的排氣等往處理室201外排氣。然後,調整APC242的開度,將處理室201內的壓力調整成與處理室201鄰接的真空搬送室(晶圓200的搬出去處,未圖示)相同的壓力(例如100Pa)。
(基板搬出工程:S160) 一旦處理室201內成為預定的壓力,則使基座217下降至晶圓200的搬送位置,使晶圓200支撐於晶圓頂起銷266上。然後,開啟閘閥244,利用晶圓搬送機構來將晶圓200往處理室201外搬出。藉由以上,完成本實施形態的基板處理工程。
另外,在本實施形態中,顯示將O2 氣體與H2 氣體電漿激發而進行基板的電漿處理之例,但不限於此,例如亦可取代O2 氣體,將N2 氣體供給至處理室201內,將N2 氣體與H2 氣體電漿激發而對於基板實行氮化處理。此情況,可使用取代上述的含氧氣體供給系而具備上述的含氮氣體供給系的處理裝置100。
(3)控制處理程序 其次,舉具體例說明有關在上述的基板處理工程中控制器221所進行的控制處理的程序。在此,特別是在電漿處理工程(S140)中,舉利用在電漿產生空間201a內產生的電漿來進行對於晶圓200的基板處理時的控制處理作為具體例。
(處理對象晶圓) 在上述的基板處理工程被處理的晶圓200是以溝301會預先被形成的方式(參照圖5),在其表面實施預定處理。該情況,在晶圓200中,可能有在預定處理的處理分佈產生面內偏差的情形。具體而言,在晶圓200的內周側及外周側,可能有預定處理後的處理狀態(例如表面狀態)不同的情形。
例如,想像在被形成於晶圓200上的矽層的表面施以化學機械研磨(chemical mechanical polishing,以下簡稱「CMP」)的情況,作為容易說明處理分佈的面內偏差的例子。 圖6是表示在本實施形態的基板處理工程成為處理對象的基板表面的面內偏差的例子的說明圖。 例如,在晶圓200上的矽層進行CMP時,如圖6所示般,可能有在CMP後的膜厚分佈產生面內偏差的情形。具體而言,例如,如圖中所示的分佈A般,像晶圓200的內周側厚,外周側薄那樣,產生剖面凸狀的膜厚分佈,或者,如圖中所示的分佈B般,像晶圓200的內周側薄,外周側厚那樣,產生剖面凹狀的膜厚分佈。
若產生如此的面內偏差,則例如像在內周側及外周側,溝301的寬不同那樣,恐有對之後的處理結果造成不良影響之虞,成為招致半導體裝置製造的良品率降低的主要因素。
根據此情形,在本實施形態中,基板處理工程時,控制器221會進行以下所述的控制處理。
(處理分佈資訊的取得) 在基板處理工程時,之前先將成為處理對象的晶圓200移載至未圖示的測定裝置,在該測定裝置測定表面的處理分佈的狀態。藉此,針對成為處理對象的晶圓200,特定使其表面的處理分佈的狀態的測定結果資料化的處理分佈資訊。另外,測定裝置是只要可特定處理分佈資訊者即可,並無特別加以限定,只要使用利用周知技術來構成者即可。
在測定裝置的測定結果之處理分佈資訊是從測定裝置發送至成為處理裝置100的上位裝置之主裝置270。然後,處理分佈資訊是至處理裝置100開始電漿處理工程(S140)為止,從主裝置270經由收訊部263來發送至控制器221。亦即,控制器221是在電漿處理工程(S140)的開始之前,經由收訊部263來取得有關成為處理對象的晶圓200的處理分佈資訊。
另外,在此是舉收訊部263經由主裝置270來接收處理分佈資訊的情況為例,但並非一定要被限定於此。例如,亦可裝置管理者接收記錄有處理分佈資訊的記錄媒體,裝置管理者使該處理分佈資訊讀取至控制器221。又,亦可裝置管理者確認處理分佈資訊,裝置管理者將該處理分佈資訊輸入至控制器221。亦即,有關處理分佈資訊是只要可利用於控制器221即可,其取得途徑並非被特別加以限定。
(電漿處理時的控制程序) 取得處理分佈資訊之後,開始電漿處理工程(S140)。在電漿處理工程(S140)中,按照處理分佈資訊,以使成為處理對象的晶圓200(亦即被保持於基座217上的晶圓200)與進行電漿產生的共振線圈212的端部(具體而言下端)之間的距離可變之方式,控制利用基座昇降機構268之基座217的昇降動作。
利用共振線圈212之電漿產生的情況,如圖2所示般,在接近共振線圈212的部分產生密度高的電漿(環狀的電漿)(參照圖中的灰色部分)。又,一旦共振線圈212的下端(亦即電漿產生空間201a的下端)與位於其下方的基座217上的晶圓200之間的距離接近,則持有高的能量的電漿會處理晶圓200。相反的,若共振線圈212的下端與基座217上的晶圓200之間的距離遠,則電漿會失活,比較低能量的電漿會處理晶圓200。電漿處理工程(S140)的基座217的昇降控制是利用如此的性質者。
(凸狀的處理分佈的情況) 在此,例如圖6所示般,想像針對成為處理對象的晶圓200接收的處理分佈資訊為凸狀的處理分佈(參照圖中的分佈A)的資訊的情況。該情況,首先,將凸狀的處理分佈的梯度(亦即內周側與外周側的差的比例)與預定臨界值作比較。預定臨界值是設為預先被記錄於記憶裝置221c的表221g者。
被記錄於表221g的預定臨界值是例如亦可被設定為特定的數值者,或亦可被設定為相當於某數值範圍者。 並且,在此是舉設定一個的臨界值作為預定臨界值,處理分佈資訊判斷部221f判斷與該臨界值的大小關係的情況為例,但並非被限定於此。亦即,在表221g是亦可設定有複數的臨界值作為預定臨界值。在設定有複數的臨界值時,例如,以晶圓200能夠按照各個的臨界值來位於複數的不同的高度(距離)之方式,控制利用基座昇降機構268之基座217的昇降動作的情形可實現。
與預定臨界值的比較的結果,若處理分佈的梯度與預定臨界值吻合,則以共振線圈212的下端與基座217上的晶圓200之間會成為預先被設定的預定距離之方式,控制利用基座昇降機構268之基座217的昇降動作。 又,若處理分佈的梯度比預定臨界值更大,則以使晶圓200與共振線圈212的下端之間比預定距離更接近的方式,控制利用基座昇降機構268之基座217的昇降動作。藉此,特別是對於晶圓200的外周側,高能量的電漿會進行處理,梯度被更正的傾向會變比較強。 又,若處理分佈的梯度比預定臨界值更小,則以使晶圓200與共振線圈212的下端之間比預定距離更遠離的方式,控制利用基座昇降機構268之基座217的昇降動作。藉此,電漿會失活而形成比較低能量的電漿處理晶圓200的情形,因此梯度被更正的傾向變比較弱。
亦即,當處理分佈資訊為凸狀的處理分佈的資訊時,原則上一面將晶圓200與共振線圈212的下端之間調合成預定距離,一面對其處理分佈依據梯度來使晶圓200接近共振線圈212的下端,或使晶圓200遠離共振線圈212的下端。藉由如此控制晶圓200與共振線圈212的下端之間的距離,即使在成為處理對象的晶圓200產生凸狀的處理分佈,也可以其處理分佈會被均一化修正的方式,進行對於該晶圓200的電漿處理。
(凹狀的處理分佈的情況) 針對成為處理對象的晶圓200接收的處理分佈資訊,是除了上述的凸狀的處理分佈的情況以外,凹狀的處理分佈(參照圖6中的分佈B)的情況也可取得。亦即,未必一定要搬入凸狀的處理分佈的晶圓200。
於是,例如圖6所示般,思考針對成為處理對象的晶圓200接收的處理分佈資訊為凹狀的處理分佈(參照圖中的分佈B)的資訊的情況。若處理分佈資訊為凸狀的處理分佈,則如上述般,原則上將晶圓200與共振線圈212的下端之間設為預定距離。相對於此,當處理分佈資訊為凹狀的處理分佈時,將晶圓200與共振線圈212的下端之間的距離設定成比凸狀的處理分佈時的預定距離更長的距離,以能夠成為該設定的距離之方式,控制利用基座昇降機構268之基座217的昇降動作。
在如此處理分佈資訊為凹狀的處理分佈的情況,相較於凸狀的處理分佈的情況,使晶圓200遠離共振線圈212。因此,若晶圓200與共振線圈212的距離近,則該共振線圈212之環狀電漿的影響變強,但藉由使晶圓200遠離該環狀電漿,可減低環狀電漿的影響,且可支配擴散的影響。
因此,藉由控制晶圓200與共振線圈212的下端之間的距離,即使在成為處理對象的晶圓200產生凹狀的處理分佈,也可以其處理分佈會被均一化修正的方式,進行對於該晶圓200的電漿處理。
(電漿處理中的可變控制) 基座217的昇降控制是亦可不問處理分佈資訊為凸狀的處理分佈或凹狀的處理分佈,在對於晶圓200的電漿處理的過程進行。亦即,共振線圈212在電漿產生空間201a產生電漿的期間中,亦可使晶圓200與共振線圈212的下端之間的距離可變。
具體而言,例如,想像處理分佈資訊為凸狀的處理分佈,其梯度比預定臨界值更大的情況。該情況,電漿處理的開始起初是如上述般,使晶圓200接近共振線圈212的下端。而且,亦可在之後的電漿處理的過程,一旦僅被估計梯度變小的處理時間經過,則進行使晶圓200遠離共振線圈212的下端之控制。
若如此在電漿處理的過程進行基座217的昇降控制,則可按照電漿處理的進行狀況來使晶圓200與共振線圈212的下端之間的距離可變。因此,可實現能對應於廣泛的製程的控制處理,在更加適當且迅速地進行晶圓200的處理分佈的修正上非常良好。
(電漿的安定化) 另外,為了使藉由晶圓200與共振線圈212的下端之間的距離可變而取得的作用效果成為確實者,需要在電漿產生空間201a產生安定的電漿。
因此,在本實施形態中,以共振線圈212會沿著基座217的移動方向(亦即處理室201的上下方向)延伸的方式捲繞而配置。而且,共振線圈212的電性長度(亦即線圈長)會與從高頻電源273供給的高頻電力的波長相同,或成為高頻電力的一波長的整數倍。
藉此,在電漿產生空間201a中,可使產生的電漿安定,可以一定的速率且均一地處理被保持於基座217的晶圓200。若能如此產生安定的電漿,則可使藉由晶圓200與共振線圈212的下端之間的距離可變而取得的作用效果成為確實者,其結果,在謀求利用電漿來進行的基板處理的適當化上非常理想。
(4)本實施形態的效果 若根據本實施形態,則取得以下所示的一個或複數的效果。
(a)在本實施形態中,電漿處理工程(S140)時,按照處理分佈資訊,以使晶圓200與共振線圈212的端部之間的距離可變的方式,控制利用基座昇降機構268之基座217的昇降動作。因此,可按照成為處理對象的晶圓200的處理分佈的狀態(例如晶圓面上的膜分佈的狀態),例如以其處理分佈會被均一化修正的方式,進行對於該晶圓200的電漿處理。亦即,有關利用在電漿產生空間201a產生的電漿來進行的基板處理,可按照晶圓200的處理分佈的狀態來謀求其基板處理的適當化。藉此,可排除招致半導體裝置製造的良品率降低的主要因素之一。
(b)在本實施形態中,例如,處理分佈資訊為凸狀的處理分佈時,若處理分佈的梯度比預定臨界值更大,則使晶圓200與共振線圈212的端部之間比預定距離更接近,若處理分佈的梯度比預定臨界值更小,則使晶圓200與共振線圈212的端部之間比預定距離更遠離。因此,即使在成為處理對象的晶圓200產生凸狀的處理分佈,也可以其處理分佈的梯度會被更正而均一化修正的方式,進行對於該晶圓200的電漿處理。
(c)在本實施形態中,例如,若處理分佈資訊為凸狀的處理分佈,則將晶圓200與共振線圈212的端部之間設定成預定距離,若處理分佈資訊為凹狀的處理分佈,則將晶圓200與共振線圈212的端部之間設定成比預定距離更長的距離。因此,即使在成為處理對象的晶圓200產生凹狀的處理分佈,也可以其處理分佈會被均一化修正的方式,進行對於該晶圓200的電漿處理。
(d)在本實施形態中,例如,於共振線圈212在電漿產生空間201a產生電漿的期間中,以使晶圓200與共振線圈212的端部之間的距離可變的方式,控制利用基座昇降機構268之基座217的昇降動作。因此,可按照電漿處理的進行狀況,使晶圓200與共振線圈212的端部之間的距離可變。藉此,可實現對應於廣泛的製程的控制處理,因此在更加適當且迅速地進行晶圓200的處理分佈的修正上非常理想。
(f)在本實施形態中,是以共振線圈212會沿著基座217的移動方向延伸的方式捲繞而配置,共振線圈212的線圈長成為與高頻電力的波長相同,或高頻電力的一波長的整數倍。因此,可使在電漿產生空間201a產生的電漿安定,可以一定的速率且均一地處理晶圓200。 若能如此產生安定的電漿,則可使藉由晶圓200與共振線圈212的端部之間的距離可變而取得的作用效果成為確實者,其結果,在謀求利用電漿來進行的基板處理的適當化上非常理想。
<其他的實施形態> 以上具體地說明本案的一實施形態,但本案是不被限定於上述的實施形態,可在不脫離其要旨的範圍實施各種變更。
在上述的實施形態中,說明有關利用電漿來對於基板表面進行氧化處理或氮化處理的例子,但不限於該等的處理,可適用於利用電漿來對於基板實施處理的所有的技術。例如,可適用於利用電漿來進行的在基板表面形成預定的膜的成膜處置、對於被形成的膜的改質處理或摻雜處理、氧化膜的還原處理、對於該膜的蝕刻處理、抗蝕劑的灰化處理等。
並且,在上述的實施形態中,顯示在一個的處理室處理一片的基板的裝置構成,但不限於此,亦可為將複數片的基板排列於水平方向或垂直方向的裝置。而且,有關處理室內的基板的移動方向也是不限於上下方向(昇降方向),亦可為左右方向(水平方向)。
又,例如,在上述的實施形態中,說明有關半導體裝置的製造工程,但本案是半導體裝置的製造工程以外也可適用。例如,有液晶裝置的製造工程、太陽電池的製造工程、發光裝置的製造工程、玻璃基板的處理工程、陶瓷基板的處理工程、導電性基板的處理工程等的基板處理。
<本案的較佳形態> 以下,附記有關本案的較佳形態。
[附記1] 若根據本案的一形態,則提供一種基板處理裝置,其係具有: 處理室,其係具有電漿產生空間與處理空間; 線圈電極,其係被配置於前述電漿產生空間的周圍; 基板載置部,其係載置被處理於前述處理空間的基板; 移動機構部,其係使前述基板載置部移動於前述處理室內;及 控制部,其係以能夠按照有關前述基板的處理分佈資訊來使前述基板與前述線圈電極的端部之間的距離可變的方式控制前述移動機構部。
[附記2] 理想是提供如附記1記載的基板處理裝置,其中,前述控制部,係於前述處理分佈資訊為凸狀的處理分佈的情況,若前述處理分佈的梯度比預定臨界值更大,則使前述基板與前述線圈電極的端部之間比預定距離更接近,若前述處理分佈的梯度比前述預定臨界值更小,則使前述基板與前述線圈電極的端部之間比預定距離更遠離。
[附記3] 理想是提供如附記1或2記載的基板處理裝置,其中,前述控制部,係若前述處理分佈資訊為凸狀的處理分佈,則將前述基板與前述線圈電極的端部之間的距離設定成預定距離,若前述處理分佈資訊為凹狀的處理分佈,則將前述基板與前述線圈電極的端部之間的距離設定成比前述預定距離更長的距離。
[附記4] 理想是提供如附記1~3的任一形態記載的基板處理裝置,其中,前述控制部控制前述移動機構部,於前述線圈電極在前述電漿產生空間產生電漿的期間中使前述距離可變。
[附記5] 理想是提供如附記1~4的任一形態記載的基板處理裝置,其中,前述線圈電極,係以沿著前述基板載置部的移動方向延伸的方式捲繞而配置。
[附記6] 若根據本案的其他的一形態,則提供一種半導體裝置的製造方法,其特徵係具有: 在可移動於處理室內的基板載置部載置基板的工程; 一面藉由線圈電極在前述處理室內形成電漿產生空間,一面在前述處理室內的處理空間處理前述基板的工程;及 以能夠按照有關前述基板的處理分佈資訊來使前述基板與前述線圈電極的端部之間的距離可變的方式使前述基板載置部移動的工程。
[附記7] 若根據本案的其他的一形態,則提供一種程式,係藉由電腦來使下列程序實行於基板處理裝置, 在可移動於處理室內的基板載置部載置基板的程序; 一面藉由線圈電極在前述處理室內形成電漿產生空間,一面在前述處理室內的處理空間處理前述基板的程序;及 以能夠按照有關前述基板的處理分佈資訊來使前述基板與前述線圈電極的端部之間的距離可變的方式使前述基板載置部移動的程序。
[附記8] 若根據本案的其他的一形態,則提供一種記錄媒體,係記錄有藉由電腦來使下列程序實行於基板處理裝置的程式, 在可移動於處理室內的基板載置部載置基板的程序; 一面藉由線圈電極在前述處理室內形成電漿產生空間,一面在前述處理室內的處理空間處理前述基板的程序;及 以能夠按照有關前述基板的處理分佈資訊來使前述基板與前述線圈電極的端部之間的距離可變的方式使前述基板載置部移動的程序。
100:基板處理裝置 200:晶圓(基板) 201:處理室 201a:電漿產生空間 201b:基板處理空間(處理空間) 212:線圈電極(線圈、共振線圈) 217:基座(基板載置部) 221:控制器(控制部) 263:收訊部 268:基座昇降機構(移動機構部)
[圖1]是一實施形態的基板處理裝置的概略構成圖。 [圖2]是說明一實施形態的基板處理裝置的電漿產生原理的說明圖。 [圖3]是表示一實施形態的基板處理裝置的控制器的構成例的方塊圖。 [圖4]是一實施形態的基板處理工程的程序的概要的流程圖。 [圖5]是表示在一實施形態的基板處理工程所處理之形成有溝(trench)的基板的例子的說明圖。 [圖6]是表示在一實施形態的基板處理工程成為處理對象的基板表面的面內偏差的例子的說明圖。
100:基板處理裝置
200:晶圓(基板)
201:處理室
201a:電漿產生空間
201b:基板處理空間(處理空間)
202:處理爐
203:處理容器
210:上側容器
211:下側容器
212:線圈電極(線圈、共振線圈)
213,215:可動插座
214:另一方的固定接地
217:基座(基板載置部)
217a:貫通孔
217b:加熱器
217c:阻抗調整電極
221:控制器(控制部)
223:遮蔽板
231:氣體排氣管
232a:含氧氣體供給管
232b:含氫氣體供給管
232c:惰性氣體供給管
233:蓋狀的蓋體
234:氣體導入口
235:氣體排氣口
236:氣體供給頭
237:緩衝室
238:開口
239:氣體吹出口
240:遮蔽板
242:APC閥
243a,243b:閥
244:閘閥
245:搬入出口
246:真空泵
248:底板
250a:O2氣體供給源
250b:H2氣體供給源
250c:Ar氣體供給源
252a,252b,252c:MFC
253a,253b,253c:閥
266:晶圓頂起銷
268:基座昇降機構(移動機構部)
269:軸
272:RF感測器
273:高頻電源
274:匹配器
275:阻抗可變機構
276:加熱器電力調整機構

Claims (18)

  1. 一種基板處理裝置,其特徵係具有:處理室,其係具有電漿產生空間與處理空間;線圈電極,其係被配置於前述電漿產生空間的周圍;基板載置部,其係載置被處理於前述處理空間的基板;移動機構部,其係使前述基板載置部移動於前述處理室內;及控制部,其係以能夠按照有關前述基板的處理分佈資訊來使前述基板與前述線圈電極的端部之間的距離可變的方式控制前述移動機構部,共振線圈的電性長度與供給的高頻電力的波長相同。
  2. 如請求項1之基板處理裝置,其中,前述控制部,係於前述處理分佈資訊為凸狀的處理分佈的情況,若前述處理分佈的梯度比預定臨界值更大,則使前述基板與前述線圈電極的端部之間比預定距離更接近,若前述處理分佈的梯度比前述預定臨界值更小,則使前述基板與前述線圈電極的端部之間比預定距離更遠離。
  3. 如請求項2之基板處理裝置,其中,前述控制部,係若前述處理分佈資訊為凸狀的處理分佈,則將前述基板與前述線圈電極的端部之間的距離設定成預定距離,若前述處理分佈資訊為凹狀的處理分佈,則將前述基板與前述線圈電極的端部之間的距離設定成比前述預定距離更長的距離。
  4. 如請求項3之基板處理裝置,其中,前述控制部控制前述移動機構部,於前述線圈電極在前述電漿產生空間產生電漿的期間中使前述距離可變。
  5. 如請求項4之基板處理裝置,其中,前述線圈電極,係以沿著前述基板載置部的移動方向延伸的方式捲繞而配置。
  6. 如請求項3之基板處理裝置,其中,前述線圈電極,係以沿著前述基板載置部的移動方向延伸的方式捲繞而配置。
  7. 如請求項2之基板處理裝置,其中,前述控制部控制前述移動機構部,於前述線圈電極在前述電漿產生空間產生電漿的期間中使前述距離可變。
  8. 如請求項7之基板處理裝置,其中,前述線圈電極,係以沿著前述基板載置部的移動方向延伸的方式捲繞而配置。
  9. 如請求項2之基板處理裝置,其中,前述線圈電極,係以沿著前述基板載置部的移動方向延伸的方式捲繞而配置。
  10. 如請求項1之基板處理裝置,其中,前述控制部,係若前述處理分佈資訊為凸狀的處理分佈,則將前述基板與前述線圈電極的端部之間的距離設定成預定距離,若前述處理分佈資訊為凹狀的處理分佈,則將前述基板與前述線圈電極的端部之間的距離設定成比前述預定距離更長的距離。
  11. 如請求項10之基板處理裝置,其中,前述控制部控制前述移動機構部,於前述線圈電極在前述電漿產生空間產生電漿的期間中使前述距離可變。
  12. 如請求項11之基板處理裝置,其中,前述線圈電極,係以沿著前述基板載置部的移動方向延伸的方式捲繞而配置。
  13. 如請求項10之基板處理裝置,其中,前述線圈電極,係以沿著前述基板載置部的移動方向延伸的方式捲繞而配置。
  14. 如請求項1之基板處理裝置,其中,前述控制部控制前述移動機構部,於前述線圈電極在前述電漿產生空間產生電漿的期間中使前述距離可變。
  15. 如請求項14之基板處理裝置,其中,前述線圈電極,係以沿著前述基板載置部的移動方向延伸的方式捲繞而配置。
  16. 如請求項1之基板處理裝置,其中,前述線圈電極,係以沿著前述基板載置部的移動方向延伸的方式捲繞而配置。
  17. 一種半導體裝置的製造方法,其特徵係具有:在可移動於處理室內的基板載置部載置基板的工程;一面藉由線圈電極在前述處理室內形成電漿產生空間,一面在前述處理室內的處理空間處理前述基板的工程;及 以能夠按照有關前述基板的處理分佈資訊來使前述基板與前述線圈電極的端部之間的距離可變的方式使前述基板載置部移動的工程,共振線圈的電性長度與供給的高頻電力的波長相同。
  18. 一種程式,係藉由電腦來使下列程序實行於基板處理裝置,在可移動於處理室內的基板載置部載置基板的程序;一面藉由線圈電極在前述處理室內形成電漿產生空間,一面在前述處理室內的處理空間處理前述基板的程序;及以能夠按照有關前述基板的處理分佈資訊來使前述基板與前述線圈電極的端部之間的距離可變的方式使前述基板載置部移動的程序,共振線圈的電性長度與供給的高頻電力的波長相同。
TW109111458A 2019-07-30 2020-04-06 基板處理裝置,半導體裝置的製造方法及程式 TWI749506B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2019-139907 2019-07-30
JP2019139907A JP6883620B2 (ja) 2019-07-30 2019-07-30 基板処理装置、半導体装置の製造方法およびプログラム

Publications (2)

Publication Number Publication Date
TW202105519A TW202105519A (zh) 2021-02-01
TWI749506B true TWI749506B (zh) 2021-12-11

Family

ID=74258676

Family Applications (1)

Application Number Title Priority Date Filing Date
TW109111458A TWI749506B (zh) 2019-07-30 2020-04-06 基板處理裝置,半導體裝置的製造方法及程式

Country Status (5)

Country Link
US (1) US11264217B2 (zh)
JP (1) JP6883620B2 (zh)
KR (1) KR102465993B1 (zh)
CN (1) CN112309820A (zh)
TW (1) TWI749506B (zh)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN117558609B (zh) * 2024-01-09 2024-04-19 深圳市奥普斯等离子体科技有限公司 等离子处理装置温度控制方法及系统

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW200730814A (en) * 2005-08-26 2007-08-16 Semiconductor Energy Lab Co Ltd Particle detection sensor, method for manufacturing particle detection sensor, and method for detecting particle using particle detection sensor
TW201913808A (zh) * 2017-09-12 2019-04-01 日商國際電氣股份有限公司 半導體裝置的製造方法、基板處理裝置及記錄媒體

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH08107101A (ja) * 1994-10-03 1996-04-23 Fujitsu Ltd プラズマ処理装置及びプラズマ処理方法
TW507256B (en) * 2000-03-13 2002-10-21 Mitsubishi Heavy Ind Ltd Discharge plasma generating method, discharge plasma generating apparatus, semiconductor device fabrication method, and semiconductor device fabrication apparatus
JP2002316892A (ja) * 2001-04-12 2002-10-31 Matsushita Electric Ind Co Ltd 気相成長装置
JP2007149923A (ja) * 2005-11-28 2007-06-14 Speedfam Co Ltd 半導体ウェーハの平坦化加工方法
JP5097074B2 (ja) * 2008-09-30 2012-12-12 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
US20120186746A1 (en) * 2009-09-29 2012-07-26 Ulvac, Inc. Plasma etching apparatus
US20140000810A1 (en) * 2011-12-29 2014-01-02 Mark A. Franklin Plasma Activation System
JP5878382B2 (ja) * 2012-01-24 2016-03-08 株式会社アルバック シリコンエッチング方法
JP6257071B2 (ja) 2012-09-12 2018-01-10 株式会社日立国際電気 基板処理装置及び半導体装置の製造方法
JP5840268B1 (ja) 2014-08-25 2016-01-06 株式会社日立国際電気 基板処理装置、半導体装置の製造方法および記録媒体
US10049862B2 (en) * 2015-04-17 2018-08-14 Lam Research Corporation Chamber with vertical support stem for symmetric conductance and RF delivery
US9859088B2 (en) * 2015-04-30 2018-01-02 Lam Research Corporation Inter-electrode gap variation methods for compensating deposition non-uniformity
US9754769B2 (en) * 2015-09-15 2017-09-05 Lam Research Corporation Metrology methods to detect plasma in wafer cavity and use of the metrology for station-to-station and tool-to-tool matching
CN109075071B (zh) * 2016-04-20 2023-11-07 株式会社国际电气 衬底处理装置、半导体器件的制造方法及记录介质
JP6549074B2 (ja) * 2016-09-28 2019-07-24 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
JP6564802B2 (ja) * 2017-03-22 2019-08-21 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法およびプログラム
TWI820667B (zh) * 2018-06-19 2023-11-01 美商應用材料股份有限公司 間隙填充物沉積方法及類金剛石之碳的間隙填充物材料
US11339475B2 (en) * 2018-11-30 2022-05-24 Applied Materials, Inc. Film stack overlay improvement

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW200730814A (en) * 2005-08-26 2007-08-16 Semiconductor Energy Lab Co Ltd Particle detection sensor, method for manufacturing particle detection sensor, and method for detecting particle using particle detection sensor
TW201913808A (zh) * 2017-09-12 2019-04-01 日商國際電氣股份有限公司 半導體裝置的製造方法、基板處理裝置及記錄媒體

Also Published As

Publication number Publication date
JP2021022700A (ja) 2021-02-18
US11264217B2 (en) 2022-03-01
CN112309820A (zh) 2021-02-02
JP6883620B2 (ja) 2021-06-09
KR102465993B1 (ko) 2022-11-10
US20210035784A1 (en) 2021-02-04
KR20210014592A (ko) 2021-02-09
TW202105519A (zh) 2021-02-01

Similar Documents

Publication Publication Date Title
CN109075071B (zh) 衬底处理装置、半导体器件的制造方法及记录介质
TWI785317B (zh) 基板處理裝置、半導體裝置的製造方法及程式
US20240105423A1 (en) Substrate processing apparatus, method of manufacturing semiconductor device, and recording medium
CN110431653B (zh) 半导体装置的制造方法、记录介质以及基板处理装置
JPWO2019180889A1 (ja) 基板処理装置、半導体装置の製造方法、及び静電シールド
TWI749506B (zh) 基板處理裝置,半導體裝置的製造方法及程式
JP6281964B2 (ja) 半導体装置の製造方法、プログラム及び基板処理装置
TWI785297B (zh) 基板處理裝置、半導體裝置的製造方法及程式
KR102452913B1 (ko) 반도체 장치의 제조 방법, 기판 처리 장치 및 기록 매체
TW202114498A (zh) 基板處理裝置、基板承載盤及半導體裝置之製造方法
TWI717156B (zh) 半導體裝置之製造方法、基板處理裝置及記錄媒體
KR20220104648A (ko) 기판 처리 장치, 반도체 장치의 제조 방법, 기판 처리 방법 및 기록 매체