TWI732925B - Electronic power devices integrated with an engineered substrate - Google Patents

Electronic power devices integrated with an engineered substrate Download PDF

Info

Publication number
TWI732925B
TWI732925B TW106128550A TW106128550A TWI732925B TW I732925 B TWI732925 B TW I732925B TW 106128550 A TW106128550 A TW 106128550A TW 106128550 A TW106128550 A TW 106128550A TW I732925 B TWI732925 B TW I732925B
Authority
TW
Taiwan
Prior art keywords
layer
epitaxial
gallium nitride
type gallium
coupled
Prior art date
Application number
TW106128550A
Other languages
Chinese (zh)
Other versions
TW201816849A (en
Inventor
弗拉基米爾 歐迪諾布魯朵夫
狄利普 瑞斯貝德
奧格爾 阿卡塔茲
山姆 巴斯賽利
Original Assignee
美商克若密斯股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商克若密斯股份有限公司 filed Critical 美商克若密斯股份有限公司
Publication of TW201816849A publication Critical patent/TW201816849A/en
Application granted granted Critical
Publication of TWI732925B publication Critical patent/TWI732925B/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6835Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/10Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including a plurality of individual components in a repetitive configuration
    • H01L27/105Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including a plurality of individual components in a repetitive configuration including field-effect components
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02367Substrates
    • H01L21/0237Materials
    • H01L21/0242Crystalline insulating materials
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • C30B25/18Epitaxial-layer growth characterised by the substrate
    • C30B25/183Epitaxial-layer growth characterised by the substrate being provided with a buffer layer, e.g. a lattice matching layer
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B29/00Single crystals or homogeneous polycrystalline material with defined structure characterised by the material or by their shape
    • C30B29/02Elements
    • C30B29/06Silicon
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B29/00Single crystals or homogeneous polycrystalline material with defined structure characterised by the material or by their shape
    • C30B29/10Inorganic compounds or compositions
    • C30B29/40AIIIBV compounds wherein A is B, Al, Ga, In or Tl and B is N, P, As, Sb or Bi
    • C30B29/403AIII-nitrides
    • C30B29/406Gallium nitride
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02367Substrates
    • H01L21/02428Structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02436Intermediate layers between substrates and deposited layers
    • H01L21/02439Materials
    • H01L21/02455Group 13/15 materials
    • H01L21/02458Nitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02436Intermediate layers between substrates and deposited layers
    • H01L21/02494Structure
    • H01L21/02496Layer structure
    • H01L21/02505Layer structure consisting of more than two layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02538Group 13/15 materials
    • H01L21/0254Nitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/0257Doping during depositing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28264Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being a III-V compound
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28575Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising AIIIBV compounds
    • H01L21/28587Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising AIIIBV compounds characterised by the sectional shape, e.g. T, inverted T
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/48Manufacture or treatment of parts, e.g. containers, prior to assembly of the devices, using processes not provided for in a single one of the subgroups H01L21/06 - H01L21/326
    • H01L21/4803Insulating or insulated parts, e.g. mountings, containers, diamond heatsinks
    • H01L21/4807Ceramic parts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/06Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration
    • H01L27/0605Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration integrated circuits made of compound material, e.g. AIIIBV
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/1025Channel region of field-effect devices
    • H01L29/1029Channel region of field-effect devices of field-effect transistors
    • H01L29/1033Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/20Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only AIIIBV compounds
    • H01L29/2003Nitride compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/20Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only AIIIBV compounds
    • H01L29/201Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only AIIIBV compounds including two or more compounds, e.g. alloys
    • H01L29/205Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only AIIIBV compounds including two or more compounds, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/4175Source or drain electrodes for field effect devices for lateral devices where the connection to the source or drain region is done through at least one part of the semiconductor substrate thickness, e.g. with connecting sink or with via-hole
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42356Disposition, e.g. buried gate electrode
    • H01L29/4236Disposition, e.g. buried gate electrode within a trench, e.g. trench gate electrode, groove gate electrode
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42372Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the conducting layer, e.g. the length, the sectional shape or the lay-out
    • H01L29/42376Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the conducting layer, e.g. the length, the sectional shape or the lay-out characterised by the length or the sectional shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66083Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by variation of the electric current supplied or the electric potential applied, to one or more of the electrodes carrying the current to be rectified, amplified, oscillated or switched, e.g. two-terminal devices
    • H01L29/6609Diodes
    • H01L29/66143Schottky diodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66083Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by variation of the electric current supplied or the electric potential applied, to one or more of the electrodes carrying the current to be rectified, amplified, oscillated or switched, e.g. two-terminal devices
    • H01L29/66196Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by variation of the electric current supplied or the electric potential applied, to one or more of the electrodes carrying the current to be rectified, amplified, oscillated or switched, e.g. two-terminal devices with an active layer made of a group 13/15 material
    • H01L29/66204Diodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66446Unipolar field-effect transistors with an active layer made of a group 13/15 material, e.g. group 13/15 velocity modulation transistor [VMT], group 13/15 negative resistance FET [NERFET]
    • H01L29/66462Unipolar field-effect transistors with an active layer made of a group 13/15 material, e.g. group 13/15 velocity modulation transistor [VMT], group 13/15 negative resistance FET [NERFET] with a heterojunction interface channel or gate, e.g. HFET, HIGFET, SISFET, HJFET, HEMT
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/778Field effect transistors with two-dimensional charge carrier gas channel, e.g. HEMT ; with two-dimensional charge-carrier layer formed at a heterojunction interface
    • H01L29/7786Field effect transistors with two-dimensional charge carrier gas channel, e.g. HEMT ; with two-dimensional charge-carrier layer formed at a heterojunction interface with direct single heterostructure, i.e. with wide bandgap layer formed on top of active layer, e.g. direct single heterostructure MIS-like HEMT
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/778Field effect transistors with two-dimensional charge carrier gas channel, e.g. HEMT ; with two-dimensional charge-carrier layer formed at a heterojunction interface
    • H01L29/7786Field effect transistors with two-dimensional charge carrier gas channel, e.g. HEMT ; with two-dimensional charge-carrier layer formed at a heterojunction interface with direct single heterostructure, i.e. with wide bandgap layer formed on top of active layer, e.g. direct single heterostructure MIS-like HEMT
    • H01L29/7787Field effect transistors with two-dimensional charge carrier gas channel, e.g. HEMT ; with two-dimensional charge-carrier layer formed at a heterojunction interface with direct single heterostructure, i.e. with wide bandgap layer formed on top of active layer, e.g. direct single heterostructure MIS-like HEMT with wide bandgap charge-carrier supplying layer, e.g. direct single heterostructure MODFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/86Types of semiconductor device ; Multistep manufacturing processes therefor controllable only by variation of the electric current supplied, or only the electric potential applied, to one or more of the electrodes carrying the current to be rectified, amplified, oscillated or switched
    • H01L29/861Diodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/86Types of semiconductor device ; Multistep manufacturing processes therefor controllable only by variation of the electric current supplied, or only the electric potential applied, to one or more of the electrodes carrying the current to be rectified, amplified, oscillated or switched
    • H01L29/861Diodes
    • H01L29/8613Mesa PN junction diodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/86Types of semiconductor device ; Multistep manufacturing processes therefor controllable only by variation of the electric current supplied, or only the electric potential applied, to one or more of the electrodes carrying the current to be rectified, amplified, oscillated or switched
    • H01L29/861Diodes
    • H01L29/872Schottky diodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere
    • H01L2221/683Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L2221/68304Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L2221/68345Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support used as a support during the manufacture of self supporting substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere
    • H01L2221/683Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L2221/68304Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L2221/6835Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support used as a support during build up manufacturing of active devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/1066Gate region of field-effect devices with PN junction gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/402Field plates

Abstract

A power device includes a substrate comprising a polycrystalline ceramic core, a first adhesion layer coupled to the polycrystalline ceramic core, a barrier layer coupled to the first adhesion layer, a bonding layer coupled to the barrier layer, and a substantially single crystal layer coupled to the bonding layer. The power device also includes a buffer layer coupled to the substantially single crystal layer and a channel region coupled to the buffer layer. The channel region comprises a first end, a second end, and a central portion disposed between the first end and the second end. The channel region also includes a channel region barrier layer coupled to the buffer layer. The power device further includes a source contact disposed at the first end of the channel region, a drain contact disposed at the second end of the channel region, and a gate contact coupled to the channel region.

Description

與工程基板整合之電力元件Power components integrated with engineering substrates

本發明大致上關於形成在工程基板結構上的電力元件。更具體地,本發明關於適於使用磊晶生長製程來製造電力元件的方法及系統。如本文中所述,本發明的一些實施例已應用於藉由磊晶生長來製造基板結構上之電力元件及半導體二極體的方法以及系統,其中基板結構的特徵在於熱膨脹係數(CTE)與形成電力元件之磊晶層實質上匹配。方法及技術可應用至多樣的半導體處理操作。The present invention generally relates to power components formed on an engineered substrate structure. More specifically, the present invention relates to a method and system suitable for manufacturing power devices using an epitaxial growth process. As described herein, some embodiments of the present invention have been applied to methods and systems for manufacturing power components and semiconductor diodes on a substrate structure by epitaxial growth, wherein the substrate structure is characterized by the coefficient of thermal expansion (CTE) and The epitaxial layer forming the power element is substantially matched. The methods and techniques can be applied to a variety of semiconductor processing operations.

基於氮化鎵的電力元件通常磊晶生長在藍寶石基板上。在藍寶石基板上之基於氮化鎵的電力元件的生長為異質磊晶生長製程,因為基板和磊晶層由不同材料組成。肇因於異質磊晶生長製程,磊晶生長的材料可能展現各樣不利效果,包括降低的均勻性以及與磊晶層之電子/光學性質相關之測度減少。因此,技術領域中有相關於磊晶生長製程及基板結構之改良的方法及系統之需求。GaN-based power components are usually epitaxially grown on sapphire substrates. The growth of gallium nitride-based power components on a sapphire substrate is a heterogeneous epitaxial growth process, because the substrate and the epitaxial layer are composed of different materials. Due to the heteroepitaxial growth process, the epitaxially grown material may exhibit various adverse effects, including reduced uniformity and reduced measurements related to the electronic/optical properties of the epitaxial layer. Therefore, there is a need for improved methods and systems related to the epitaxial growth process and substrate structure in the technical field.

根據本發明的一實施例,提供一種電力元件。電力元件包括:包含多晶陶瓷芯的基板、耦合至多晶陶瓷芯的第一黏著層、耦合至第一黏著層的阻障層、耦合至阻障層的接合層,以及耦合至接合層的實質上單晶層。電力元件還包括:耦合至實質上單晶層的緩衝層以及耦合至緩衝層的通道區域。通道區域包括:第一端、第二端,以及設置在第一端和第二端之間的中央部分。通道區域還包括:耦合至緩衝層的通道區域阻障層。電力元件進一步包括:設置於通道區域的第一端的源極接點、設置於通道區域的第二端的汲極接點,以及耦合至通道區域的閘極接點。According to an embodiment of the present invention, a power element is provided. The power element includes: a substrate including a polycrystalline ceramic core, a first adhesive layer coupled to the polycrystalline ceramic core, a barrier layer coupled to the first adhesive layer, a bonding layer coupled to the barrier layer, and a substance coupled to the bonding layer上单晶层。 On the single crystal layer. The power element further includes: a buffer layer coupled to the substantially single crystal layer and a channel region coupled to the buffer layer. The channel area includes: a first end, a second end, and a central part disposed between the first end and the second end. The channel region also includes a channel region barrier layer coupled to the buffer layer. The power element further includes: a source contact provided at the first end of the channel region, a drain contact provided at the second end of the channel region, and a gate contact coupled to the channel region.

根據本發明的另一實施例,提供一種形成電力元件的方法。方法包括以下步驟:藉由提供多晶陶瓷芯來形成基板;以第一黏著殼包封多晶陶瓷芯;以阻障層包封第一黏著殼;在阻障層上形成接合層;以及將實質上單晶層連結至接合層。方法還包括以下步驟:在實質上單晶層形成緩衝層以及藉由在緩衝層上形成磊晶通道區域阻障層來在緩衝層上形成通道區域。通道區域具有第一端及第二端,以及在第一端和第二端之間的中央部分。方法還包括以下步驟:在通道區域的第一端形成源極接點;在通道區域的第二端形成汲極接點;以及在通道區域上形成閘極接點。According to another embodiment of the present invention, a method of forming a power element is provided. The method includes the following steps: forming a substrate by providing a polycrystalline ceramic core; encapsulating the polycrystalline ceramic core with a first adhesive shell; encapsulating the first adhesive shell with a barrier layer; forming a bonding layer on the barrier layer; and The single crystal layer is substantially connected to the bonding layer. The method further includes the steps of forming a buffer layer on the substantially single crystal layer and forming a channel region on the buffer layer by forming an epitaxial channel region barrier layer on the buffer layer. The channel area has a first end and a second end, and a central portion between the first end and the second end. The method further includes the steps of: forming a source contact on the first end of the channel region; forming a drain contact on the second end of the channel region; and forming a gate contact on the channel region.

根據本發明的一特別實施例,提供一種半導體二極體。半導體二極體包括:包括多晶陶瓷芯的基板、耦合至多晶陶瓷芯的第一黏著層、耦合至第一黏著層的阻障層、耦合至阻障層的接合層,以及耦合至接合層的實質上單晶層。半導體二極體還包括:耦合至實質上單晶層的緩衝層、耦合至緩衝層的半絕緣層,以及耦合至半絕緣層的第一N型氮化鎵層。第一N型氮化鎵層具有第一摻雜濃度。半導體二極體進一步包括:耦合至第一N型氮化鎵層的第二N型氮化鎵層。第二N型氮化鎵層具有小於第一摻雜濃度的第二摻雜濃度。另外,半導體二極體包括:耦合至第二N型氮化鎵層的P型氮化鎵層、耦合至P型氮化鎵層的陽極接點(anode contact),以及耦合第一N型氮化鎵層之部分的陰極接點(cathode contact)。According to a particular embodiment of the present invention, a semiconductor diode is provided. The semiconductor diode includes a substrate including a polycrystalline ceramic core, a first adhesive layer coupled to the polycrystalline ceramic core, a barrier layer coupled to the first adhesive layer, a bonding layer coupled to the barrier layer, and a bonding layer coupled to the bonding layer The essentially single crystal layer. The semiconductor diode further includes: a buffer layer coupled to the substantially single crystal layer, a semi-insulating layer coupled to the buffer layer, and a first N-type gallium nitride layer coupled to the semi-insulating layer. The first N-type gallium nitride layer has a first doping concentration. The semiconductor diode further includes: a second N-type gallium nitride layer coupled to the first N-type gallium nitride layer. The second N-type gallium nitride layer has a second doping concentration less than the first doping concentration. In addition, the semiconductor diode includes: a P-type gallium nitride layer coupled to the second N-type gallium nitride layer, an anode contact coupled to the P-type gallium nitride layer, and a first N-type gallium nitride layer coupled to it. Cathode contact of part of the gallium sulfide layer.

根據本發明的另一特別實施例,提供一種形成半導體二極體的方法。方法包括以下步驟:藉由提供多晶陶瓷芯來形成基板;以第一黏著殼包封多晶陶瓷芯;以阻障層包封第一黏著殼;在阻障層上形成接合層;以及將實質上單晶層結合至接合層。方法還包括以下步驟:在實質上單晶層上形成緩衝層;在緩衝層上形成半絕緣層;以及在半絕緣層形成第一磊晶N型氮化鎵層。第一磊晶N型氮化鎵層具有第一摻雜濃度。方法進一步包括以下步驟:在第一磊晶N型氮化鎵層上形成第二磊晶N型氮化鎵層。第二磊晶N型氮化鎵層具有小於第一摻雜濃度的第二摻雜濃度。此外,方法包括以下步驟:在第二磊晶N型氮化鎵層上形成磊晶P型氮化鎵層;移除第二磊晶N型氮化鎵層的部分和磊晶P型氮化鎵層的部分以暴露第一磊晶N型氮化鎵層的部分;在磊晶P型氮化鎵層的剩餘部分上形成陽極接點;以及在第一磊晶N型氮化鎵層之暴露的部分上形成陰極接點。According to another particular embodiment of the present invention, a method of forming a semiconductor diode is provided. The method includes the following steps: forming a substrate by providing a polycrystalline ceramic core; encapsulating the polycrystalline ceramic core with a first adhesive shell; encapsulating the first adhesive shell with a barrier layer; forming a bonding layer on the barrier layer; and The single crystal layer is substantially bonded to the bonding layer. The method further includes the following steps: forming a buffer layer on the substantially single crystal layer; forming a semi-insulating layer on the buffer layer; and forming a first epitaxial N-type gallium nitride layer on the semi-insulating layer. The first epitaxial N-type gallium nitride layer has a first doping concentration. The method further includes the following steps: forming a second epitaxial N-type gallium nitride layer on the first epitaxial N-type gallium nitride layer. The second epitaxial N-type gallium nitride layer has a second doping concentration less than the first doping concentration. In addition, the method includes the following steps: forming an epitaxial P-type gallium nitride layer on the second epitaxial N-type gallium nitride layer; removing part of the second epitaxial N-type gallium nitride layer and the epitaxial P-type gallium nitride layer Part of the gallium layer to expose the part of the first epitaxial N-type gallium nitride layer; forming an anode contact on the remaining part of the epitaxial P-type gallium nitride layer; and on the first epitaxial N-type gallium nitride layer A cathode contact is formed on the exposed part.

根據本發明的一特定實施例,提供一種形成半導體二極體的方法。方法包括以下步驟:藉由提供多晶陶瓷芯來形成基板;以第一黏著殼包封多晶陶瓷芯;以阻障層包封第一黏著殼;在阻障層上形成接合層;以及將實質上單晶層結合至接合層。方法還包括以下步驟:在實質上單晶層上形成第一磊晶N型氮化鎵層以及在第一磊晶N型氮化鎵層上形成第二磊晶N型氮化鎵層。第一磊晶N型氮化鎵層具有第一摻雜濃度以及第二磊晶N型氮化鎵層具有小於第一摻雜濃度的第二摻雜濃度。方法進一步包括以下步驟:在第二磊晶N型氮化鎵層上形成磊晶P型氮化鎵層;移除基板的部份以暴露第一磊晶N型氮化鎵層的表面;在磊晶P型氮化鎵層上形成陽極接點;以及在第一磊晶N型氮化鎵層之暴露的表面上形成陰極接點。According to a specific embodiment of the present invention, a method of forming a semiconductor diode is provided. The method includes the following steps: forming a substrate by providing a polycrystalline ceramic core; encapsulating the polycrystalline ceramic core with a first adhesive shell; encapsulating the first adhesive shell with a barrier layer; forming a bonding layer on the barrier layer; and The single crystal layer is substantially bonded to the bonding layer. The method further includes the following steps: forming a first epitaxial N-type gallium nitride layer on the substantially single crystal layer and forming a second epitaxial N-type gallium nitride layer on the first epitaxial N-type gallium nitride layer. The first epitaxial N-type gallium nitride layer has a first doping concentration and the second epitaxial N-type gallium nitride layer has a second doping concentration less than the first doping concentration. The method further includes the following steps: forming an epitaxial P-type gallium nitride layer on the second epitaxial N-type gallium nitride layer; removing part of the substrate to expose the surface of the first epitaxial N-type gallium nitride layer; An anode contact is formed on the epitaxial P-type gallium nitride layer; and a cathode contact is formed on the exposed surface of the first epitaxial N-type gallium nitride layer.

根據本發明的另一特定實施例,提供一種電力元件。電力元件包括:包含多晶陶瓷芯的基板、耦合至多晶陶瓷芯的第一黏著層、耦合至第一黏著層的阻障層、耦合至阻障層的接合層,以及耦合至接合層的實質上單晶層。電力元件還包括:耦合至實質上單晶層的緩衝層以及耦合至緩衝層的通道區域。通道區域包含:第一端、第二端,以及設置在第一端和第二端之間的中央部分。通道區域包括:耦合至緩衝層的通道區域阻障層以及設置在通道區域之第一端的源極接點。元件進一步包括:設置在通道區域之第二端的汲極接點以及耦合至通道區域的閘極接點。作為實例,緩衝層可包括III-V族半導體材料、矽鍺、氮化鋁鎵、氮化銦鎵或氮化銦鋁鎵的至少一者。According to another specific embodiment of the present invention, a power element is provided. The power element includes: a substrate including a polycrystalline ceramic core, a first adhesive layer coupled to the polycrystalline ceramic core, a barrier layer coupled to the first adhesive layer, a bonding layer coupled to the barrier layer, and a substance coupled to the bonding layer上单晶层。 On the single crystal layer. The power element further includes: a buffer layer coupled to the substantially single crystal layer and a channel region coupled to the buffer layer. The channel area includes: a first end, a second end, and a central part arranged between the first end and the second end. The channel region includes: a channel region barrier layer coupled to the buffer layer and a source contact disposed at the first end of the channel region. The element further includes: a drain contact provided at the second end of the channel region and a gate contact coupled to the channel region. As an example, the buffer layer may include at least one of III-V semiconductor materials, silicon germanium, aluminum gallium nitride, indium gallium nitride, or indium aluminum gallium nitride.

相較於習知技術,本發明能達成無數益處。舉例而言,本發明實施例提供形成在工程基板上的電力元件以及半導體二極體,工程基板具有與元件之磊晶層的熱膨脹係數(CTE)實質上匹配的CTE。使生長基板的熱膨脹性質與磊晶層相匹配,降低磊晶層和/或工程基板中的應力(stress)。應力造成數種類型的缺陷。舉例而言,應力可能增加磊晶層中的錯位密度,其損害磊晶層的電和光學性質。應力亦可能導致磊晶層或基板中的殘餘應變(residual strain),其可能導致後續步驟中的額外處理考量,諸如應力破裂、錯位滑動、滑移、彎曲及翹曲。熱膨脹引發之基板的彎曲及翹曲可能使得自動化設備中之材料處理變得困難,並且限制執行用於元件製造、基板破裂及材料潛移之必要額外光刻步驟的能力。此外,受應力材料中的元件效能壽命縮短。由熱失配引起的應力鬆弛和應力誘發之裂痕擴散、錯位滑動及其他晶格移動可能導致一模式範圍中,從降低的元件效能至元件和元件層的破裂或剝落,的早期失效。Compared with the conventional technology, the present invention can achieve numerous benefits. For example, an embodiment of the present invention provides a power device and a semiconductor diode formed on an engineering substrate, and the engineering substrate has a CTE that substantially matches the coefficient of thermal expansion (CTE) of the epitaxial layer of the device. The thermal expansion properties of the growth substrate are matched with the epitaxial layer, and the stress in the epitaxial layer and/or the engineering substrate is reduced. Stress causes several types of defects. For example, stress may increase the dislocation density in the epitaxial layer, which damages the electrical and optical properties of the epitaxial layer. The stress may also cause residual strain in the epitaxial layer or the substrate, which may cause additional processing considerations in subsequent steps, such as stress cracking, misalignment sliding, slippage, bending, and warping. The bending and warping of the substrate caused by thermal expansion may make material handling in automated equipment difficult and limit the ability to perform the necessary additional photolithography steps for component manufacturing, substrate cracking, and material migration. In addition, the performance life of the components in the stressed material is shortened. Stress relaxation caused by thermal mismatch and stress-induced crack propagation, dislocation sliding, and other lattice movement may cause early failure in a range of modes, from reduced device performance to cracking or peeling of the device and device layer.

經由以下的實施方式和隨附圖式,詳細說明本發明的該等和其他實施例以及本發明的許多優點和特徵。These and other embodiments of the present invention and many advantages and features of the present invention are described in detail through the following embodiments and accompanying drawings.

本發明大致上關於形成在工程基板結構上的電力元件。更具體地,本發明關於適於使用磊晶生長製程來製造電力元件的方法以及系統。僅作為例示,發明已應用於藉由磊晶生長來製造基板結構上之電力元件的方法以及系統,其中基板結構的特徵在於熱膨脹係數(CTE)與形成電力元件之磊晶層實質上匹配。方法及技術可應用至多樣的半導體處理操作。The present invention generally relates to power components formed on an engineered substrate structure. More specifically, the present invention relates to a method and system suitable for manufacturing power devices using an epitaxial growth process. For example only, the invention has been applied to a method and system for manufacturing power devices on a substrate structure by epitaxial growth, wherein the substrate structure is characterized in that the coefficient of thermal expansion (CTE) substantially matches the epitaxial layer forming the power device. The methods and techniques can be applied to a variety of semiconductor processing operations.

第1圖為一簡化概要截面圖,說明根據本發明一實施例之工程基板結構。第1圖中說明的工程基板100適於各種電子及光學應用。工程基板100包括芯110,芯110可具有與將在工程基板100上生長之磊晶材料的熱膨脹係數(CTE)實質上匹配的熱膨脹係數。說明的磊晶材料130作為可選的,因為不需要其作為工程基板100的要件,但是其通常將生長於工程基板100上。Figure 1 is a simplified schematic cross-sectional view illustrating an engineering substrate structure according to an embodiment of the present invention. The engineering substrate 100 illustrated in Figure 1 is suitable for various electronic and optical applications. The engineering substrate 100 includes a core 110, and the core 110 may have a coefficient of thermal expansion (CTE) substantially matching the coefficient of thermal expansion (CTE) of the epitaxial material to be grown on the engineering substrate 100. The illustrated epitaxial material 130 is optional because it is not required as a requirement of the engineering substrate 100, but it will usually be grown on the engineering substrate 100.

針對包括基於氮化鎵(GaN)的材料(包括基於GaN層的磊晶層)之生長的應用,芯110可為多晶陶瓷材料,例如多晶氮化鋁(AlN),其可包括黏合材料,諸如氧化釔。可用於芯110中的其他材料,包括多晶氮化鎵(GaN)、多晶氮化鋁鎵(AlGaN)、多晶碳化矽(SiC)、多晶氧化鋅(ZnO)、多晶三氧化二鎵(Ga2 O3 )等等。For applications including the growth of gallium nitride (GaN)-based materials (including GaN-based epitaxial layers), the core 110 may be a polycrystalline ceramic material, such as polycrystalline aluminum nitride (AlN), which may include a bonding material , Such as yttrium oxide. Other materials that can be used in the core 110 include polycrystalline gallium nitride (GaN), polycrystalline aluminum gallium nitride (AlGaN), polycrystalline silicon carbide (SiC), polycrystalline zinc oxide (ZnO), and polycrystalline gallium trioxide (Ga 2 O 3 ) and so on.

芯的厚度可為100至1500 µm等級,例如725 µm。芯110包封於黏著層112中,黏著層可稱為殼或包封殼。於一實施例中,黏著層112包含厚度為1000 Å等級的正矽酸乙酯(TEOS)氧化物層。在其他實施例中,黏著層的厚度不同,例如100 Å至2000 Å。儘管在一些實施例中使用TEOS氧化物於黏著層,根據本發明一實施例,可使用在後續沉積的層與下方層或材料(如,陶瓷,特別是多晶陶瓷)之間提供黏著的其他材料。舉例而言,SiO2 或其他氧化矽(Six Oy )良好地黏著至陶瓷材料並且提供合適的表面給後續之,例如導電材料的沉積。於一些實施例中,黏著層112完全圍繞芯110以形成徹底包封的芯。可使用LPCVD製程形成黏著層112。黏著層提供表面,在表面上黏著有後續的層以形成工程基板100結構的要件。The thickness of the core can be on the order of 100 to 1500 µm, for example 725 µm. The core 110 is encapsulated in an adhesive layer 112, and the adhesive layer may be called a shell or an encapsulating shell. In one embodiment, the adhesion layer 112 includes an ethylene orthosilicate (TEOS) oxide layer with a thickness of 1000 Å. In other embodiments, the thickness of the adhesive layer is different, such as 100 Å to 2000 Å. Although TEOS oxide is used in the adhesion layer in some embodiments, according to an embodiment of the present invention, other materials that provide adhesion between the subsequently deposited layer and the underlying layer or material (such as ceramics, especially polycrystalline ceramics) can be used. Material. For example, SiO 2 or other silicon oxide (Si x O y ) adheres well to the ceramic material and provides a suitable surface for subsequent, such as the deposition of conductive materials. In some embodiments, the adhesive layer 112 completely surrounds the core 110 to form a completely encapsulated core. The adhesion layer 112 can be formed using an LPCVD process. The adhesive layer provides a surface, and a subsequent layer is adhered on the surface to form an element of the engineering substrate 100 structure.

除了使用LPCVD製程、基於爐的製程等等來形成包封的第一黏著層外,根據本發明實施例可使用其他半導體製程,包括CVD製程或類似的沉積製程。作為一實例,可使用塗佈芯之部分的沉積製程、可將芯翻轉,以及可重複沉積製程以塗佈芯的另外部分。因此,儘管在一些實施例中使用LPCVD技術以提供徹底包封的結構,取決於特別的應用可使用其他膜形成技術。In addition to using an LPCVD process, a furnace-based process, etc., to form the encapsulated first adhesive layer, other semiconductor processes may be used according to embodiments of the present invention, including CVD processes or similar deposition processes. As an example, a deposition process that coats part of the core can be used, the core can be turned over, and the deposition process can be repeated to coat another part of the core. Therefore, although LPCVD technology is used in some embodiments to provide a completely encapsulated structure, other film formation techniques may be used depending on the particular application.

導電層114係圍繞黏著層112而形成。於一實施例中,導電層114為圍繞第一黏著層112形成的多晶矽(polysilicon)(即,多晶矽(polycrystalline silicon))殼,因為多晶矽對陶瓷材料可展現不佳的黏著。於導電層114為多晶矽的實施例中,多晶矽層的厚度可為500-5000 Å等級,例如2500 Å。於一些實施例中,可形成多晶矽層作為殼以完全圍繞第一黏著層112 (如,TEOS氧化物層),因而形成徹底包封的第一黏著層,並且可使用LPCVD製程來形成。於其他如下論述的實施例中,導電材料可形成在黏著層的部分上,例如基板結構的下半部。於一些實施例中,導電材料可形成作為徹底包封層並且隨後在基板結構的一側被移除。The conductive layer 114 is formed around the adhesive layer 112. In one embodiment, the conductive layer 114 is a polysilicon (ie, polycrystalline silicon) shell formed around the first adhesive layer 112 because polysilicon may exhibit poor adhesion to ceramic materials. In the embodiment where the conductive layer 114 is polysilicon, the thickness of the polysilicon layer may be 500-5000 Å, for example, 2500 Å. In some embodiments, a polysilicon layer may be formed as a shell to completely surround the first adhesive layer 112 (eg, TEOS oxide layer), thereby forming a completely encapsulated first adhesive layer, and may be formed using an LPCVD process. In other embodiments discussed below, the conductive material may be formed on a portion of the adhesive layer, such as the lower half of the substrate structure. In some embodiments, the conductive material may be formed as a thorough encapsulation layer and then removed on one side of the substrate structure.

於一實施例中,導電層114可為摻雜的多晶矽層以提供高度導電材料,例如摻雜硼以提供P型多晶矽層。於一些實施例中,以硼摻雜的程度為1 x 1019 cm-3 至1 x 1020 cm-3 以提供高導電性。可使用不同摻質濃度的其他摻質(如,摻質濃度為1 x 1016 cm-3 至5 x 1018 cm-3 範圍的磷、砷、铋等等)以提供適用於導電層114中的N型或P型半導體材料。所屬技術領域中具有通常知識者將理解許多變化、修飾及選擇。In one embodiment, the conductive layer 114 may be a doped polysilicon layer to provide a highly conductive material, such as boron doped to provide a P-type polysilicon layer. In some embodiments, the degree of doping with boron is 1 x 10 19 cm -3 to 1 x 10 20 cm -3 to provide high conductivity. Other dopants with different dopant concentrations (for example, phosphorus, arsenic, bismuth, etc., with a dopant concentration ranging from 1 x 10 16 cm -3 to 5 x 10 18 cm -3 ) can be used to provide the conductive layer 114 N-type or P-type semiconductor materials. Those with ordinary knowledge in the technical field will understand many changes, modifications and choices.

在工程基板100靜電夾取至半導體處理工具,例如具有靜電放電(ESD)夾具的工具,的期間,導電層114的存在是有用的。導電層114致能半導體處理工具中之處理過後的快速釋放(dechucking)。因此,本發明的實施例提供可以與習知矽晶圓一起使用的方式進行處理的基板結構。所屬技術領域中具有通常知識者將理解許多變化、修飾及選擇。During the electrostatic clamping of the engineering substrate 100 to a semiconductor processing tool, such as a tool with an electrostatic discharge (ESD) fixture, the presence of the conductive layer 114 is useful. The conductive layer 114 enables rapid dechucking after processing in a semiconductor processing tool. Therefore, the embodiments of the present invention provide a substrate structure that can be processed in a manner that can be used with conventional silicon wafers. Those with ordinary knowledge in the technical field will understand many changes, modifications and choices.

第二黏著層116 (如,厚度在1000 Å等級的TEOS氧化物層)係圍繞導電層114而形成。於一些實施例中,第二黏著層116完全地圍繞導電層114以形成徹底包封的結構。可使用LPCVD製程、CVD製程,或任何其他合適的沉積製程,包括旋塗介電質的沉積,來形成第二黏著層116。The second adhesive layer 116 (eg, a TEOS oxide layer with a thickness of 1000 Å) is formed around the conductive layer 114. In some embodiments, the second adhesive layer 116 completely surrounds the conductive layer 114 to form a completely encapsulated structure. An LPCVD process, a CVD process, or any other suitable deposition process including spin-on dielectric deposition can be used to form the second adhesion layer 116.

阻障層118,例如氮化矽層,係圍繞第二黏著層116而形成。於一實施例中,阻障層為厚度在4000 Å 至5000 Å等級的氮化矽層118。在一些實施例中,阻障層118完全地圍繞第二黏著層116以形成徹底包封的結構,並且可使用LPCVD製程而形成。除了氮化矽層外,可使用包括SiCN、SiON、AlN、SiC等等的非晶材料作為阻障層。於一些實作中,阻障層由數個子層組成,數個子層組合(built up)組成以形成阻障層。因此,術語「阻障層」並非意指單一層或單一材料,而是包含以複合方式層疊的一或多種材料。所屬技術領域中具有通常知識者將理解許多變化、修飾及選擇。The barrier layer 118, such as a silicon nitride layer, is formed around the second adhesion layer 116. In one embodiment, the barrier layer is a silicon nitride layer 118 with a thickness of 4000 Å to 5000 Å. In some embodiments, the barrier layer 118 completely surrounds the second adhesive layer 116 to form a completely encapsulated structure, and can be formed using an LPCVD process. In addition to the silicon nitride layer, amorphous materials including SiCN, SiON, AlN, SiC, etc. can be used as the barrier layer. In some implementations, the barrier layer is composed of several sub-layers, which are built up to form the barrier layer. Therefore, the term "barrier layer" does not mean a single layer or a single material, but includes one or more materials laminated in a composite manner. Those with ordinary knowledge in the technical field will understand many changes, modifications and choices.

於一些實施例中,阻障層,如氮化矽層,防止芯110中存在的組分之擴散和/或除氣至工程基板100可能存在的半導體處理腔室的環境中,例如在高溫(如,1000℃)磊晶生長製程期間。存在於芯110中的組分可包括,例如氧化釔(yttrium oxide)(即,yttria)、氧、金屬雜質、其他痕量組分等等。自芯110擴散的組分可能造成工程層120/122中之非故意的摻雜。自芯110除氣的組分可能行進穿過腔室並吸附於晶圓他處上而造成工程層120/122及磊晶材料130中的雜質。使用本文所述的包封層,包括設計用於非無塵室環境的多晶AlN之陶瓷材料可被用於半導體製程流程及無塵室環境中。In some embodiments, a barrier layer, such as a silicon nitride layer, prevents the diffusion and/or outgassing of components present in the core 110 into the environment of the semiconductor processing chamber where the engineered substrate 100 may exist, such as at high temperature ( For example, 1000°C) during the epitaxial growth process. The components present in the core 110 may include, for example, yttrium oxide (ie, yttria), oxygen, metal impurities, other trace components, and the like. The components diffused from the core 110 may cause unintentional doping in the engineered layer 120/122. The components outgassed from the core 110 may travel through the chamber and be adsorbed on other parts of the wafer to cause impurities in the engineering layer 120/122 and the epitaxial material 130. Using the encapsulation layer described herein, ceramic materials including polycrystalline AlN designed for use in non-clean room environments can be used in semiconductor manufacturing processes and clean room environments.

第2A圖為二次離子質譜儀(SIMS)數據圖,說明根據本發明一實施例之用於工程結構之作為深度函數的物種濃度。X軸表示自工程層120/122的表面至芯110的深度202。線208表示工程層120/122與芯110之間的界面。第一y軸表示每立方公分原子的物種濃度204。第二y軸表示每秒計數之離子的信號強度206。第2A圖中的工程結構沒有包括阻障層118。參考第2A圖,數種陶瓷芯110中存在的物種(如,釔、鈣及鋁)在工程層120/122中降至可忽略的濃度。鈣210、釔220及鋁230的濃度分別下降三、四及六個數量級。Figure 2A is a secondary ion mass spectrometer (SIMS) data diagram illustrating the species concentration as a function of depth for engineering structures according to an embodiment of the present invention. The X axis represents the depth 202 from the surface of the engineered layer 120/122 to the core 110. Line 208 represents the interface between the engineering layer 120/122 and the core 110. The first y-axis represents the species concentration 204 of atoms per cubic centimeter. The second y-axis represents the signal intensity 206 of the ions counted per second. The engineering structure in Figure 2A does not include the barrier layer 118. Referring to Figure 2A, several species (eg, yttrium, calcium, and aluminum) present in the ceramic core 110 are reduced to negligible concentrations in the engineered layer 120/122. The concentration of calcium 210, yttrium 220, and aluminum 230 decreased by three, four, and six orders of magnitude, respectively.

第2B圖為一SIMS數據圖,說明根據本發明一實施例之用於退火後之不具阻障層的工程結構之作為深度函數的物種濃度。如上論述,在半導體處理操作期間,例如在基於GaN的層之磊晶生長期間,本發明實施例提供的工程基板結構可被暴露至高溫(~1100℃)持續數個小時。針對第2B圖說明的數據,工程基板結構在1100℃退火持續四小時的時間。如第2B圖所示,在工程層120/122中原始以低濃度存在的鈣210、釔220及鋁230,已擴散進入工程層120/122中,達到類似於其他組分的濃度。Figure 2B is a SIMS data diagram illustrating the species concentration as a function of depth for an engineered structure without a barrier layer after annealing according to an embodiment of the present invention. As discussed above, during semiconductor processing operations, such as during the epitaxial growth of a GaN-based layer, the engineered substrate structure provided by the embodiments of the present invention can be exposed to high temperature (~1100° C.) for several hours. For the data illustrated in Figure 2B, the engineered substrate structure was annealed at 1100°C for four hours. As shown in Figure 2B, calcium 210, yttrium 220, and aluminum 230 originally present in low concentrations in the engineering layer 120/122 have diffused into the engineering layer 120/122 to reach a concentration similar to other components.

因此,本發明的實施例整合阻障層(如,氮化矽層)以防止背景組分自多晶陶瓷材料(如,AlN)向外擴散至工程層120/122及磊晶材料130中,諸如選擇性的GaN層。包封下方層和材料的氮化矽層提供了所欲的阻障層118功能性。Therefore, the embodiment of the present invention integrates a barrier layer (e.g., silicon nitride layer) to prevent the background component from diffusing out of the polycrystalline ceramic material (e.g., AlN) into the engineering layer 120/122 and the epitaxial material 130, Such as selective GaN layer. The silicon nitride layer encapsulating the underlying layer and material provides the desired barrier layer 118 functionality.

第2C圖為一SIMS數據圖,說明根據本發明一實施例之用於退火後之具有阻障層118(以虛線240表示)的工程結構之作為深度函數的物種濃度。將擴散阻障層118(如,氮化矽層)整合至工程基板結構中防止鈣、釔及鋁在退火製程期間擴散進入工程層中,而擴散發生於擴散阻障層不存在時。如第2C圖中所說明,於退火後,存在於陶瓷芯中的鈣210、釔220及鋁230於工程層中維持低濃度。因此,阻障層118 (如,氮化矽層)的使用防止該等組分經由擴散阻障而擴散並因而防止該等組分釋放至圍繞工程基板的環境中。類似地,塊體陶瓷材料中含有的任何其他雜質將受到阻障層侷限。Figure 2C is a SIMS data diagram illustrating the species concentration as a function of depth for an engineered structure with a barrier layer 118 (represented by a dashed line 240) after annealing according to an embodiment of the present invention. Integrating the diffusion barrier layer 118 (eg, silicon nitride layer) into the engineering substrate structure prevents calcium, yttrium, and aluminum from diffusing into the engineering layer during the annealing process, and diffusion occurs when the diffusion barrier layer is not present. As illustrated in Figure 2C, after annealing, the calcium 210, yttrium 220, and aluminum 230 present in the ceramic core maintain a low concentration in the engineered layer. Therefore, the use of the barrier layer 118 (eg, a silicon nitride layer) prevents the components from diffusing through the diffusion barrier and thus prevents the components from being released into the environment surrounding the engineering substrate. Similarly, any other impurities contained in the bulk ceramic material will be limited by the barrier layer.

典型地,用來形成芯110的陶瓷材料在1800℃範圍的溫度經燒結。預期此製程將使驅除大量存在陶瓷材料中的雜質。該等雜質可包括使用氧化釔作為燒結劑而得到的釔、鈣及其他組分和化合物。接下來,在800℃至1100℃範圍之低很多的溫度下執行的磊晶生長製程期間,期待該等雜質的後續擴散將很微小。然而,與習知期待相反,本案發明人確定即使是在溫度遠低於陶瓷材料燒結溫度的磊晶生長製程期間,還是存在通過工程基板之層的大量組分擴散。因此,本發明的實施例將阻障層118整合至工程基板100中以防止此種非所欲的擴散。Typically, the ceramic material used to form the core 110 is sintered at a temperature in the range of 1800°C. It is expected that this process will drive out a large amount of impurities in the ceramic material. The impurities may include yttrium, calcium and other components and compounds obtained by using yttrium oxide as a sintering agent. Next, during the epitaxial growth process performed at a temperature much lower in the range of 800° C. to 1100° C., it is expected that subsequent diffusion of these impurities will be very small. However, contrary to conventional expectations, the inventor of the present case determined that even during the epitaxial growth process at a temperature much lower than the sintering temperature of the ceramic material, there is still a large amount of component diffusion through the layers of the engineered substrate. Therefore, the embodiment of the present invention integrates the barrier layer 118 into the engineering substrate 100 to prevent such undesired diffusion.

再次參考第1圖,接合層120 (如,氧化矽層)係沉積在阻障層118的部分上,例如阻障層的頂表面,並且隨後用於單晶層122的接合期間。在一些實施例中,接合層120的厚度可為大約1.5 µm。單晶層122可包括,例如Si、SiC、藍寶石、GaN、AlN、SiGe、Ge、金剛石、Ga2 O3 、AlGaN、InGaN、InN和/或ZnO。於一些實施例中,單晶層的厚度可為0-0.5 µm。單晶層122適於在用於形成磊晶材料130的磊晶生長製程期間用作生長層。磊晶材料130的結晶層為與單晶層122相關之下方半導體晶格的延伸。工程基板100獨特的CTE匹配性質能夠較現有技術生長更厚的磊晶材料130。於一些實施例中,磊晶材料130包括厚度為2 µm至10 µm的氮化鎵層,其可用作用於光電元件、電力元件等等中之複數個層之一。於一實施例中,接合層120包括使用層轉移製程而附接至氧化矽阻障層118的單晶矽層。Referring again to FIG. 1, the bonding layer 120 (eg, a silicon oxide layer) is deposited on a portion of the barrier layer 118, such as the top surface of the barrier layer, and is subsequently used during bonding of the single crystal layer 122. In some embodiments, the thickness of the bonding layer 120 may be about 1.5 µm. The single crystal layer 122 may include, for example, Si, SiC, sapphire, GaN, AlN, SiGe, Ge, diamond, Ga 2 O 3 , AlGaN, InGaN, InN, and/or ZnO. In some embodiments, the thickness of the single crystal layer may be 0-0.5 µm. The single crystal layer 122 is suitable for use as a growth layer during the epitaxial growth process for forming the epitaxial material 130. The crystalline layer of the epitaxial material 130 is an extension of the underlying semiconductor lattice related to the single crystalline layer 122. The unique CTE matching property of the engineering substrate 100 can grow thicker epitaxial material 130 than in the prior art. In some embodiments, the epitaxial material 130 includes a gallium nitride layer with a thickness of 2 µm to 10 µm, which can be used as one of a plurality of layers used in optoelectronic devices, power devices, and the like. In one embodiment, the bonding layer 120 includes a single crystal silicon layer attached to the silicon oxide barrier layer 118 using a layer transfer process.

第3圖為一簡化概要截面圖,說明根據本發明一實施例之工程基板結構。第3圖中說明的工程基板300適於多樣的電子及光學應用。工程基板300包括芯110,其可具有與將生長在工程基板300上的磊晶材料之熱膨脹係數(CTE)實質上匹配的CTE。說明之磊晶材料130為選擇性的,因為不需要其作為工程基板結構的要件,但是其將通常地生長於工程基板結構上。Figure 3 is a simplified schematic cross-sectional view illustrating an engineering substrate structure according to an embodiment of the present invention. The engineering substrate 300 illustrated in FIG. 3 is suitable for various electronic and optical applications. The engineering substrate 300 includes a core 110, which may have a CTE that substantially matches the coefficient of thermal expansion (CTE) of the epitaxial material to be grown on the engineering substrate 300. The illustrated epitaxial material 130 is optional, because it is not required as an essential part of the engineering substrate structure, but it will usually grow on the engineering substrate structure.

針對包括基於氮化鎵(GaN)材料(包含基於GaN層的磊晶層)之生長的應用,芯110可為多晶陶瓷材料,例如多晶氮化鋁(AlN)。芯的厚度可為100 µm至1500 µm的等級,例如725 µm。芯110包封於黏著層112中,黏著層可被稱為殼或包封殼。於此實作中,黏著層112完全地包封芯,但此並非本發明所必須,如有關第4圖的額外細節中所論述。For applications including the growth of gallium nitride (GaN)-based materials (including GaN-based epitaxial layers), the core 110 may be a polycrystalline ceramic material, such as polycrystalline aluminum nitride (AlN). The thickness of the core can be in the order of 100 µm to 1500 µm, for example 725 µm. The core 110 is encapsulated in an adhesive layer 112, which may be referred to as a shell or an encapsulating shell. In this implementation, the adhesive layer 112 completely encapsulates the core, but this is not necessary for the present invention, as discussed in the additional details related to FIG. 4.

於一實施例中,黏著層112包含厚度為1000 Å等級的正矽酸乙酯(TEOS)氧化物層。於其他實施例中,黏著層的厚度不同,例如自100 Å至2000 Å。儘管於一些實施例中使用TEOS氧化物於黏著層,根據本發明一實施例,可使用在後續沉積的層與下方層或材料之間提供黏著的其他材料。舉例而言,SiO2 、SiON等等良好黏著至陶瓷材料並且提供用於後續,例如導電材料,沉積的適合表面。在一些實施例中,黏著層112完全地圍繞芯110以形成徹底包封的芯並且可使用LPCVD製程而形成。黏著層112提供一表面,後續層黏著至該表面上以形成工程基板結構的要件。In one embodiment, the adhesion layer 112 includes an ethylene orthosilicate (TEOS) oxide layer with a thickness of 1000 Å. In other embodiments, the thickness of the adhesive layer is different, for example, from 100 Å to 2000 Å. Although TEOS oxide is used in the adhesion layer in some embodiments, according to one embodiment of the present invention, other materials that provide adhesion between the subsequently deposited layer and the underlying layer or material may be used. For example, SiO 2 , SiON, etc. adhere well to ceramic materials and provide suitable surfaces for subsequent deposition, such as conductive materials. In some embodiments, the adhesive layer 112 completely surrounds the core 110 to form a completely encapsulated core and can be formed using an LPCVD process. The adhesive layer 112 provides a surface, and subsequent layers are adhered to the surface to form an essential part of the engineered substrate structure.

除了使用LPCVD製程、基於爐的製程等等來形成包封黏著層112以外,根據本發明實施例,可使用其他半導體製程。作為一個實例,可使用塗佈芯110的部分之例如CVD、PECVD等等的沉積製程,芯110可被翻轉,以及可重複沉積製程以塗佈芯110之另外的部分。In addition to using an LPCVD process, a furnace-based process, etc., to form the encapsulation adhesive layer 112, other semiconductor processes can be used according to embodiments of the present invention. As an example, a deposition process such as CVD, PECVD, etc. may be used to coat a part of the core 110, the core 110 may be turned over, and the deposition process may be repeated to coat another part of the core 110.

導電層314係形成於黏著層112的至少部分上。於一實施例中,導電層314包括多晶矽(polysilicon) (即,多晶矽(polycrystalline silicon)),其經由沉積製程而形成在由芯110和黏著層112所形成之結構的下部(如,下半部或背側)上。於導電層314為多晶矽的實施例中,多晶矽層的厚度可為數千埃等級,例如3000 Å。於一些實施例中,可使用LPCVD製程來形成多晶矽層。The conductive layer 314 is formed on at least part of the adhesive layer 112. In one embodiment, the conductive layer 314 includes polysilicon (ie, polycrystalline silicon), which is formed by a deposition process on the lower part (ie, lower half of the structure formed by the core 110 and the adhesion layer 112). Or dorsal) on. In the embodiment where the conductive layer 314 is polysilicon, the thickness of the polysilicon layer may be in the order of thousands of angstroms, such as 3000 angstroms. In some embodiments, an LPCVD process can be used to form the polysilicon layer.

於一實施例中,導電層314可為摻雜的多晶矽層以提供高度導電材料,例如導電層314可摻雜有硼以提供P型多晶矽層。於一些實施例中,以硼摻雜的程度為自約1 x 1019 cm-3 至1 x 1020 cm-3 的範圍以提供高導電性。導電層314的存在有用於工程基板靜電夾持至半導體處理工具期間,半導體處理工具例如為具有靜電釋放(ESD)夾具的工具。導電層314致能處理後的快速釋放。因此,本發明實施例提供基板結構,其能以連同使用習知矽晶圓的方式進行處理。所屬技術領域中具有通常知識者將理解許多變化、修飾及選擇。In one embodiment, the conductive layer 314 may be a doped polysilicon layer to provide a highly conductive material. For example, the conductive layer 314 may be doped with boron to provide a P-type polysilicon layer. In some embodiments, the degree of boron doping ranges from about 1 x 10 19 cm -3 to 1 x 10 20 cm -3 to provide high conductivity. The presence of the conductive layer 314 is used for electrostatic clamping of the engineering substrate to a semiconductor processing tool, such as a tool with an electrostatic discharge (ESD) fixture. The conductive layer 314 enables rapid release after processing. Therefore, embodiments of the present invention provide substrate structures that can be processed in conjunction with the use of conventional silicon wafers. Those with ordinary knowledge in the technical field will understand many changes, modifications and choices.

第二黏著層316 (如,第二TEOS氧化物層)係圍繞導電層314 (如,多晶矽層)而形成。第二黏著層316的厚度為1000 Å等級。在一些實施例中,第二黏著層316完全地圍繞導電層114以形成徹底包封的結構並且可使用LPCVD製程來形成。The second adhesive layer 316 (eg, the second TEOS oxide layer) is formed around the conductive layer 314 (eg, a polysilicon layer). The thickness of the second adhesive layer 316 is on the order of 1000 Å. In some embodiments, the second adhesive layer 316 completely surrounds the conductive layer 114 to form a completely encapsulated structure and can be formed using an LPCVD process.

阻障層118 (如,氮化矽層)係圍繞第二黏著層316而形成。在一些實施例中,阻障層118的厚度為4000 Å至5000 Å等級。在一些實施例中,阻障層118完全地圍繞第二黏著層112以形成徹底包封的結構並且可使用LPCVD製程來形成。The barrier layer 118 (eg, a silicon nitride layer) is formed around the second adhesion layer 316. In some embodiments, the thickness of the barrier layer 118 is on the order of 4000 Å to 5000 Å. In some embodiments, the barrier layer 118 completely surrounds the second adhesive layer 112 to form a completely encapsulated structure and can be formed using an LPCVD process.

於一些實施例中,使用包括氮化矽的阻障層118防止芯110中存在的組分擴散和/或除氣至工程基板可能存在的半導體處理腔室的環境中,例如在高溫(如,1000 ℃)磊晶生長製程期間。芯中存在的組分包括,例如氧化釔(yttrium oxide)(即,氧化釔(yttria))、氧、金屬雜質、其他痕量組分等等。使用如本文所述的包封層,陶瓷材料,包括設計用於非無塵室環境的多晶AlN,可被用於半導體製程流程及無塵室環境中。In some embodiments, the barrier layer 118 including silicon nitride is used to prevent the components present in the core 110 from diffusing and/or outgassing into the environment of the semiconductor processing chamber where the engineered substrate may exist, such as at high temperatures (e.g., 1000 ℃) during the epitaxial growth process. The components present in the core include, for example, yttrium oxide (ie, yttria), oxygen, metal impurities, other trace components, and the like. Using the encapsulation layer as described herein, ceramic materials, including polycrystalline AlN designed for use in non-clean room environments, can be used in semiconductor manufacturing processes and clean room environments.

於一些實施例中,工程基板100可順應國際半導體設備與材料(SEMI)標準規範。因為工程基板100可順應SEMI規範,工程基板100可與現有半導體製造工具一起使用。舉例而言,工程基板的晶圓直徑可為4-吋、6-吋,或8-吋。於一些實施例中,8-吋工程基板晶圓的厚度可為725-750 µm。與之相較,目前用於製造氮化鎵磊晶層的矽基板未順應SEMI規範,因為矽基板的厚度為1050-1500 µm。肇因於此非順應性,矽基板無法用於順應SEMI規範的設備中。In some embodiments, the engineered substrate 100 can comply with the International Semiconductor Equipment and Materials (SEMI) standard specifications. Because the engineered substrate 100 can comply with SEMI specifications, the engineered substrate 100 can be used with existing semiconductor manufacturing tools. For example, the wafer diameter of the engineered substrate can be 4-inch, 6-inch, or 8-inch. In some embodiments, the thickness of the 8-inch engineering substrate wafer may be 725-750 µm. In contrast, the silicon substrates currently used to manufacture gallium nitride epitaxial layers do not comply with SEMI specifications because the thickness of the silicon substrates is 1050-1500 µm. Due to this non-compliance, silicon substrates cannot be used in devices that comply with SEMI specifications.

第4圖為一簡化概要截面圖,說明根據本發明另一實施例之工程基板結構400。於第4圖中說明的實施例中,黏著層412形成在芯110的至少部分上,但未包封芯110。於此實作中,黏著層412係形成於芯的下表面(芯的背側)以增進後續形成之導電層414的黏著,如下更完整地描述。儘管僅在第4圖中之芯的下表面說明黏著層412,將理解的是沉積黏著層材料在芯的其他部分上將不會不利地影響工程基板結構的效能並且此類材料可存在各樣實施例中。所屬技術領域中具有通常知識者將理解許多變化、修飾及選擇。FIG. 4 is a simplified schematic cross-sectional view illustrating an engineering substrate structure 400 according to another embodiment of the present invention. In the embodiment illustrated in FIG. 4, the adhesive layer 412 is formed on at least part of the core 110, but the core 110 is not encapsulated. In this implementation, the adhesion layer 412 is formed on the lower surface of the core (the back side of the core) to enhance the adhesion of the subsequently formed conductive layer 414, as described in more detail below. Although only the adhesion layer 412 is illustrated on the lower surface of the core in Figure 4, it will be understood that depositing the adhesion layer material on other parts of the core will not adversely affect the performance of the engineered substrate structure and that such materials may exist in various forms. In the embodiment. Those with ordinary knowledge in the technical field will understand many changes, modifications and choices.

導電層414,而非如第3圖中說明的形成為殼,不會包封黏著層412和芯110,但實質上與黏著層412對齊。儘管說明的導電層414沿著黏著層412側邊部分的底部或背側且向上延伸,此非為本發明所需要的。因此,實施例可使用基板結構一側上的沉積、遮蔽基板結構的一側等等。導電層414可形成在黏著層412的一側,例如底部/背側,的部分上。導電層414在工程基板結構400的一側上提供電傳導,其可利於RF及高電力應用。導電層414可包括如第1圖中有關導電層114所論述的摻雜的多晶矽。除了基於半導體的導電層之外,於其他實施例中,導電層414為金屬層,例如500 Å的鈦等等。The conductive layer 414, instead of being formed as a shell as illustrated in FIG. 3, does not encapsulate the adhesive layer 412 and the core 110, but is substantially aligned with the adhesive layer 412. Although the illustrated conductive layer 414 extends upward along the bottom or back side of the side portion of the adhesive layer 412, this is not required by the present invention. Therefore, embodiments may use deposition on one side of the substrate structure, masking one side of the substrate structure, and so on. The conductive layer 414 may be formed on one side of the adhesive layer 412, such as the bottom/back side. The conductive layer 414 provides electrical conduction on one side of the engineered substrate structure 400, which can be beneficial for RF and high power applications. The conductive layer 414 may include doped polysilicon as discussed in relation to the conductive layer 114 in FIG. 1. In addition to the semiconductor-based conductive layer, in other embodiments, the conductive layer 414 is a metal layer, such as 500 Å titanium or the like.

芯110的部分、黏著層412的部分,及導電層414覆蓋有第二黏著層416以增進阻障層418至下方材料的黏著。阻障層418形成包封結構以防止如前述有關於第2A、2B及2C圖所論述之自下方層的擴散。The part of the core 110, the part of the adhesive layer 412, and the conductive layer 414 are covered with a second adhesive layer 416 to improve the adhesion of the barrier layer 418 to the underlying material. The barrier layer 418 forms an encapsulation structure to prevent diffusion from the underlying layer as discussed above with respect to FIGS. 2A, 2B, and 2C.

再次參照第4圖,取決於實作情形,一或多層可被移除。舉例而言,層412和414可被移除,僅留下單一黏著殼416和阻障層418。於另外的實施例中,僅層414可被移除,留下阻障層416下面的單一黏著層412。於此實施例中,黏著層412亦可平衡由沉積在阻障層418之頂部的接合層120所引起的應力及晶圓彎曲。具有絕緣層在芯110頂側之基板結構的構造(如,在芯110與接合層120之間僅具有絕緣層)將提供益處給電力/RF應用,其中所欲的是高度絕緣基板。Referring again to Figure 4, depending on the implementation situation, one or more layers can be removed. For example, layers 412 and 414 can be removed, leaving only a single adhesive shell 416 and barrier layer 418. In other embodiments, only the layer 414 may be removed, leaving a single adhesive layer 412 under the barrier layer 416. In this embodiment, the adhesion layer 412 can also balance the stress caused by the bonding layer 120 deposited on top of the barrier layer 418 and wafer bending. A configuration with a substrate structure with an insulating layer on the top side of the core 110 (eg, having only an insulating layer between the core 110 and the bonding layer 120) will provide benefits for power/RF applications, where highly insulating substrates are desired.

於另外的實施例中,阻障層418可直接包封芯110,接著為導電層414及後續的黏著層416。於此實施例中,接合層120可自頂側直接沉積在黏著層416上。在又另一實施例中,黏著層416可沉積在芯110上,接著為阻障層418,再接著為導電層414,及另外的黏著層412。In another embodiment, the barrier layer 418 may directly encapsulate the core 110, followed by the conductive layer 414 and the subsequent adhesive layer 416. In this embodiment, the bonding layer 120 may be directly deposited on the adhesion layer 416 from the top side. In yet another embodiment, the adhesion layer 416 may be deposited on the core 110, followed by the barrier layer 418, then the conductive layer 414, and another adhesion layer 412.

雖然一些實施例已就一層進行論述,應理解層可包括數個子層,數個子層組合以形成關注的層。因此,術語層並非意指由單一材料組成的單一層,而是包含以複合方式層疊以形成所欲結構的一或多種材料。所屬技術領域中具有通常知識者將理解許多變化、修飾及選擇。Although some embodiments have been discussed in terms of a layer, it should be understood that a layer may include several sublayers, which are combined to form the layer of interest. Therefore, the term layer does not mean a single layer composed of a single material, but includes one or more materials laminated in a composite manner to form the desired structure. Those with ordinary knowledge in the technical field will understand many changes, modifications and choices.

第5圖為一簡化流程圖,說明根據本發明一實施例之製造工程基板的方法。方法可用來製造基板,基板的CTE匹配生長在基板上之一或多層磊晶層。方法500包括以下步驟:藉由以下步驟形成支撐結構:提供多晶陶瓷芯(510);包封多晶陶瓷芯於第一黏著層中形成殼(512) (如,正矽酸乙酯(TEOS)氧化物殼);以及包封第一黏著層於導電殼(514) (如,多晶矽殼)中。第一黏著層係可形成為單層的TEOS氧化物。導電殼係可形成為單層的多晶矽。FIG. 5 is a simplified flowchart illustrating a method of manufacturing an engineering substrate according to an embodiment of the present invention. The method can be used to manufacture a substrate, the CTE of the substrate is matched and grown on one or more epitaxial layers on the substrate. The method 500 includes the following steps: forming a support structure by the following steps: providing a polycrystalline ceramic core (510); encapsulating the polycrystalline ceramic core in a first adhesive layer to form a shell (512) (e.g., TEOS ) Oxide shell); and encapsulating the first adhesive layer in the conductive shell (514) (eg, polysilicon shell). The first adhesive layer can be formed as a single layer of TEOS oxide. The conductive shell can be formed as a single layer of polysilicon.

方法還包括以下步驟:包封導電殼於第二黏著層(如,第二TEOS氧化物殼)中(516)以及包封第二黏著層於阻障層殼中(518)。第二黏著層係可形成為單層的TEOS氧化物。阻障層殼係可形成為單層的氮化矽。The method also includes the following steps: encapsulating the conductive shell in the second adhesive layer (eg, the second TEOS oxide shell) (516) and encapsulating the second adhesive layer in the barrier layer shell (518). The second adhesive layer can be formed as a single layer of TEOS oxide. The barrier shell can be formed as a single layer of silicon nitride.

一旦經由製程步驟510-518形成支撐結構,方法進一步包括以下步驟:結合接合層(如,氧化矽層)至支撐結構(520)以及結合實質上單晶層,例如單晶矽層,至氧化矽層(522)。根據本發明實施例,可使用其他的實質上單晶層,包括SiC、藍寶石、GaN、AlN、SiGe、Ge、金剛石、Ga2 O3 、ZnO等等。接合層的結合可包括如本文所述之接合材料沉積接續平坦化製程。於以下描述的一實施例中,將實質上單晶層(如,單晶矽層)結合至接合層使用了層轉移製程,其中層為自矽晶圓轉移的單晶矽層。Once the support structure is formed through the process steps 510-518, the method further includes the following steps: bonding a bonding layer (eg, a silicon oxide layer) to the support structure (520) and bonding a substantially single crystal layer, such as a single crystal silicon layer, to the silicon oxide Layer (522). According to embodiments of the present invention, other substantially single crystal layers may be used, including SiC, sapphire, GaN, AlN, SiGe, Ge, diamond, Ga 2 O 3 , ZnO, and so on. The bonding of the bonding layer may include a bonding material deposition and subsequent planarization process as described herein. In an embodiment described below, a layer transfer process is used to bond a substantially single crystal layer (eg, a single crystal silicon layer) to the bonding layer, where the layer is a single crystal silicon layer transferred from a silicon wafer.

參照第1圖,接合層120可經由沉積厚的(如,4 µm厚)氧化物層接著進行化學機械研磨(CMP)製程薄化氧化物至厚度為大約1.5 µm而形成。厚的初始氧化物用以填充空隙以及存在於支撐結構上的表面特徵,表面特徵在製造多晶芯後可能存在且繼續存在如第1圖說明之形成包封層時。CMP製程提供沒有空隙、顆粒,或其他特徵的實質上平坦表面,其可接著用於晶圓轉移製程期間以將單晶層122 (如,單晶矽層)接合至接合層120。將理解的是接合層不必須具備原子上平坦表面(atomically flat surface)之特徵,但應提供實質上平坦表面,該實質上平坦表面將支持具有所欲可靠度之單晶層(如,單晶矽層)的接合。Referring to FIG. 1, the bonding layer 120 can be formed by depositing a thick (for example, 4 µm thick) oxide layer and then performing a chemical mechanical polishing (CMP) process to thin the oxide to a thickness of about 1.5 µm. The thick initial oxide is used to fill the voids and the surface features existing on the support structure. The surface features may exist after the polycrystalline core is manufactured and continue to exist when the encapsulation layer is formed as described in Figure 1. The CMP process provides a substantially flat surface without voids, particles, or other features, which can then be used during a wafer transfer process to bond the single crystal layer 122 (eg, a single crystal silicon layer) to the bonding layer 120. It will be understood that the bonding layer does not necessarily have the characteristics of an atomically flat surface, but should provide a substantially flat surface that will support a single crystal layer with the desired reliability (eg, single crystal Silicon layer) bonding.

層轉移製程係用以將單晶層122 (如,單晶矽層)結合至接合層120。於一些實施例中,植入包括實質上單晶層122 (如,單晶矽層)的矽晶圓以形成裂面。於此實施例中,在晶圓接合後,矽基板可沿著裂面下方之單晶矽層的部分被移除,造成脫層的單晶矽層。單晶層122的厚度可改變以符合各種應用的規範。另外,單晶層122的結晶方向可改變以符合應用的規範。此外,單晶層中的摻雜程度和輪廓可變化以符合特別應用的規範。於一些實施例中,可將植入的深度調整為大於所欲之單晶層122的最終厚度。此額外的厚度允許移除轉移的實質上單晶層受損的薄部分,留下所欲之最終厚度的未受損部分。於一些實施例中,可修改表面粗糙度以供高品質磊晶生長。所屬技術領域中具有通常知識者將理解許多變化、修飾及選擇。The layer transfer process is used to bond the single crystal layer 122 (eg, a single crystal silicon layer) to the bonding layer 120. In some embodiments, a silicon wafer including a substantially single crystal layer 122 (eg, a single crystal silicon layer) is implanted to form a crack. In this embodiment, after wafer bonding, the silicon substrate can be removed along the part of the single crystal silicon layer below the crack surface, resulting in a delamination of the single crystal silicon layer. The thickness of the single crystal layer 122 can be changed to meet the specifications of various applications. In addition, the crystal orientation of the single crystal layer 122 can be changed to meet the specifications of the application. In addition, the degree of doping and profile in the single crystal layer can be varied to meet the specifications of a particular application. In some embodiments, the depth of implantation can be adjusted to be greater than the desired final thickness of the single crystal layer 122. This additional thickness allows for the removal of the transferred thin portion of the substantially damaged single crystal layer, leaving the undamaged portion of the desired final thickness. In some embodiments, the surface roughness can be modified for high-quality epitaxial growth. Those with ordinary knowledge in the technical field will understand many changes, modifications and choices.

於一些實施例中,單晶層122可為足夠厚以提供用於後續的一或多層磊晶層生長之高品質晶格模板,但單晶層122又為足夠薄以成為高順應性。當單晶層122相對薄,使得其物理性質較少受到限制且能夠模仿其周圍的材料而較無產生結晶缺陷的傾向時,可稱單晶層122為“順應的”。單晶層122的順應性可能與單晶層122的厚度為負相關。較高的順應性可造成模板上生長之磊晶層中較低的缺陷密度以及致能較厚的磊晶層生長。於一些實施例中,可藉由在脫層的矽層上之矽的磊晶生長來增加單晶層122的厚度。In some embodiments, the single crystal layer 122 may be thick enough to provide a high-quality lattice template for the subsequent growth of one or more epitaxial layers, but the single crystal layer 122 is thin enough to be highly compliant. When the single crystal layer 122 is relatively thin so that its physical properties are less restricted and can imitate the surrounding materials without the tendency to produce crystalline defects, the single crystal layer 122 can be said to be "compliant." The compliance of the single crystal layer 122 may be negatively related to the thickness of the single crystal layer 122. Higher compliance can result in a lower defect density in the epitaxial layer grown on the template and enable the growth of a thicker epitaxial layer. In some embodiments, the thickness of the single crystal layer 122 can be increased by epitaxial growth of silicon on the delaminated silicon layer.

於一些實施例中,可藉由脫層的矽層頂部之熱氧化接著以氫氟(HF)酸進行氧化物層剝除來調整單晶層122的最終厚度。舉例而言,初始厚度為0.5 µm之脫層的矽層可經熱氧化以產生厚約420 nm的二氧化矽層。在移除生成的熱氧化物之後,轉移的層中之剩餘的矽厚度可為約53 nm。在熱氧化期間,植入的氫可朝表面移動。因此,後續的氧化物層剝除可移除一些損壞。並且,通常在1000℃或更高的溫度執行熱氧化。昇高的溫度亦可修復晶格損壞。In some embodiments, the final thickness of the single crystal layer 122 can be adjusted by thermal oxidation of the top of the delaminated silicon layer followed by stripping of the oxide layer with hydrofluoric (HF) acid. For example, a delaminated silicon layer with an initial thickness of 0.5 µm can be thermally oxidized to produce a silicon dioxide layer with a thickness of about 420 nm. After removing the generated thermal oxide, the remaining silicon thickness in the transferred layer may be about 53 nm. During thermal oxidation, the implanted hydrogen can move towards the surface. Therefore, the subsequent stripping of the oxide layer can remove some damage. Also, thermal oxidation is usually performed at a temperature of 1000°C or higher. Elevated temperature can also repair lattice damage.

可使用HF酸蝕刻來剝除在熱氧化期間形成在單晶層頂部的氧化矽層。可藉由調整HF溶液的溫度和濃度以及氧化矽的化學劑量和密度來調整經由HF酸之氧化矽和矽(SiO2 :Si)之間的蝕刻選擇性。蝕刻選擇性指的是一種材料相對於另一種材料的蝕刻率。針對SiO2:Si,HF溶液的選擇性可在約10:1至約100:1的範圍。高蝕刻選擇性可使表面粗糙度自初始表面粗糙度降低相似的因數。然而,所得之單晶層122的表面粗糙度仍可能大於所欲者。舉例而言,在進行額外處理之前以2 µm × 2 µm原子力顯微鏡(AFM)掃描時,塊Si (111)表面的均方根(RMS)表面粗糙度可為小於0.1 nm。於一些實施例中,用於Si (111)上之氮化鎵材料之磊晶生長之所欲表面粗糙度可為,例如小於1 nm、小於0.5 nm,或小於0.2 nm,於30 µm × 30 µm AFM之掃描面積上。HF acid etching can be used to strip the silicon oxide layer formed on top of the single crystal layer during thermal oxidation. The etching selectivity between silicon oxide and silicon (SiO 2 :Si) via HF acid can be adjusted by adjusting the temperature and concentration of the HF solution and the chemical dose and density of silicon oxide. Etching selectivity refers to the etch rate of one material relative to another. For SiO2:Si, the selectivity of the HF solution can range from about 10:1 to about 100:1. The high etch selectivity can reduce the surface roughness by a similar factor from the initial surface roughness. However, the surface roughness of the obtained single crystal layer 122 may still be greater than desired. For example, when scanning with a 2 µm × 2 µm atomic force microscope (AFM) before performing additional processing, the root mean square (RMS) surface roughness of the bulk Si (111) surface can be less than 0.1 nm. In some embodiments, the desired surface roughness for epitaxial growth of gallium nitride material on Si (111) can be, for example, less than 1 nm, less than 0.5 nm, or less than 0.2 nm, at 30 µm × 30 On the scanning area of µm AFM.

若熱氧化和氧化物層剝除之後之單晶層122的表面粗糙度超過所欲之表面粗糙度,可執行另外的表面平滑處理。有數種平滑處理矽表面的方法。該等方法可包括氫退火、雷射修整,及接觸研磨(如,CMP)。該等方法可涉及高深寬比表面尖峰之優先攻擊。因此,在表面上的高深寬比特徵可較低深寬比特徵更快被移除,因而造成較平滑的表面。If the surface roughness of the single crystal layer 122 after thermal oxidation and oxide layer stripping exceeds the desired surface roughness, another surface smoothing treatment may be performed. There are several ways to smooth the surface of silicon. Such methods may include hydrogen annealing, laser trimming, and contact polishing (e.g., CMP). These methods may involve preferential attacks on high aspect ratio surface peaks. Therefore, high aspect ratio features on the surface can be removed faster with lower aspect ratio features, resulting in a smoother surface.

應當理解的是,第5圖中說明的特定步驟提供根據本發明一實施例之一種製造工程基板的特別方法。根據替代實施例,亦可執行其他步驟順序。舉例而言,本發明的替代實施例可依不同於前述概述的順序來執行步驟。此外,第5圖中說明的個別步驟可包括多重子步驟,該等子步驟可依適於個別步驟之各種順序來執行。另外,取決於特別應用,可增加或移除額外的步驟。所屬技術領域中具有通常知識者將理解許多變化、修飾及選擇。It should be understood that the specific steps illustrated in Figure 5 provide a specific method for manufacturing an engineering substrate according to an embodiment of the present invention. According to alternative embodiments, other sequence of steps can also be performed. For example, alternative embodiments of the present invention may perform the steps in a different order than the foregoing outline. In addition, the individual steps illustrated in FIG. 5 may include multiple sub-steps, and the sub-steps may be executed in various sequences suitable for the individual steps. In addition, depending on the particular application, additional steps can be added or removed. Those with ordinary knowledge in the technical field will understand many changes, modifications and choices.

第6圖為一簡化流程圖,說明根據本發明另一實施例之製造工程基板的方法。方法包括以下步驟:藉由提供多晶陶瓷芯來形成支撐結構(610);形成耦合至多晶陶瓷芯之至少部分的黏著層(612)。第一黏著層可包括正矽酸乙酯(TEOS)氧化物層。第一黏著層可形成作為單層的TEOS氧化物。方法還包括以下步驟:形成耦合至第一黏著層的導電層(614)。導電層可為多晶矽層。導電層可形成作為單層的多晶矽。FIG. 6 is a simplified flowchart illustrating a method of manufacturing an engineering substrate according to another embodiment of the present invention. The method includes the following steps: forming a support structure by providing a polycrystalline ceramic core (610); forming an adhesive layer (612) coupled to at least part of the polycrystalline ceramic core. The first adhesion layer may include a TEOS oxide layer. The first adhesive layer may be formed as a single layer of TEOS oxide. The method also includes the step of forming a conductive layer coupled to the first adhesive layer (614). The conductive layer may be a polysilicon layer. The conductive layer can be formed as a single layer of polysilicon.

方法還包括以下步驟:形成耦合第一黏著層之至少部分的第二黏著層(616)以及形成阻障殼(618)。第二黏著層可形成作為單層的TEOS氧化物。可形成阻障殼作為單層的氮化矽,或是由一系列子層形成阻障殼。The method further includes the following steps: forming a second adhesive layer (616) coupled to at least part of the first adhesive layer and forming a barrier shell (618). The second adhesive layer may be formed as a single layer of TEOS oxide. The barrier shell can be formed as a single layer of silicon nitride, or the barrier shell can be formed from a series of sub-layers.

一旦以製程步驟610-618形成支撐結構,方法進一步包括以下步驟:將接合層(如,氧化矽層)結合至支撐結構(620)以及將單晶矽層或實質上單晶層結合至氧化矽層(622)。接合層之結合可包括如本文所述之接合材料的沉積接續以平坦化製程。於以下描述的一實施例中,使用層轉移製程將單晶層(如,單晶矽層)結合至接合層,其中單晶矽層係轉移自矽晶圓。Once the support structure is formed by the process steps 610-618, the method further includes the following steps: bonding the bonding layer (eg, silicon oxide layer) to the support structure (620) and bonding the single crystal silicon layer or substantially single crystal layer to the silicon oxide Layer (622). The bonding of the bonding layer may include the deposition of bonding material as described herein followed by a planarization process. In an embodiment described below, a layer transfer process is used to bond a single crystal layer (eg, a single crystal silicon layer) to the bonding layer, wherein the single crystal silicon layer is transferred from the silicon wafer.

應當理解的是,第6圖中說明的特定步驟提供根據本發明另一實施例之一種製造工程基板的特別方法。根據替代實施例,亦可執行其他步驟順序。舉例而言,本發明的替代實施例可依不同於前述概述的順序來執行步驟。此外,第6圖中說明的個別步驟可包括多重子步驟,該等子步驟可依適於個別步驟之各種順序來執行。另外,取決於特別應用,可增加或移除額外的步驟。所屬技術領域中具有通常知識者將理解許多變化、修飾及選擇。It should be understood that the specific steps illustrated in Figure 6 provide a specific method for manufacturing an engineering substrate according to another embodiment of the present invention. According to alternative embodiments, other sequence of steps can also be performed. For example, alternative embodiments of the present invention may perform the steps in a different order than the foregoing outline. In addition, the individual steps illustrated in FIG. 6 may include multiple sub-steps, and the sub-steps may be executed in various sequences suitable for the individual steps. In addition, depending on the particular application, additional steps can be added or removed. Those with ordinary knowledge in the technical field will understand many changes, modifications and choices.

第7圖為一簡化概要截面圖,說明根據本發明一實施例之用於RF和電力應用的磊晶/工程基板結構700。於一些LED應用中,工程基板結構提供生長基板,其致能高品質GaN層的生長,並且工程基板結構隨後被移除。然而,針對RF及電力元件應用,工程基板結構形成最終元件的部分,並因此工程基板結構的電、熱及其他性質或是工程基板結構的組分對特別應用而言是重要的。Figure 7 is a simplified schematic cross-sectional view illustrating an epitaxial/engineered substrate structure 700 for RF and power applications according to an embodiment of the present invention. In some LED applications, the engineered substrate structure provides a growth substrate, which enables the growth of a high-quality GaN layer, and the engineered substrate structure is subsequently removed. However, for RF and power component applications, the engineered substrate structure forms part of the final component, and therefore the electrical, thermal, and other properties of the engineered substrate structure or the components of the engineered substrate structure are important for special applications.

參照第1圖,單晶層122可為使用植入和脫層(exfoliation)技術而自矽施體晶圓分離的脫層單晶矽層。典型的植入物為氫和硼。針對電力及RF元件應用,工程基板結構中之層和材料的電性質是重要的。舉例而言,一些元件架構使用電阻大於103 Ohm-cm的高度絕緣矽層,以減少或消除通過基板和界面層之漏電。其他應用使用包括具有預定厚度(如,1 µm)之導電矽層的設計,以將元件的源極連結至其他要件。因此,在該等應用中,希望能控制單晶矽層的尺寸和性質。於層轉移期間使用植入和脫層技術的設計中,例如氫或硼之殘餘的植入原子存在於矽層中,因而改變電性質。此外,例如使用調整植入劑量(其可能影響導電性)及調整植入深度(其可能影響層厚度),很難控制薄矽層的厚度、導電性及其他性質。Referring to FIG. 1, the single crystal layer 122 may be a delamination single crystal silicon layer separated from the silicon donor wafer using implantation and exfoliation techniques. Typical implants are hydrogen and boron. For power and RF component applications, the electrical properties of the layers and materials in the engineered substrate structure are important. For example, some device architectures use a highly insulating silicon layer with a resistance greater than 103 Ohm-cm to reduce or eliminate leakage through the substrate and the interface layer. Other applications include the design of a conductive silicon layer with a predetermined thickness (eg, 1 µm) to connect the source of the device to other elements. Therefore, in these applications, it is desirable to control the size and properties of the single crystal silicon layer. In designs that use implantation and delamination techniques during layer transfer, residual implanted atoms such as hydrogen or boron are present in the silicon layer, thus changing the electrical properties. In addition, it is difficult to control the thickness, conductivity, and other properties of the thin silicon layer, such as adjusting the implant dose (which may affect the conductivity) and adjusting the implant depth (which may affect the layer thickness).

根據本發明實施例,使用工程基板結構上的矽磊晶術以獲得適於特別元件設計之單晶矽層之所欲性質。According to the embodiment of the present invention, the silicon epitaxy on the engineered substrate structure is used to obtain the desired properties of the single crystal silicon layer suitable for the special device design.

參照第7圖,磊晶/工程基板結構700包括工程基板結構710及形成於其上的磊晶單晶層720。於一些實施例中,磊晶單晶層720可為單晶矽層。工程基板結構710可類似於第1、3及4圖中說明的工程基板結構。典型地,在層轉移之後,單晶層122(例如單晶矽層)為0.5 µm等級。於一些製程中,可使用表面調節製程來將單晶層122的厚度減少至約0.3 µm。為增加單晶層122的厚度至約1 µm以用於製造可靠的歐姆接點,例如使用磊晶製程以在由層轉移製程所形成的單晶層122上生長磊晶單晶層720。可使用磊晶生長製程的變化來生長磊晶單晶層720,包括CVD、LPCVD、ALD、MBE等等。磊晶單晶層720可包括,例如Si、SiC、藍寶石、GaN、AlN、SiGe、Ge、金剛石、Ga2 O3 ,及/或ZnO。磊晶單晶層720的厚度範圍可自約0.1 µm至約20 µm,例如介於0.1 µm和10 µm之間。Referring to FIG. 7, the epitaxial/engineered substrate structure 700 includes an engineered substrate structure 710 and an epitaxial single crystal layer 720 formed thereon. In some embodiments, the epitaxial single crystal layer 720 may be a single crystal silicon layer. The engineering substrate structure 710 may be similar to the engineering substrate structure illustrated in FIGS. 1, 3 and 4. Typically, after the layer transfer, the single crystal layer 122 (for example, a single crystal silicon layer) is on the order of 0.5 µm. In some manufacturing processes, a surface conditioning process may be used to reduce the thickness of the single crystal layer 122 to about 0.3 µm. In order to increase the thickness of the single crystal layer 122 to about 1 µm for manufacturing reliable ohmic contacts, for example, an epitaxial process is used to grow the epitaxial single crystal layer 720 on the single crystal layer 122 formed by the layer transfer process. Variations in the epitaxial growth process can be used to grow the epitaxial single crystal layer 720, including CVD, LPCVD, ALD, MBE, and so on. The epitaxial single crystal layer 720 may include, for example, Si, SiC, sapphire, GaN, AlN, SiGe, Ge, diamond, Ga 2 O 3 , and/or ZnO. The thickness of the epitaxial single crystal layer 720 may range from about 0.1 µm to about 20 µm, for example, between 0.1 µm and 10 µm.

第8A圖為一簡化概要截面圖,說明根據本發明一實施例之在工程基板結構上的III-V族磊晶層。第8A圖中說明的結構可被視為以下描述的雙磊晶結構800。如第8A圖中所說明的,包括磊晶單晶層720的工程基板結構810具有形成於其上的III-V族磊晶層820。於一實施例中,III-V族磊晶層包含氮化鎵(GaN)。為在III-V族磊晶層的各部分(其可包括多重子層)之間提供導電性,在此實例中形成一組貫孔824從III-V族磊晶層820的頂表面穿通至磊晶單晶層720中。第8A圖顯示貫孔824延伸穿過磊晶層820而至磊晶單晶層720。作為一實例,藉由提供歐姆接點通過貫孔824,該等貫孔可用來將二極體或電晶體的電極連結至下方的層,因而緩和元件中堆積的電荷。於一些實施例中,一或多個貫孔824可於其側壁上為絕緣的,使其不會電性連結至III-V族磊晶層820。電接點可促進寄生電荷之移除,因而致能較快速的電力元件切換。FIG. 8A is a simplified schematic cross-sectional view illustrating a III-V epitaxial layer on an engineering substrate structure according to an embodiment of the present invention. The structure illustrated in FIG. 8A can be regarded as the double epitaxial structure 800 described below. As illustrated in FIG. 8A, the engineering substrate structure 810 including the epitaxial single crystal layer 720 has the III-V epitaxial layer 820 formed thereon. In one embodiment, the III-V epitaxial layer includes gallium nitride (GaN). In order to provide conductivity between the parts of the III-V epitaxial layer (which may include multiple sublayers), in this example, a set of through holes 824 are formed to penetrate from the top surface of the III-V epitaxial layer 820 to the epitaxial layer. Crystalline single crystal layer 720. FIG. 8A shows that the through hole 824 extends through the epitaxial layer 820 to the epitaxial single crystal layer 720. As an example, by providing ohmic contacts through the through holes 824, the through holes can be used to connect the electrodes of the diode or transistor to the underlying layer, thereby alleviating the charge accumulated in the device. In some embodiments, one or more through holes 824 may be insulated on the sidewalls thereof so that they are not electrically connected to the III-V epitaxial layer 820. The electrical contacts can promote the removal of parasitic charges, thereby enabling faster switching of power components.

於一些實施例中,貫孔826可延伸至單晶層122。為解決製造貫孔826以接觸單晶層122的困難,可在單晶層122和單晶層720上生長額外的導電磊晶層822以增大用於貫孔826之目標導電層的尺寸,亦即,貫孔終止於其中之層的厚度。由於工程基板結構810獨特的CTE和擴散性質,可形成較習知基板厚的磊晶單晶層720和III-V族磊晶層820。因此,現有的基板技術無法支持足夠無缺陷磊晶層之生長以包括導電磊晶層822於元件中。於一些實施例中,導電磊晶層822可為AlN、AlGaN、GaN或充分摻雜的半導體材料。於特別的實施例中,導電磊晶層822的厚度可為0.1-10 µm。於其他實施例中,導電磊晶層822的厚度可取決於半導體元件的需求而變化。於一些實施例中,工程基板結構及單晶層122可被移除,暴露磊晶單晶層720及或導電磊晶層822。於基板移除後,可在暴露的磊晶層上形成接點。所屬技術領域中具有通常知識者將理解許多變化、修飾及選擇。In some embodiments, the through hole 826 may extend to the single crystal layer 122. In order to solve the difficulty of manufacturing the through hole 826 to contact the single crystal layer 122, an additional conductive epitaxial layer 822 can be grown on the single crystal layer 122 and the single crystal layer 720 to increase the size of the target conductive layer for the through hole 826. That is, the thickness of the layer in which the through hole ends. Due to the unique CTE and diffusion properties of the engineered substrate structure 810, the epitaxial single crystal layer 720 and the III-V epitaxial layer 820 can be formed thicker than conventional substrates. Therefore, the existing substrate technology cannot support the growth of a defect-free epitaxial layer to include the conductive epitaxial layer 822 in the device. In some embodiments, the conductive epitaxial layer 822 may be AlN, AlGaN, GaN or a fully doped semiconductor material. In a particular embodiment, the thickness of the conductive epitaxial layer 822 may be 0.1-10 µm. In other embodiments, the thickness of the conductive epitaxial layer 822 may vary depending on the requirements of the semiconductor device. In some embodiments, the engineered substrate structure and the single crystal layer 122 can be removed, exposing the epitaxial single crystal layer 720 and/or the conductive epitaxial layer 822. After the substrate is removed, contacts can be formed on the exposed epitaxial layer. Those with ordinary knowledge in the technical field will understand many changes, modifications and choices.

於一些實施例中,III-V族磊晶層可生長於單晶層122上。為在單晶層122中終止貫孔,可在整個晶圓的0.3 µm單晶層中做成使用貫孔的歐姆接點。使用本發明的實施例,可以提供數微米厚的單晶層。難以使用植入和脫層製程達到數微米厚度,因為大植入深度需要高植入能量。而厚的磊晶單晶層致能諸如所說明之貫孔的應用而致能廣泛的元件設計。In some embodiments, the III-V epitaxial layer can be grown on the single crystal layer 122. In order to terminate the through hole in the single crystal layer 122, an ohmic contact using the through hole can be made in the 0.3 µm single crystal layer of the entire wafer. Using the embodiments of the present invention, a single crystal layer several micrometers thick can be provided. It is difficult to use implantation and delamination processes to reach a thickness of several microns, because large implantation depths require high implantation energy. The thick epitaxial single crystal layer enables applications such as the illustrated through hole to enable a wide range of device designs.

除了藉由在單晶層122上磊晶生長磊晶單晶層720來增加「層」的厚度以外,可對單晶層122的原始性質做出的其他調整,包括導電性、結晶性等等的修飾。舉例而言,若在額外之III-V族層或其他材料的磊晶生長之前所欲之矽層為10 µm等級,可根據本發明實施例生長此厚的層。In addition to increasing the thickness of the "layer" by epitaxially growing the epitaxial single crystal layer 720 on the single crystal layer 122, other adjustments can be made to the original properties of the single crystal layer 122, including conductivity, crystallinity, etc. Retouching. For example, if the desired silicon layer before the epitaxial growth of additional III-V family layers or other materials is of the order of 10 µm, this thick layer can be grown according to the embodiment of the present invention.

植入製程可影響單晶層122的性質,例如殘餘的硼/氫原子可能造成影響矽晶層之電性質的缺陷。在本發明的一些實施例中,在磊晶單晶層720之磊晶生長之前,單晶層122的部分可被移除。舉例而言,移除大部分或所有之殘餘的硼/氫原子,單晶矽層可經薄化以形成厚度為0.1 µm或更小之層。接著使用單晶矽層的後續生長以提供電和/或其他性質與使用層轉移製程所形成之層的相應特性實質上無關之單晶材料。The implantation process may affect the properties of the single crystal layer 122. For example, residual boron/hydrogen atoms may cause defects that affect the electrical properties of the silicon crystal layer. In some embodiments of the present invention, part of the single crystal layer 122 may be removed before the epitaxial growth of the epitaxial single crystal layer 720. For example, by removing most or all of the remaining boron/hydrogen atoms, the single crystal silicon layer can be thinned to form a layer with a thickness of 0.1 µm or less. Subsequent growth of the single crystal silicon layer is then used to provide a single crystal material whose electrical and/or other properties are substantially independent of the corresponding characteristics of the layer formed using the layer transfer process.

除了增加耦合至工程基板結構之單晶矽材料的厚度以外,磊晶單晶層720的電性質包括導電性,可不同於單晶層122的電性質。在生長期間之磊晶單晶層720的摻雜,可藉由摻雜硼而產生P型矽以及藉由摻雜磷而產生N型矽。可生長未經摻雜的矽以提供用於具有絕緣區域之元件中的高電阻矽。特別地,絕緣層可用於RF元件中。In addition to increasing the thickness of the single crystal silicon material coupled to the engineering substrate structure, the electrical properties of the epitaxial single crystal layer 720 include conductivity, which may be different from the electrical properties of the single crystal layer 122. The doping of the epitaxial single crystal layer 720 during the growth period can produce P-type silicon by doping with boron and produce N-type silicon by doping with phosphorus. Undoped silicon can be grown to provide high-resistance silicon for use in devices with insulating regions. In particular, the insulating layer can be used in RF components.

可在生長期間調整磊晶單晶層720的晶格常數使其不同於單晶層122的晶格常數以產生應變磊晶材料。除了矽之外,可磊晶生長以提供包括應變層之層的其他組分包括矽鍺等等。此外,可使用結晶平面的結晶方向,例如在(100)矽上之(111)矽的生長,來引入應變。例如,緩衝層可生長在單晶層122上、在磊晶單晶層720上,或在層之間以增進後續的磊晶生長。該等緩衝層可包括III-V族半導體材料,諸如氮化鋁鎵、氮化銦鎵,及氮化銦鋁鎵、矽鍺應變層等等。可調整III-V族半導體材料層的應變以用於所欲材料性質。此外,緩衝層及其他磊晶層之克分子分數、摻質、極性等等可為漸變的。所屬技術領域中具有通常知識者將理解許多變化、修飾及選擇。The lattice constant of the epitaxial single crystal layer 720 can be adjusted during growth to be different from the lattice constant of the single crystal layer 122 to produce a strained epitaxial material. In addition to silicon, other components that can be epitaxially grown to provide a layer including a strained layer include silicon germanium and the like. In addition, the crystal orientation of the crystal plane, such as the growth of (111) silicon on (100) silicon, can be used to introduce strain. For example, a buffer layer can be grown on the single crystal layer 122, on the epitaxial single crystal layer 720, or between layers to promote subsequent epitaxial growth. The buffer layers may include III-V semiconductor materials, such as aluminum gallium nitride, indium gallium nitride, and indium aluminum gallium nitride, silicon germanium strained layers, and so on. The strain of the III-V semiconductor material layer can be adjusted for the desired material properties. In addition, the molar fraction, dopants, polarity, etc. of the buffer layer and other epitaxial layers can be graded. Those with ordinary knowledge in the technical field will understand many changes, modifications and choices.

於一些實施例中,於後續之包括III-V族磊晶層之磊晶層的生長期間,存在於單晶層122或磊晶單晶層720中的應變可經鬆弛。In some embodiments, during the subsequent growth of the epitaxial layer including the III-V epitaxial layer, the strain existing in the single crystal layer 122 or the epitaxial single crystal layer 720 may be relaxed.

第8B圖為一簡化概要平面圖,說明根據本發明一實施例之四種雙磊晶結構。於第8B圖中說明的雙磊晶結構各包括一組貫孔824。第一雙磊晶結構830顯示緊密貫孔構型。第二雙磊晶結構840顯示分散貫孔構型。在元件之主動區使用貫孔824的分散貫孔構型較可能經歷電荷堆積。第三雙磊晶結構850顯示圖案貫孔構型。圖案貫孔構型可在雙磊晶結構850上將貫孔824分開相等距離。第四雙磊晶結構860闡述側向貫孔828。側向貫孔828可製造成實質上平行於雙磊晶結構860的磊晶層而行進,並且於例如邊緣862接觸單晶層122。所屬技術領域中具有通常知識者將理解許多變化、修飾及選擇。FIG. 8B is a simplified schematic plan view illustrating four double epitaxial structures according to an embodiment of the present invention. The dual epitaxial structures illustrated in FIG. 8B each include a set of through holes 824. The first double epitaxial structure 830 shows a tight through hole configuration. The second double epitaxial structure 840 shows a dispersed through hole configuration. The dispersed through-hole configuration using through-holes 824 in the active area of the device is more likely to experience charge accumulation. The third double epitaxial structure 850 shows a patterned through hole configuration. The patterned through hole configuration can separate the through holes 824 on the dual epitaxial structure 850 by an equal distance. The fourth double epitaxial structure 860 illustrates the lateral through hole 828. The lateral through hole 828 may be manufactured to run substantially parallel to the epitaxial layer of the double epitaxial structure 860 and contact the single crystal layer 122 at, for example, the edge 862. Those with ordinary knowledge in the technical field will understand many changes, modifications and choices.

如上所述之工程基板可承受於其上之與工程基板實質上晶格匹配且特徵為熱膨脹係數(CTE)與工程基板的熱膨脹係數實質上匹配之氮化鎵元件層的磊晶生長。因此,工程基板可提供優異的熱穩定性及形狀控制。工程基板亦可致能具有再利用能力之晶圓直徑尺規化。相對厚的(如,大於20 µm)高品質磊晶氮化鎵層可形成在沒有裂隙且特徵為低缺陷密度及低磊晶後彎曲和應力的工程基板上。可在單一平台上實施,諸如電力元件、射頻(RF)元件、單石微波積體電路(MMIC)、顯示器、發光二極體(LED)等等的多重應用。此工程基板亦適於各樣元件架構,諸如側向元件、垂直元件、晶片尺寸封裝(CSP)元件等等。The engineering substrate as described above can withstand the epitaxial growth of a gallium nitride device layer on which it is substantially lattice-matched with the engineering substrate and is characterized by a coefficient of thermal expansion (CTE) substantially matching the thermal expansion coefficient of the engineering substrate. Therefore, the engineered substrate can provide excellent thermal stability and shape control. Engineered substrates can also enable wafer diameter scaling with reusability capabilities. A relatively thick (eg, greater than 20 µm) high-quality epitaxial gallium nitride layer can be formed on an engineering substrate that has no cracks and is characterized by low defect density and low post-epitaxial bending and stress. It can be implemented on a single platform, such as multiple applications such as power components, radio frequency (RF) components, monolithic microwave integrated circuits (MMIC), displays, light emitting diodes (LED), and so on. This engineering substrate is also suitable for various component architectures, such as lateral components, vertical components, chip scale package (CSP) components, and so on.

氮化鎵(GaN)及類似的寬能隙半導體材料提供較以矽形成者更優異的物理性質,其允許基於該等材料的電力半導體元件能承受高電壓和溫度。該等性質亦允許較高頻率反應、較大電流密度及較快速切換。然而,在寬能隙元件能獲得市場接受之前,必須證明其可靠度並且較高可靠度之需求正在成長。元件和封裝級之較大電力密度的持續需求造成較高溫度和橫越封裝之溫度梯度的後果。使用工程基板於形成CTE匹配的磊晶元件層可減輕許多寬能隙元件常見的熱相關失效機制,如下說明。Gallium nitride (GaN) and similar wide band gap semiconductor materials provide better physical properties than those formed from silicon, allowing power semiconductor components based on these materials to withstand high voltages and temperatures. These properties also allow for higher frequency response, higher current density and faster switching. However, before the wide band gap device can be accepted by the market, its reliability must be proven and the demand for higher reliability is growing. The continued demand for greater power density at the component and package level has the consequence of higher temperatures and temperature gradients across the package. The use of engineered substrates to form a CTE-matched epitaxial device layer can alleviate the common thermal-related failure mechanism of many wide band gap devices, as explained below.

化合物半導體元件,諸如基於氮化鎵(GaN)的高電子遷移率電晶體(HEMT),可經受高電場及高電流(如,大信號RF),同時成為深飽和。接點劣化、逆壓電效應、熱電子效應,及自加熱為常見問題中的一些。舉例而言,溫度大於約300℃時,肖特基及歐姆接點可能表現接點電阻增加及顯現鈍化破裂。可能發生閘極金屬堆疊內的相互擴散(inter-diffusion)及鎵向外擴散(out-diffusion)至金屬層中。當電子在大電場中加速得到非常高的動能時,可能發生熱電子效應。熱電子效應可能導致氮化鋁鎵(AlGaN)層中、於AlGaN/GaN處界面、鈍化層/GaN蓋層界面處及緩衝層中之阱形成(trap formation)。Compound semiconductor devices, such as gallium nitride (GaN)-based high electron mobility transistors (HEMT), can withstand high electric fields and high currents (eg, large signal RF) while becoming deeply saturated. Contact deterioration, inverse piezoelectric effect, hot electron effect, and self-heating are some of the common problems. For example, when the temperature is greater than about 300°C, Schottky and ohmic contacts may exhibit increased contact resistance and passivation cracking. Inter-diffusion within the gate metal stack and out-diffusion of gallium into the metal layer may occur. When electrons accelerate in a large electric field to obtain very high kinetic energy, the hot electron effect may occur. The hot electron effect may cause trap formation in the aluminum gallium nitride (AlGaN) layer, the AlGaN/GaN interface, the passivation layer/GaN cap layer interface, and the buffer layer.

阱形成可能接著引起電流崩塌及閘極延遲,並因此造成互導之可逆劣化及飽和汲極電流。即便汲極電壓或閘極電壓突然改變,觀察到緩慢的瞬變電流。脈衝汲極-源極電壓時汲極電流緩慢的瞬變反應稱為汲極遲緩(drain lag),或是於閘極-源極電壓的情況中稱為閘極遲緩(gate lag)。當脈衝內的電壓高於靜態偏置點時,緩衝阱捕獲自由電荷。與脈衝長度相較,此現象非常快速。當脈衝內的電壓低於靜態偏置點時,阱釋放其電荷。此過程可能非常緩慢,甚至可能要數秒。當自由載子被捕獲及釋放時,他們不會立即貢獻至輸出電流。此現象係於瞬變電流之起點。The well formation may then cause current collapse and gate delay, and thus cause reversible degradation of the mutual conductance and saturation of the drain current. Even if the drain voltage or the gate voltage changes suddenly, a slow transient current is observed. The transient response of the slow drain current during pulsed drain-source voltage is called drain lag, or gate lag in the case of gate-source voltage. When the voltage in the pulse is higher than the static bias point, the buffer trap traps free charge. Compared with the pulse length, this phenomenon is very fast. When the voltage in the pulse is lower than the static bias point, the trap releases its charge. This process may be very slow, and may even take a few seconds. When free carriers are captured and released, they will not immediately contribute to the output current. This phenomenon is at the beginning of the transient current.

汲極遲緩及閘極遲緩的組合效應導致電流崩塌(二維電子氣[2-DEG]密度降低)。當緩衝層中之深-受體密度較高時,肇因於緩衝阱的閘極遲緩變得更明顯。當閘極上的高反向偏壓導致結晶缺陷產生時,可能發生逆壓電效應。超過特定臨界電壓時,可能發生元件不可逆的損壞,其可能提供通過缺陷之漏電路徑。高電力應力下可能發生自加熱且可能造成熱應力-應變。化合物半導體元件亦可能遭受電場驅動的劣化,諸如閘極金屬化以及於接點、表面及界面劣化。閘極劣化可能導致漏電流增加及介電崩潰。The combined effect of slow drain and slow gate leads to current collapse (decreased density of two-dimensional electron gas [2-DEG]). When the deep-acceptor density in the buffer layer is higher, the gate delay caused by the buffer well becomes more obvious. When the high reverse bias on the gate leads to the generation of crystal defects, the reverse piezoelectric effect may occur. When a certain threshold voltage is exceeded, irreversible damage to the component may occur, which may provide a leakage path through the defect. Self-heating may occur under high electrical stress and may cause thermal stress-strain. Compound semiconductor devices may also suffer from electric field-driven degradation, such as gate metallization and degradation of contacts, surfaces, and interfaces. Deterioration of the gate may lead to increased leakage current and dielectric breakdown.

高溫反向偏壓(HTRB)測試為用於電力元件之一種最常見的可靠度測試。HTRB測試評估高汲極-源極偏壓下的長期穩定性。HTRB測試企圖加速由使用偏壓的操作條件所熱活化之失效機制。在HTRB測試期間,元件樣本在最大級反向崩潰電壓或略小於最大級反向崩潰電壓、在接近其最大級接面溫度的環境溫度持續延長的時段(如,1000小時)下受到應力。根據阿瑞尼士方程式(Arrhenius equation),此測試的高溫加速失效機制,阿瑞尼士方程式指出反應速率依存溫度。於HTRB測試期間,可能發生分層、爆裂、元件膨脹及其他機制上的問題。The high temperature reverse bias (HTRB) test is one of the most common reliability tests used for power components. The HTRB test evaluates long-term stability under high drain-source bias. The HTRB test attempts to accelerate the failure mechanism thermally activated by operating conditions using bias voltage. During the HTRB test, the component sample is stressed at the maximum stage reverse breakdown voltage or slightly less than the maximum stage reverse breakdown voltage, and at an ambient temperature close to its maximum stage junction temperature for an extended period of time (eg, 1000 hours). According to the Arrhenius equation, the high-temperature accelerated failure mechanism of this test, the Arrhenius equation indicates that the reaction rate depends on temperature. During the HTRB test, delamination, bursting, component expansion and other mechanical problems may occur.

在諸如GaN電力元件之寬能隙半導體元件的閘極介電中亦觀察到類似於時依性介電崩潰(TDDB)的失效機制,TDDB為MOSFET中常見的失效機制。TDDB發生於閘極介電崩潰時,因為長時間應用相對低的電場(與即刻崩潰(immediate breakdown)相反,即刻崩潰由強電場所引起)。此外,溫度循環(TMCL)期間的失效可能與封裝應力、接合墊金屬化、模複合物、水分敏感度及其他封裝等級的問題相關。A failure mechanism similar to time-dependent dielectric breakdown (TDDB) is also observed in the gate dielectric of wide band gap semiconductor devices such as GaN power devices. TDDB is a common failure mechanism in MOSFETs. TDDB occurs when the gate dielectric collapses because of the long-term application of a relatively low electric field (as opposed to immediate breakdown, which is caused by a strong electric field). In addition, failure during temperature cycling (TMCL) may be related to package stress, bond pad metallization, mold compounding, moisture sensitivity, and other package level issues.

如前述論述,工程基板可具有與其上生長之磊晶GaN元件層之CTE匹配的CTE。磊晶GaN元件層亦可與工程基板晶格匹配。因此,磊晶GaN元件層可具有較低的缺陷密度及較佳品質。可經由磊晶生長形成相對厚的漂移區。並且,可由工程基板做出大直徑晶圓,藉此降低製造成本。工程基板可改進元件可靠度。舉例而言,具有與磊晶GaN元件匹配的CTE可有助緩和熱應力,熱應力為元件可靠度的關鍵因素。有關熱應力的元件失效可包括熱活化的汲極-源極崩潰、衝穿效應、沿著通道的崩潰、穿過緩衝層的崩潰。亦可減少自加熱。此外,具有低缺陷密度的高品質磊晶GaN層可有助於改進元件考靠度,因為一些缺陷可能由電壓應力所引發且可能造成側向及垂直漏電。高品質磊晶GaN層亦可解決諸如可影響場分佈和錯位密度之局部非化學計量區域的問題。As discussed above, the engineered substrate may have a CTE that matches the CTE of the epitaxial GaN device layer grown thereon. The epitaxial GaN device layer can also be lattice-matched with the engineering substrate. Therefore, the epitaxial GaN device layer can have lower defect density and better quality. A relatively thick drift region can be formed through epitaxial growth. In addition, large-diameter wafers can be made from engineered substrates, thereby reducing manufacturing costs. Engineering substrates can improve component reliability. For example, having a CTE that matches an epitaxial GaN device can help alleviate thermal stress, which is a key factor in device reliability. Component failures related to thermal stress may include thermally activated drain-source collapse, punch-through effects, collapse along the channel, and collapse through the buffer layer. It can also reduce self-heating. In addition, a high-quality epitaxial GaN layer with a low defect density can help improve the reliability of the device, because some defects may be caused by voltage stress and may cause lateral and vertical leakage. High-quality epitaxial GaN layers can also solve problems such as local non-stoichiometric regions that can affect field distribution and dislocation density.

傳統的基於矽的MOSFET技術幾乎到達效能和切換速度的實體限制。側向式基於GaN的高電子遷移率電晶體(HEMT)於中至低電力系統中提供超越基於矽的MOSFET範圍的機會,中至低電力系統為諸如太陽能反向器、小型電源供應(PFC)、切換式電源供應(SMPS)、馬達驅動器、射頻功率放大器、固態照明(SSL)、智能電網,及汽車馬達驅動系統。在許多其他優點中,側向式基於GaN的HEMT可支持高效率、高頻率操作,及低切換和傳導損失。Traditional silicon-based MOSFET technology has almost reached the physical limits of performance and switching speed. Lateral GaN-based high electron mobility transistors (HEMT) provide opportunities to go beyond the range of silicon-based MOSFETs in medium to low power systems, such as solar inverters and small power supplies (PFC) , Switching Mode Power Supply (SMPS), Motor Driver, RF Power Amplifier, Solid State Lighting (SSL), Smart Grid, and Automotive Motor Drive System. Among many other advantages, lateral GaN-based HEMTs can support high efficiency, high frequency operation, and low switching and conduction losses.

第9圖為一簡化概要截面圖,說明根據本發明一實施例之形成在工程基板910上的側向電力元件900。電力元件900可用作為空乏型(通常為ON) HEMT。電力元件900包括工程基板910。於一些實施例中,如以上參照第1、3及4圖所描述的,工程基板910可包括多晶陶瓷芯、耦合至多晶陶瓷芯的第一黏著層、耦合至第一黏著層的阻障層、耦合至阻障層的接合層,以及耦合至接合層的實質上單晶層。根據一實施例,工程基板910可進一步包括耦合至接合層的實質上單晶層912。舉例而言,實質上單晶層912可包括實質上單層結晶矽。於一些實施例中,工程基板910可進一步包括耦合至實質上單晶層912的成核層914以促進包括實質上單晶的基於GaN材料之磊晶元件層的形成。在一些實施例中,成核層914可摻雜至等於、小於,或大於周圍層的程度。於其他實施例中,成核層的組成分可經設計並以預定的組成分實踐。FIG. 9 is a simplified schematic cross-sectional view illustrating a lateral power element 900 formed on an engineering substrate 910 according to an embodiment of the present invention. The power element 900 can be used as a depleted (usually ON) HEMT. The power element 900 includes an engineered substrate 910. In some embodiments, as described above with reference to FIGS. 1, 3, and 4, the engineering substrate 910 may include a polycrystalline ceramic core, a first adhesive layer coupled to the polycrystalline ceramic core, and a barrier coupled to the first adhesive layer Layer, a bonding layer coupled to the barrier layer, and a substantially single crystal layer coupled to the bonding layer. According to an embodiment, the engineering substrate 910 may further include a substantially single crystal layer 912 coupled to the bonding layer. For example, the substantially single crystal layer 912 may include a substantially single layer of crystalline silicon. In some embodiments, the engineering substrate 910 may further include a nucleation layer 914 coupled to the substantially single crystal layer 912 to facilitate the formation of a GaN material-based epitaxial device layer including a substantially single crystal. In some embodiments, the nucleation layer 914 may be doped to an extent equal to, smaller than, or larger than the surrounding layers. In other embodiments, the composition of the nucleation layer can be designed and implemented with a predetermined composition.

於另一實施例中,基板910的多晶陶瓷芯包含氮化鋁。於一些實施例中,如前述參照第1圖所論述的,基板910可進一步包括耦合至第一黏著層的導電層,及耦合至導電層的第二黏著層,其中導電層及第二黏著層設置在第一黏著層和阻障層之間。於一些實施例中,第一黏著層可包含第一正矽酸乙酯(TEOS)氧化物層,及第二黏著層可包含第二TEOS氧化物層。阻障層可包含氮化矽層。導電層可包含多晶矽層。In another embodiment, the polycrystalline ceramic core of the substrate 910 includes aluminum nitride. In some embodiments, as discussed above with reference to FIG. 1, the substrate 910 may further include a conductive layer coupled to the first adhesive layer, and a second adhesive layer coupled to the conductive layer, wherein the conductive layer and the second adhesive layer It is arranged between the first adhesive layer and the barrier layer. In some embodiments, the first adhesion layer may include a first TEOS oxide layer, and the second adhesion layer may include a second TEOS oxide layer. The barrier layer may include a silicon nitride layer. The conductive layer may include a polysilicon layer.

根據一實施例,電力元件900進一步包括耦合至成核層914及實質上單晶層912的緩衝層920 (如,氮化鎵(GaN)緩衝層)。可藉由磊晶生長在成核層914或實質上單晶層912上形成緩衝層920。根據一實施例,緩衝層920的厚度可為大於約20微米。於一些實施例中,可用氮化鋁鎵(即,Alx Ga1-x N)緩衝層取代緩衝層920,或緩衝層920可為GaN及AlGaN層的組合。應當注意於一些實施例中,以GaN層進行論述的層可用Alx Ga1-x N層取代。作為一實例,緩衝層920可用具有第一組克分子分數的Alx Ga1-x N取代,及阻障層932可為具有第二組克分子分數的Alx Ga1-x N。所屬技術領域中具有通常知識者將理解許多變化、修飾及選擇。According to an embodiment, the power device 900 further includes a buffer layer 920 (eg, a gallium nitride (GaN) buffer layer) coupled to the nucleation layer 914 and the substantially single crystal layer 912. The buffer layer 920 may be formed on the nucleation layer 914 or the substantially single crystal layer 912 by epitaxial growth. According to an embodiment, the thickness of the buffer layer 920 may be greater than about 20 microns. In some embodiments, useful aluminum gallium nitride (i.e., Al x Ga 1-x N ) substituted buffer layer a buffer layer 920, buffer layer 920, or may be combined as GaN and AlGaN layers. It should be noted that in some embodiments, the layer discussed with the GaN layer may be replaced with an Al x Ga 1-x N layer. As an example, the buffer layer 920 having a first available group of Al x Ga 1-x mole fraction of the unsubstituted N, and the barrier layer 932 may have a mole fraction of a second group of Al x Ga 1-x N. Those with ordinary knowledge in the technical field will understand many changes, modifications and choices.

較厚的緩衝層可提供電力元件900較低的漏電流及較高的崩潰電壓。於一些實施例中,緩衝層920可包括複數個層。舉例而言,緩衝層920可包括氮化鋁層、氮化鋁鎵,及氮化鎵層。於一些實施例中,緩衝層920可包括最多150層的超晶格,各層具有約2-3 nm的厚度。超晶格為藉由週期性磊晶生長所製造的人工晶格。藉由在彼此頂上生長兩種半導體的交替層來實現週期性超晶格,每次各半導體生長至相同的厚度及克分子分數。根據本發明的一些實施例,使用超晶格而非其他緩衝層設計的優點在於超晶格可藉由生長來降低片電阻,例如在通道區域之上的AlGaN/GaN超晶格層,以及可降低異-界面處的位能障壁高度。於其他實施例中,超晶格不會降低異-界面處的位能障壁高度。所屬技術領域中具有通常知識者將理解許多變化、修飾及選擇。A thicker buffer layer can provide the power device 900 with lower leakage current and higher breakdown voltage. In some embodiments, the buffer layer 920 may include a plurality of layers. For example, the buffer layer 920 may include an aluminum nitride layer, an aluminum gallium nitride layer, and a gallium nitride layer. In some embodiments, the buffer layer 920 may include a maximum of 150 layers of superlattices, and each layer has a thickness of about 2-3 nm. The superlattice is an artificial lattice produced by periodic epitaxial growth. A periodic superlattice is achieved by growing alternating layers of two semiconductors on top of each other, each semiconductor growing to the same thickness and molar fraction each time. According to some embodiments of the present invention, the advantage of using a superlattice instead of other buffer layer designs is that the superlattice can be grown to reduce sheet resistance, such as an AlGaN/GaN superlattice layer above the channel region, and can be Reduce the height of the potential energy barrier at the hetero-interface. In other embodiments, the superlattice does not reduce the height of the potential energy barrier at the hetero-interface. Those with ordinary knowledge in the technical field will understand many changes, modifications and choices.

根據一實施例,電力元件900進一步包括耦合至緩衝層920的通道區域930。通道區域930具有第一端924、第二端926,及設置在第一端和第二端之間的中央部分928。通道區域930的中央部分可包括通道區域阻障層。於一些實施例中,通道區域阻障層可為耦合至緩衝層920的阻障層932(如,氮化鋁鎵 (Alx Ga1-x N)阻障層),及耦合至阻障層932的蓋層934 (如,氮化鎵蓋層)。蓋層有助於減低通過肖特基接點的反向漏電並減少峰值電場。其亦於製程期間保護阻障層932並防止氮脫氣。此外,蓋層934亦對元件效能有正面影響,諸如增加的增益、增加的功率附加效率、及增進的DC安定性。According to an embodiment, the power element 900 further includes a channel region 930 coupled to the buffer layer 920. The channel area 930 has a first end 924, a second end 926, and a central portion 928 disposed between the first end and the second end. The central portion of the channel region 930 may include a channel region barrier layer. In some embodiments, the channel region barrier layer may be a barrier layer 932 (eg, aluminum gallium nitride (Al x Ga 1-x N) barrier layer) coupled to the buffer layer 920, and coupled to the barrier layer 932 capping layer 934 (eg, gallium nitride capping layer). The cap layer helps reduce the reverse leakage through the Schottky contact and reduces the peak electric field. It also protects the barrier layer 932 and prevents nitrogen outgassing during the manufacturing process. In addition, the cap layer 934 also has a positive effect on device performance, such as increased gain, increased power added efficiency, and improved DC stability.

電力元件900進一步包括設置在通道區域930之第一端的源極接點940、設置在通道區域930之第二端的汲極接點950,及耦合至蓋層934且設置於通道區域930之中央部分的閘極接點960。於一些實施例中,貫孔902可將源極接點940連結至單晶層912以移除電力元件中的寄生電荷。相較於可使用穿過導電矽基板之背側接點的矽上GaN,本發明的實施例使用的絕緣工程基板可利用諸如貫孔902的貫孔以提供至單晶層912的電連結。根據本發明實施例,藉由磊晶生長來形成阻障層932及蓋層934。如第9圖中所說明的,在操作中,可於緩衝層920中在緩衝層920和阻障層932之間的界面處形成二維電子氣(2DEG)的薄層936。在此二維電子氣薄層936中的電子可快速移動而不會碰撞任何雜質,因為緩衝層920是未經摻雜的。此可給予通道938非常低的電阻,換言之,非常高的電子遷移率。The power element 900 further includes a source contact 940 disposed at the first end of the channel region 930, a drain contact 950 disposed at the second end of the channel region 930, and coupled to the cap layer 934 and disposed at the center of the channel region 930 Part of the gate contact 960. In some embodiments, the through hole 902 can connect the source contact 940 to the single crystal layer 912 to remove parasitic charges in the power device. In contrast to GaN-on-silicon that can pass through the backside contacts of the conductive silicon substrate, the insulating engineering substrate used in the embodiment of the present invention can utilize through holes such as through holes 902 to provide electrical connections to the single crystal layer 912. According to the embodiment of the present invention, the barrier layer 932 and the cap layer 934 are formed by epitaxial growth. As illustrated in FIG. 9, in operation, a thin layer 936 of two-dimensional electron gas (2DEG) may be formed in the buffer layer 920 at the interface between the buffer layer 920 and the barrier layer 932. The electrons in the two-dimensional electron gas thin layer 936 can move quickly without colliding with any impurities because the buffer layer 920 is undoped. This can give the channel 938 a very low resistance, in other words, a very high electron mobility.

於一些實施例中,電力元件900可進一步包括覆蓋蓋層934的鈍化層970。鈍化層970可包括氮化矽或其他絕緣材料。電力元件900亦可包括電性連結至源極接點940的第一場板金屬980而形成源極電極,以及設置在汲極接點950上的第二金屬990而形成汲極電極。In some embodiments, the power device 900 may further include a passivation layer 970 covering the cap layer 934. The passivation layer 970 may include silicon nitride or other insulating materials. The power device 900 may also include a first field plate metal 980 electrically connected to the source contact 940 to form a source electrode, and a second metal 990 disposed on the drain contact 950 to form a drain electrode.

第10圖為一簡化流程圖,說明根據本發明一實施例之製造工程基板上之側向電力元件的方法1000。根據一實施例,方法1000包括以下步驟:於1010,藉由以下步驟形成基板:提供多晶陶瓷芯;以第一黏著殼包封多晶陶瓷芯;以阻障層包封第一黏著殼;在阻障層上形成接合層;以及將實質上單晶層結合至接合層。FIG. 10 is a simplified flowchart illustrating a method 1000 for manufacturing a lateral power component on an engineering substrate according to an embodiment of the present invention. According to an embodiment, the method 1000 includes the following steps: at 1010, the substrate is formed by the following steps: providing a polycrystalline ceramic core; encapsulating the polycrystalline ceramic core with a first adhesive shell; encapsulating the first adhesive shell with a barrier layer; Forming a bonding layer on the barrier layer; and bonding the substantially single crystal layer to the bonding layer.

方法1000還包括以下步驟:於1012,於基板上形成磊晶緩衝層(如,氮化鎵(GaN)緩衝層);以及於1014,藉由以下步驟在緩衝層上形成通道區域:在緩衝層上形成磊晶阻障層(如,氮化鋁鎵(Alx Ga1-x N)阻障層)以及在阻障層上形成磊晶蓋層(如,氮化鎵蓋層)。通道區域具有第一端和第二端,以及第一端和第二端之間的中央部分。The method 1000 further includes the following steps: in 1012, forming an epitaxial buffer layer (eg, a gallium nitride (GaN) buffer layer) on the substrate; and in 1014, forming a channel region on the buffer layer by the following steps: An epitaxial barrier layer (eg, aluminum gallium nitride (Al x Ga 1-x N) barrier layer) is formed thereon, and an epitaxial cap layer (eg, gallium nitride cap layer) is formed on the barrier layer. The channel area has a first end and a second end, and a central portion between the first end and the second end.

方法1000還包括以下步驟:於1016,在通道區域的第一端形成源極接點;於1018,在通道區域的第二端形成汲極接點;以及於1020,在通道區域的中央部分中的蓋層上形成閘極接點。The method 1000 further includes the following steps: at 1016, a source contact is formed at the first end of the channel region; at 1018, a drain contact is formed at the second end of the channel region; and at 1020, in the central part of the channel region A gate contact is formed on the cover layer.

應當理解的是,第10圖中說明的特定步驟提供根據本發明另一實施例之一種製造工程基板的特別方法。根據替代實施例,亦可執行其他步驟順序。舉例而言,本發明的替代實施例可依不同於前述概述的順序來執行步驟。此外,第10圖中說明的個別步驟可包括多重子步驟,該等子步驟可依適於個別步驟之各種順序來執行。另外,取決於特別應用,可增加或移除額外的步驟。所屬技術領域中具有通常知識者將理解許多變化、修飾及選擇。It should be understood that the specific steps illustrated in Figure 10 provide a specific method for manufacturing an engineering substrate according to another embodiment of the present invention. According to alternative embodiments, other sequence of steps can also be performed. For example, alternative embodiments of the present invention may perform the steps in a different order than the foregoing outline. In addition, the individual steps illustrated in FIG. 10 may include multiple sub-steps, and the sub-steps may be executed in various sequences suitable for the individual steps. In addition, depending on the particular application, additional steps can be added or removed. Those with ordinary knowledge in the technical field will understand many changes, modifications and choices.

第11A圖為一簡化概要截面圖,說明根據本發明另一實施例之形成在工程基板上的側向電力元件1100。電力元件1100可在通道區域1130中使用凹部1136以作為增強型(通常為OFF) HEMT。電力元件1100包括工程基板1110。於一些實施例中,如前述參照第1、3及4圖所描述的,工程基板1110可包括多晶陶瓷芯、耦合至多晶陶瓷芯的第一黏著層、耦合至第一黏著層的阻障層、耦合至阻障層的接合層,以及耦合至接合層的實質上單晶層。於一些實施例中,工程基板1110可進一步包括耦合至接合層的實質上單晶層1112。舉例而言,實質上單晶層1112可包含實質上單晶矽。於一些實施例中,工程基板1110可進一步包括耦合至實質上單晶層1112的成核層(未顯示)供促進磊晶元件層的形成。FIG. 11A is a simplified schematic cross-sectional view illustrating a lateral power element 1100 formed on an engineering substrate according to another embodiment of the present invention. The power element 1100 may use the recess 1136 in the channel area 1130 as an enhanced (usually OFF) HEMT. The power element 1100 includes an engineered substrate 1110. In some embodiments, as described above with reference to FIGS. 1, 3, and 4, the engineering substrate 1110 may include a polycrystalline ceramic core, a first adhesive layer coupled to the polycrystalline ceramic core, and a barrier coupled to the first adhesive layer. Layer, a bonding layer coupled to the barrier layer, and a substantially single crystal layer coupled to the bonding layer. In some embodiments, the engineering substrate 1110 may further include a substantially single crystal layer 1112 coupled to the bonding layer. For example, the substantially single crystal layer 1112 may include substantially single crystal silicon. In some embodiments, the engineering substrate 1110 may further include a nucleation layer (not shown) coupled to the substantially single crystal layer 1112 to facilitate the formation of an epitaxial device layer.

於一實施例中,基板1110的多晶陶瓷芯包含氮化鋁。於一些實施例中,如前述參照第1圖所論述的,基板1110可進一步包括耦合至第一黏著層的導電層,以及耦合至導電層的第二黏著層,其中導電層和第二黏著層設置於第一黏著層與阻障層之間。於一些實施例中,第一黏著層可包含第一正矽酸乙酯(TEOS)氧化物層,以及第二黏著層可包含第二TEOS氧化物層。阻障層可包含氮化矽層。導電層可包含多晶矽層。In one embodiment, the polycrystalline ceramic core of the substrate 1110 includes aluminum nitride. In some embodiments, as discussed above with reference to FIG. 1, the substrate 1110 may further include a conductive layer coupled to the first adhesive layer, and a second adhesive layer coupled to the conductive layer, wherein the conductive layer and the second adhesive layer It is arranged between the first adhesive layer and the barrier layer. In some embodiments, the first adhesion layer may include a first TEOS oxide layer, and the second adhesion layer may include a second TEOS oxide layer. The barrier layer may include a silicon nitride layer. The conductive layer may include a polysilicon layer.

根據一實施例,電力元件1100進一步包括耦合至實質上單晶層1112的緩衝層1120(如,氮化鎵(GaN)緩衝層)。緩衝層1120可藉由磊晶生長而形成於實質上單晶層1112上。根據一實施例,緩衝層1120可具有大於約20微米的厚度。較厚的緩衝層可提供電力元件1100較低的漏電流及較高的崩潰電壓。於一些實施例中,緩衝層1120可包括複數個層。舉例而言,緩衝層1120可為包含氮化鋁層、氮化鋁鎵,及氮化鎵層的超晶格。將理解的是,在緩衝層1120的生長製程中可使用一或多層成核層。According to an embodiment, the power device 1100 further includes a buffer layer 1120 (eg, a gallium nitride (GaN) buffer layer) coupled to the substantially single crystal layer 1112. The buffer layer 1120 may be formed on the substantially single crystal layer 1112 by epitaxial growth. According to an embodiment, the buffer layer 1120 may have a thickness greater than about 20 microns. A thicker buffer layer can provide the power device 1100 with a lower leakage current and a higher breakdown voltage. In some embodiments, the buffer layer 1120 may include a plurality of layers. For example, the buffer layer 1120 may be a superlattice including an aluminum nitride layer, an aluminum gallium nitride layer, and a gallium nitride layer. It will be understood that one or more nucleation layers may be used in the growth process of the buffer layer 1120.

根據一實施例,電力元件1100進一步包括耦合至緩衝層1120的通道區域1130。通道區域1130具有第一端1124、第二端1126,及設置在第一端1124和第二端1126之間的中央部分1128。通道區域1130的中央部分可包括磊晶通道區域阻障層。於一些實施例中,磊晶通道區域阻障層可為耦合至緩衝層1120的阻障層1132(如,氮化鋁鎵(Alx Ga1-x N)阻障層)。根據本發明實施例,藉由磊晶生長來形成阻障層1132。阻障層1132包括通道區域1130的中央部分中的凹部1136。凹部可藉由使用蝕刻或其他合適的技術來移除阻障層1132的一部分而形成。電力元件1100進一步包括設置在凹部中且耦合至阻障層1132的絕緣層1134。According to an embodiment, the power element 1100 further includes a channel region 1130 coupled to the buffer layer 1120. The passage area 1130 has a first end 1124, a second end 1126, and a central portion 1128 disposed between the first end 1124 and the second end 1126. The central portion of the channel region 1130 may include an epitaxial channel region barrier layer. In some embodiments, the epitaxial channel region barrier layer may be a barrier layer 1132 (eg, an aluminum gallium nitride (Al x Ga 1-x N) barrier layer) coupled to the buffer layer 1120. According to an embodiment of the present invention, the barrier layer 1132 is formed by epitaxial growth. The barrier layer 1132 includes a recess 1136 in the central portion of the channel region 1130. The recess may be formed by removing a part of the barrier layer 1132 using etching or other suitable techniques. The power element 1100 further includes an insulating layer 1134 disposed in the recess and coupled to the barrier layer 1132.

電力元件1100進一步包括設置在通道區域1130的第一端的源極接點1140、設置在通道區域1130的第二端的汲極接點1140,以及耦合至絕緣層1134且設置在通道區域1130的中央部分中的閘極接點1160。於一些實施例中,可使用貫孔1102將源極接點1140連結至單晶層1112以移除電力元件1100中的寄生電荷。如第11圖中所說明的,二維電子氣(2DEG)薄層1138可形成於緩衝層1120和阻障層1132之間的界面處的緩衝層1120中。此2DEG薄層1138中的電子可快速移動而不會與任何雜質碰撞,因為緩衝層1120是未經摻雜的。此給予通道區域1130非常低的電阻,換言之,非常高的電子遷移率。於空乏型(通常為OFF)中,當閘極電壓為零時,凹部1136和絕緣層1134阻擋部分的2DEG。The power element 1100 further includes a source contact 1140 disposed at the first end of the channel region 1130, a drain contact 1140 disposed at the second end of the channel region 1130, and coupled to the insulating layer 1134 and disposed at the center of the channel region 1130 Part of the gate contact 1160. In some embodiments, a through hole 1102 may be used to connect the source contact 1140 to the single crystal layer 1112 to remove parasitic charges in the power element 1100. As illustrated in FIG. 11, a two-dimensional electron gas (2DEG) thin layer 1138 may be formed in the buffer layer 1120 at the interface between the buffer layer 1120 and the barrier layer 1132. The electrons in the 2DEG thin layer 1138 can move quickly without colliding with any impurities because the buffer layer 1120 is undoped. This gives the channel region 1130 very low resistance, in other words, very high electron mobility. In the depletion type (usually OFF), when the gate voltage is zero, the recess 1136 and the insulating layer 1134 block part of the 2DEG.

於一些實施例中,緩衝層1120可實作為氮化鋁鎵(AlGaN)緩衝層。AlGaN緩衝層可包括多層。使用Alx Ga1-x N緩衝層的電力元件可藉由製造以第一預定克分子分數(x)自工程基板延伸且在接近源極、閘極,及汲極接點為第二預定克分子分數(x)的Alx Ga1-x N緩衝層而導入通道區域1130。第一預定克分子分數(x)可為低的,例如小於10%以提供所欲載子侷限。於其他實施例中,鋁克分子分數(x)範圍自10%至30%。Alx Ga1-x N磊晶層可摻雜鐵或碳以進一步增加作為絕緣或阻擋層之磊晶層的電阻。有關用於磊晶緩衝層的材料及磊晶緩衝層的製造之另外的描述係提供於美國臨時申請案第62/447,857號中,該案針對所有目的以全文引用方式併入本文中。In some embodiments, the buffer layer 1120 can be implemented as an aluminum gallium nitride (AlGaN) buffer layer. The AlGaN buffer layer may include multiple layers. The power device using the Al x Ga 1-x N buffer layer can be manufactured to extend from the engineering substrate at a first predetermined molar fraction (x) and to be close to the source, gate, and drain contacts as the second predetermined gram The Al x Ga 1-x N buffer layer of molecular fraction (x) is introduced into the channel region 1130. The first predetermined molar fraction (x) can be low, for example, less than 10% to provide the desired carrier limitation. In other embodiments, the aluminum molar fraction (x) ranges from 10% to 30%. The Al x Ga 1-x N epitaxial layer can be doped with iron or carbon to further increase the resistance of the epitaxial layer as an insulating or barrier layer. Additional descriptions regarding the materials used for the epitaxial buffer layer and the manufacture of the epitaxial buffer layer are provided in U.S. Provisional Application No. 62/447,857, which is incorporated herein by reference in its entirety for all purposes.

第11B圖為一簡化概要截面圖,說明根據本發明另一實施例之形成在工程基板上的具有磊晶閘極結構的側向電力元件1190。藉由使用諸如基於P型氮化鎵的結構1162在零偏壓下耗乏通道區域中的電荷,電力元件可用作增強型(通常為OFF)HEMT。電力元件1190包括工程基板1110。於一些實施例中,工程基板 1110可包括如前述參照第1、3及4圖所描述的要件。根據一實施例,工程基板1110可進一步包括耦合至接合層的實質上單晶層1112。FIG. 11B is a simplified schematic cross-sectional view illustrating a lateral power element 1190 with an epitaxial gate structure formed on an engineering substrate according to another embodiment of the present invention. By using, for example, a P-type gallium nitride-based structure 1162 to deplete the charge in the channel region under zero bias, the power device can be used as an enhanced (usually OFF) HEMT. The power element 1190 includes an engineered substrate 1110. In some embodiments, the engineering substrate 1110 may include the elements described above with reference to FIGS. 1, 3, and 4. According to an embodiment, the engineering substrate 1110 may further include a substantially single crystal layer 1112 coupled to the bonding layer.

於一些實施例中,電力元件1190進一步包括耦合至實質上單晶層1112的緩衝層1120。在一些實施例中,緩衝層可為另外的單晶磊晶層,例如其他III-V族材料,諸如AlGaN、InGaN、InAlGaN、彼等的組合等等。電力元件1190可包括耦合至緩衝層1120的通道區域1130。通道區域的中央部分可包括耦合至緩衝層1120的阻障層1132。根據本發明實施例, 藉由磊晶生長來形成阻障層1132。In some embodiments, the power device 1190 further includes a buffer layer 1120 coupled to the substantially single crystal layer 1112. In some embodiments, the buffer layer may be another single crystal epitaxial layer, such as other III-V group materials, such as AlGaN, InGaN, InAlGaN, combinations of these, and so on. The power element 1190 may include a channel region 1130 coupled to the buffer layer 1120. The central part of the channel region may include a barrier layer 1132 coupled to the buffer layer 1120. According to the embodiment of the present invention, the barrier layer 1132 is formed by epitaxial growth.

電力元件1190進一步包括設置在通道區域1130的第一端的源極接點1140、設置在通道區域的第二端的汲極接點1150,及閘極接點1164。在一些實施例中,閘極接點1164可為部分式,或半歐姆接點,例如氮化鈦。部分式歐姆閘極接點1164可耦合至P型GaN結構1162。部分式歐姆閘極接點1164用以阻擋若為完全式歐姆接點時流動的漏電流。可藉由選擇性蝕刻P型氮化鎵磊晶層來形成P型氮化鎵結構1162。於一些實施例中,可使用多層磊晶層來形成P型氮化鎵結構1162。當使用多層磊晶層,一或多層可包括組成分與阻障層1132的組成分不同的材料或彼此不同的材料,例如AlGaN等等。The power element 1190 further includes a source contact 1140 provided at the first end of the channel region 1130, a drain contact 1150 provided at the second end of the channel region, and a gate contact 1164. In some embodiments, the gate contact 1164 may be a partial or half-ohm contact, such as titanium nitride. The partial ohmic gate contact 1164 can be coupled to the P-type GaN structure 1162. The partial ohmic gate contact 1164 is used to block the leakage current flowing if it is a full ohmic contact. The P-type gallium nitride structure 1162 can be formed by selectively etching the P-type gallium nitride epitaxial layer. In some embodiments, multiple epitaxial layers may be used to form the P-type gallium nitride structure 1162. When multiple epitaxial layers are used, one or more layers may include materials having a composition different from that of the barrier layer 1132 or materials different from each other, such as AlGaN or the like.

可調整與P型氮化鎵結構1162相關的性質,諸如應力及壓電性質,以減少或限制漏電流。P型氮化鎵結構的各層可具有不同的摻質濃度。於一些實施例中,當閘極電壓為零時,P型氮化鎵結構1162耗乏通道區域1130的部分。耗乏的區域允許電力元件1190用作增強式(通常為OFF) HEMT。The properties related to the P-type gallium nitride structure 1162, such as stress and piezoelectric properties, can be adjusted to reduce or limit leakage current. The layers of the P-type gallium nitride structure can have different dopant concentrations. In some embodiments, when the gate voltage is zero, the P-type gallium nitride structure 1162 consumes part of the channel region 1130. The depleted area allows the power element 1190 to be used as an enhanced (usually OFF) HEMT.

第11C圖為一簡化概要截面圖,說明P型氮化鎵結構1162的分解圖。於一些實施例中,第一層1170可具有第一摻質濃度和/或材料組成分。第二層1172可具有第二摻質濃度和/或材料組成分。第三層1174可具有第三摻質濃度和/或材料組成分。工程基板1110的獨特CTE匹配性質相較於現有基板技術提供能支撐較厚及較複雜磊晶層生長的基板。於一些實施例中,磊晶閘極結構可包括至少一P型氮化鎵磊晶層。藉由層特定摻質濃度和/或材料組成分可控制電力元件119的漏電流。雖然第11C圖說明三層磊晶層,所屬技術領域中具有通常知識者將理解許多變化、修飾及選擇。Figure 11C is a simplified schematic cross-sectional view illustrating an exploded view of the P-type gallium nitride structure 1162. In some embodiments, the first layer 1170 may have a first dopant concentration and/or material composition. The second layer 1172 may have a second dopant concentration and/or material composition. The third layer 1174 may have a third dopant concentration and/or material composition. The unique CTE matching properties of the engineered substrate 1110 provide a substrate capable of supporting thicker and more complex epitaxial layer growth compared with the existing substrate technology. In some embodiments, the epitaxial gate structure may include at least one P-type gallium nitride epitaxial layer. The leakage current of the power element 119 can be controlled by the specific dopant concentration and/or material composition of the layer. Although Figure 11C illustrates a three-layer epitaxial layer, those with ordinary knowledge in the art will understand many changes, modifications, and options.

第12圖為一簡化流程圖,說明根據本發明一實施例之製造工程基板上之側向電力元件的方法1200。根據一實施例,方法1200包括以下步驟:於1210,藉由以下步驟形成基板:提供多晶陶瓷芯;以第一黏著殼包封多晶陶瓷芯;以阻障層包封第一黏著殼;在阻障層上形成接合層;以及將實質上單晶層結合至接合層。FIG. 12 is a simplified flowchart illustrating a method 1200 for manufacturing a lateral power component on an engineering substrate according to an embodiment of the present invention. According to an embodiment, the method 1200 includes the following steps: at 1210, the substrate is formed by the following steps: providing a polycrystalline ceramic core; encapsulating the polycrystalline ceramic core with a first adhesive shell; encapsulating the first adhesive shell with a barrier layer; Forming a bonding layer on the barrier layer; and bonding the substantially single crystal layer to the bonding layer.

方法1200進一步包括以下步驟:於1212,在基板上形成磊晶緩衝層(如,氮化鎵(GaN)緩衝層);以及於1214,藉由在緩衝層上形成磊晶阻障層(如,氮化鋁鎵(Alx Ga1-x N)阻障層)而在緩衝層上形成通道區域。通道區域具有第一端和第二端,及第一端和第二端之間的中央部分。根據一實施例,方法1200進一步包括以下步驟:於1216,在通道區域的中央部分中的阻障層中形成凹部;以及於1218,在凹部中形成絕緣層。絕緣層係耦合至阻障層。方法1200進一步包括以下步驟:於1220,於通道區域的第一端形成源極接點;於1222,於通道區域的第二端形成汲極接點;以及於1224,於通道區域的中央部分中形成閘極接點。The method 1200 further includes the following steps: at 1212, forming an epitaxial buffer layer (e.g., gallium nitride (GaN) buffer layer) on the substrate; and at 1214, by forming an epitaxial barrier layer (e.g., Aluminum gallium nitride (Al x Ga 1-x N) barrier layer) to form a channel region on the buffer layer. The channel area has a first end and a second end, and a central portion between the first end and the second end. According to an embodiment, the method 1200 further includes the following steps: at 1216, forming a recess in the barrier layer in the central portion of the channel region; and at 1218, forming an insulating layer in the recess. The insulating layer is coupled to the barrier layer. The method 1200 further includes the following steps: at 1220, forming a source contact at the first end of the channel region; at 1222, forming a drain contact at the second end of the channel region; and at 1224, in the central part of the channel region A gate contact is formed.

應當理解的是,第12圖中說明的特定步驟提供根據本發明另一實施例之一種製造工程基板的特別方法。根據替代實施例,亦可執行其他步驟順序。舉例而言,本發明的替代實施例可依不同於前述概述的順序來執行步驟。此外,第12圖中說明的個別步驟可包括多重子步驟,該等子步驟可依適於個別步驟之各種順序來執行。另外,取決於特別應用,可增加或移除額外的步驟。所屬技術領域中具有通常知識者將理解許多變化、修飾及選擇。It should be understood that the specific steps illustrated in Figure 12 provide a specific method for manufacturing an engineering substrate according to another embodiment of the present invention. According to alternative embodiments, other sequence of steps can also be performed. For example, alternative embodiments of the present invention may perform the steps in a different order than the foregoing outline. In addition, the individual steps illustrated in FIG. 12 may include multiple sub-steps, and the sub-steps may be executed in various sequences suitable for the individual steps. In addition, depending on the particular application, additional steps can be added or removed. Those with ordinary knowledge in the technical field will understand many changes, modifications and choices.

垂直元件(p-n二極體及HEMT)的高電力模組可具有許多應用。舉例而言,彼等可用於驅動混合式車輛電力系統中的主要馬達及工業馬達。此類元件存在特別挑戰,因為同時需要高電壓及高電流。目前,該等系統通常使用基於SiC的元件。因彼等的切換效能,使用基於GaN的元件之關注持續成長,基於GaN的元件提供較小的底面積。如前描述的工程基板可在CMOS相容的Si晶圓廠中提供大規模製造基於GaN的元件的潛能。High power modules for vertical components (p-n diodes and HEMT) can have many applications. For example, they can be used to drive main motors and industrial motors in hybrid vehicle power systems. This type of device poses a particular challenge because it requires both high voltage and high current. Currently, these systems usually use SiC-based components. Due to their switching performance, the interest in using GaN-based devices continues to grow, and GaN-based devices provide a smaller bottom area. The engineering substrates described above can provide the potential for large-scale manufacturing of GaN-based components in CMOS-compatible Si wafer fabs.

第13圖為一簡化概要截面圖,說明根據本發明一實施例之形成在工程基板上的垂直半導體二極體1300。半導體二極體1300包括工程基板1310。於一些實施例中,如前述參照第1、3及4圖所描述的,工程基板1310可包括多晶陶瓷芯、耦合至多晶陶瓷芯的第一黏著層、耦合至第一黏著層的阻障層、耦合至阻障層的接合層,以及耦合至接合層的實質上單晶層。根據一實施例,工程基板1310可進一步包括耦合至接合層的實質上單晶層1312。舉例而言,實質上單晶層1312可包括實質上單晶矽。於一些實施例中,工程基板1310可進一步包括耦合至實質上單晶層1312的成核層(未顯示)供促進磊晶元件層的形成。FIG. 13 is a simplified schematic cross-sectional view illustrating a vertical semiconductor diode 1300 formed on an engineering substrate according to an embodiment of the present invention. The semiconductor diode 1300 includes an engineering substrate 1310. In some embodiments, as described above with reference to FIGS. 1, 3, and 4, the engineering substrate 1310 may include a polycrystalline ceramic core, a first adhesive layer coupled to the polycrystalline ceramic core, and a barrier coupled to the first adhesive layer Layer, a bonding layer coupled to the barrier layer, and a substantially single crystal layer coupled to the bonding layer. According to an embodiment, the engineering substrate 1310 may further include a substantially single crystal layer 1312 coupled to the bonding layer. For example, the substantially single crystal layer 1312 may include substantially single crystal silicon. In some embodiments, the engineering substrate 1310 may further include a nucleation layer (not shown) coupled to the substantially single crystal layer 1312 to facilitate the formation of the epitaxial device layer.

於一實施例中,基板1310的多晶陶瓷芯包含氮化鋁。於一些實施例中,如前述參照第1圖所論述的,基板1310可進一步包括耦合至第一黏著層的導電層,及耦合至導電層的第二黏著層,其中導電層和第二黏著層設置於第一黏著層和阻障層之間。於一些實施例中,第一黏著層可包含第一正矽酸乙酯(TEOS)氧化物層,及第二黏著層可包含第二TEOS氧化物層。阻障層可包含氮化矽層。導電層可包含多晶矽層。In one embodiment, the polycrystalline ceramic core of the substrate 1310 includes aluminum nitride. In some embodiments, as discussed above with reference to FIG. 1, the substrate 1310 may further include a conductive layer coupled to the first adhesive layer, and a second adhesive layer coupled to the conductive layer, wherein the conductive layer and the second adhesive layer It is arranged between the first adhesive layer and the barrier layer. In some embodiments, the first adhesion layer may include a first TEOS oxide layer, and the second adhesion layer may include a second TEOS oxide layer. The barrier layer may include a silicon nitride layer. The conductive layer may include a polysilicon layer.

根據一實施例,半導體二極體1300進一步包括耦合至實質上單晶層1312的緩衝層1320。於一些實施例中,緩衝層1320可為包括複數個層的超晶格。舉例而言,緩衝層1320可包括耦合至單晶矽層的氮化鋁層、耦合至氮化鋁層的氮化鋁鎵層,及耦合至氮化鋁鎵層的氮化鎵層。半導體二極體1300進一步包括耦合至緩衝層1320的半絕緣層1330。於一實施例中,半絕緣層1330包含氮化鎵。According to an embodiment, the semiconductor diode 1300 further includes a buffer layer 1320 coupled to the substantially single crystal layer 1312. In some embodiments, the buffer layer 1320 may be a superlattice including a plurality of layers. For example, the buffer layer 1320 may include an aluminum nitride layer coupled to a single crystal silicon layer, an aluminum gallium nitride layer coupled to the aluminum nitride layer, and a gallium nitride layer coupled to the aluminum gallium nitride layer. The semiconductor diode 1300 further includes a semi-insulating layer 1330 coupled to the buffer layer 1320. In one embodiment, the semi-insulating layer 1330 includes gallium nitride.

根據一些實施例,半導體二極體1300進一步包括耦合至半絕緣層1330的第一N型氮化鎵層1342、耦合至第一N型氮化鎵層1342的第二N型氮化鎵層1344,以及耦合至第二N型氮化鎵層1344的P型氮化鎵層1346。第一N型氮化鎵層1342可作為P-N二極體的N區域且可具有相對高的N型摻雜濃度。第二N型氮化鎵層1344可作為漂移區且具有與第一N型氮化鎵層1342相較為相對低的摻雜濃度。P型氮化鎵層1346可作為P-N二極體的P區域且可具有相對高的P型摻雜濃度。According to some embodiments, the semiconductor diode 1300 further includes a first N-type gallium nitride layer 1342 coupled to the semi-insulating layer 1330, a second N-type gallium nitride layer 1344 coupled to the first N-type gallium nitride layer 1342 , And a P-type gallium nitride layer 1346 coupled to the second N-type gallium nitride layer 1344. The first N-type gallium nitride layer 1342 can be used as the N region of the P-N diode and can have a relatively high N-type doping concentration. The second N-type gallium nitride layer 1344 can be used as a drift region and has a relatively lower doping concentration than the first N-type gallium nitride layer 1342. The P-type gallium nitride layer 1346 can be used as the P region of the P-N diode and can have a relatively high P-type doping concentration.

於一實施例中,第二N型氮化鎵層1344的部分和P型氮化鎵層1346的部分被移除以暴露第一N型氮化鎵層1342的部分,使得陰極接點1370可形成於其上。於一些實施例中,陰極接點1370可包括鈦-鋁(Ti/Al)合金或其他合適的金屬材料。藉由蝕刻或其他合適的技術,第二N型氮化鎵層1344的部分和P型氮化鎵層1346的部分可被移除。陽極接點1360形成於P型氮化鎵層1346剩餘的部分上。於一些實施例中,陽極1360可包括鎳-鉑(Ni/Pt)合金、鎳-金(Ni/Au)合金等等。半導體二極體1300可進一步包括耦合至陽極接點1360的第一場板1382,及耦合至陰極接點1370的第二場板1384。於一些實施例中,半導體二極體1300可進一步包括覆蓋P型氮化鎵層1346和第一N型氮化鎵層1342之暴露的表面,及第二N型氮化鎵層1344的鈍化層1390。鈍化層1390可包含氮化矽或其他絕緣材料。In one embodiment, the portion of the second N-type gallium nitride layer 1344 and the portion of the P-type gallium nitride layer 1346 are removed to expose the portion of the first N-type gallium nitride layer 1342, so that the cathode contact 1370 can be Formed on it. In some embodiments, the cathode contact 1370 may include a titanium-aluminum (Ti/Al) alloy or other suitable metal materials. By etching or other suitable techniques, the portion of the second N-type gallium nitride layer 1344 and the portion of the P-type gallium nitride layer 1346 can be removed. The anode contact 1360 is formed on the remaining part of the P-type gallium nitride layer 1346. In some embodiments, the anode 1360 may include nickel-platinum (Ni/Pt) alloy, nickel-gold (Ni/Au) alloy, and so on. The semiconductor diode 1300 may further include a first field plate 1382 coupled to the anode contact 1360 and a second field plate 1384 coupled to the cathode contact 1370. In some embodiments, the semiconductor diode 1300 may further include a passivation layer covering the exposed surfaces of the P-type gallium nitride layer 1346 and the first N-type gallium nitride layer 1342, and the second N-type gallium nitride layer 1344 1390. The passivation layer 1390 may include silicon nitride or other insulating materials.

於一些實施例中,第二N型氮化鎵層1344可具有大於20 µm的厚度。工程基板1310獨特的CTE匹配性質提供沉積相對厚之具有低錯位密度之漂移區的能力,可提供半導體二極體1300低的漏電流及高許多的崩潰電壓,以及許多其他益處。In some embodiments, the second N-type gallium nitride layer 1344 may have a thickness greater than 20 μm. The unique CTE matching properties of the engineered substrate 1310 provide the ability to deposit relatively thick drift regions with low dislocation density, provide the semiconductor diode 1300 with low leakage current and much higher breakdown voltage, as well as many other benefits.

第14圖為一簡化流程圖,說明根據本發明一實施例之製造工程基板上之垂直半導體二極體的方法1400。方法1400包括以下步驟:於1410,藉由以下步驟形成基板:提供多晶陶瓷芯;以第一黏著殼包封多晶陶瓷芯;以阻障層包封第一黏著殼;於阻障層上形成接合層;以及將實質上單晶層結合至接合層。FIG. 14 is a simplified flowchart illustrating a method 1400 of manufacturing a vertical semiconductor diode on an engineering substrate according to an embodiment of the present invention. The method 1400 includes the following steps: at 1410, the substrate is formed by the following steps: providing a polycrystalline ceramic core; encapsulating the polycrystalline ceramic core with a first adhesive shell; encapsulating the first adhesive shell with a barrier layer; on the barrier layer Forming a bonding layer; and bonding the substantially single crystal layer to the bonding layer.

方法1400進一步包括以下步驟:於1412,在單晶矽層上形成緩衝層;以及於1414,在緩衝層上形成半絕緣層。方法1400進一步包括以下步驟:於1416,在半絕緣層上形成第一磊晶N型氮化鎵層;於1418,在第一磊晶N型氮化鎵層上形成第二磊晶N型氮化鎵層;以及於1420,在第二磊晶N型氮化鎵層上形成磊晶P型氮化鎵層。根據一些實施例,第一N型氮化鎵層具有第一摻雜濃度。第二磊晶N型氮化鎵層具有小於第一摻雜濃度的第二摻雜濃度。The method 1400 further includes the following steps: at 1412, a buffer layer is formed on the single crystal silicon layer; and at 1414, a semi-insulating layer is formed on the buffer layer. The method 1400 further includes the following steps: at 1416, forming a first epitaxial N-type gallium nitride layer on the semi-insulating layer; at 1418, forming a second epitaxial N-type gallium nitride layer on the first epitaxial N-type gallium nitride layer And at 1420, an epitaxial P-type gallium nitride layer is formed on the second epitaxial N-type gallium nitride layer. According to some embodiments, the first N-type gallium nitride layer has a first doping concentration. The second epitaxial N-type gallium nitride layer has a second doping concentration less than the first doping concentration.

根據一些實施例,方法1400進一步包括以下步驟:於1422,移除第二磊晶N型氮化鎵層的部分及磊晶P型氮化鎵層的部分以暴露第一磊晶N型氮化鎵層的部分。方法1400進一步包括以下步驟:於1424,在磊晶P型氮化鎵層的剩餘部分上形成陽極接點;以及於1426,在第一磊晶N型氮化鎵層的暴露的部分上形成陰極接點。According to some embodiments, the method 1400 further includes the following steps: at 1422, removing part of the second epitaxial N-type gallium nitride layer and part of the epitaxial P-type gallium nitride layer to expose the first epitaxial N-type gallium nitride layer Part of the gallium layer. The method 1400 further includes the following steps: at 1424, forming an anode contact on the remaining part of the epitaxial P-type gallium nitride layer; and at 1426, forming a cathode on the exposed part of the first epitaxial N-type gallium nitride layer contact.

應當理解的是,第14圖中說明的特定步驟提供根據本發明另一實施例之一種製造工程基板的特別方法。根據替代實施例,亦可執行其他步驟順序。舉例而言,本發明的替代實施例可依不同於前述概述的順序來執行步驟。此外,第14圖中說明的個別步驟可包括多重子步驟,該等子步驟可依適於個別步驟之各種順序來執行。另外,取決於特別應用,可增加或移除額外的步驟。所屬技術領域中具有通常知識者將理解許多變化、修飾及選擇。It should be understood that the specific steps illustrated in Figure 14 provide a specific method for manufacturing an engineering substrate according to another embodiment of the present invention. According to alternative embodiments, other sequence of steps can also be performed. For example, alternative embodiments of the present invention may perform the steps in a different order than the foregoing outline. In addition, the individual steps illustrated in FIG. 14 may include multiple sub-steps, and the sub-steps may be executed in various sequences suitable for the individual steps. In addition, depending on the particular application, additional steps can be added or removed. Those with ordinary knowledge in the technical field will understand many changes, modifications and choices.

第15圖為一簡化概要截面圖,說明根據本發明另一實施例之形成在工程基板上的垂直半導體二極體1500。垂直半導體二極體可包括耦合至陰極接點1570 (其可包括Ti/Al材料)的第一N型氮化鎵層1542、耦合至第一N型氮化鎵層1542的第二N型氮化鎵層1544,及耦合至第二N型氮化鎵層1544的P型氮化鎵層1546。第一N型氮化鎵層可作為P-N二極體的N區域且可具有相對高的N型摻雜濃度。第二N型氮化鎵層1544可作為漂移區且可具有與第一N型氮化鎵層1542的摻雜濃度相較為相對低的摻雜濃度。P型氮化鎵層1546可作為P-N二極體的P區域且可具有相對高的P型摻雜濃度。於一些實施例中,可使用磊晶層來生長第一N型氮化鎵層1542、P型氮化鎵層1546,及第二N型氮化鎵層1544。可如前述參照第1、3及4圖所描述般在工程基板上生長磊晶層。磊晶層的厚度可為至少10 µm及直徑為6吋。FIG. 15 is a simplified schematic cross-sectional view illustrating a vertical semiconductor diode 1500 formed on an engineering substrate according to another embodiment of the present invention. The vertical semiconductor diode may include a first N-type gallium nitride layer 1542 coupled to the cathode contact 1570 (which may include a Ti/Al material) and a second N-type nitride layer 1542 coupled to the first N-type gallium nitride layer 1542. The gallium nitride layer 1544 and the P-type gallium nitride layer 1546 coupled to the second N-type gallium nitride layer 1544. The first N-type gallium nitride layer can be used as the N region of the P-N diode and can have a relatively high N-type doping concentration. The second N-type gallium nitride layer 1544 may serve as a drift region and may have a relatively low doping concentration compared with the doping concentration of the first N-type gallium nitride layer 1542. The P-type gallium nitride layer 1546 can be used as the P region of the P-N diode and can have a relatively high P-type doping concentration. In some embodiments, an epitaxial layer may be used to grow the first N-type gallium nitride layer 1542, the P-type gallium nitride layer 1546, and the second N-type gallium nitride layer 1544. The epitaxial layer can be grown on the engineering substrate as described above with reference to FIGS. 1, 3, and 4. The thickness of the epitaxial layer can be at least 10 µm and a diameter of 6 inches.

垂直半導體二極體1500類似半導體二極體1300,除了基板1310,緩衝層1320,及半絕緣層1330在形成P-N二極體之後被移除,產生「真的」垂直元件結構,在晶圓的相對側具有陽極1560和陰極1584。在替代性實施例中,部分的基板1310、緩衝層1320,及半絕緣層1330形成接觸窗。接觸窗可用以產生在晶圓的相對側具有陽極1560和陰極1584的垂直元件結構。The vertical semiconductor diode 1500 is similar to the semiconductor diode 1300, except that the substrate 1310, the buffer layer 1320, and the semi-insulating layer 1330 are removed after the PN diode is formed, resulting in a "true" vertical device structure. The opposite side has an anode 1560 and a cathode 1584. In an alternative embodiment, part of the substrate 1310, the buffer layer 1320, and the semi-insulating layer 1330 form contact windows. The contact window can be used to create a vertical element structure with anode 1560 and cathode 1584 on opposite sides of the wafer.

於一些實施例中,藉由自第13圖中說明的結構移除工程基板1310、緩衝層1320,及半絕緣層1330,可降低半導體二極體1500的熱阻。於一些實施例中,垂直半導體二極體1500可轉移至銅,其可作為陰極電接點。經鍍覆的銅亦可作為垂直半導體二極體1500的散熱槽。銅的厚度可為30 µm且與第一N型氮化鎵層1542、第二N型氮化鎵層1544,及P型氮化鎵層1546組合的厚度可小於或等於150 µm。於此實施例中,垂直半導體二極體的熱阻可為小於或等於0.2 K*mm2 /W。於此實施例中,熱阻可小於在氮化鎵基板上使用磊晶氮化鎵層形成的二極體的4倍。In some embodiments, by removing the engineering substrate 1310, the buffer layer 1320, and the semi-insulating layer 1330 from the structure illustrated in FIG. 13, the thermal resistance of the semiconductor diode 1500 can be reduced. In some embodiments, the vertical semiconductor diode 1500 can be transferred to copper, which can serve as a cathode electrical contact. The plated copper can also be used as a heat sink for the vertical semiconductor diode 1500. The thickness of copper may be 30 µm and the thickness combined with the first N-type gallium nitride layer 1542, the second N-type gallium nitride layer 1544, and the P-type gallium nitride layer 1546 may be less than or equal to 150 µm. In this embodiment, the thermal resistance of the vertical semiconductor diode may be less than or equal to 0.2 K*mm 2 /W. In this embodiment, the thermal resistance can be less than 4 times that of a diode formed by using an epitaxial gallium nitride layer on a gallium nitride substrate.

於其他實施例中,可形成沉積的金剛石層以提供電連結至第一N型氮化鎵層1542,以改進熱阻,及/或提供陰極電接點1584。可使用化學氣相沉積來形成沉積的金剛石層。沉積的金剛石層可經摻雜以形成N型金剛石層。沉積的金剛石層可為電力元件的散熱槽。於一些實施例中,沉積的金剛石層的厚度可為20 µm - 50 µm。應當理解的是,可使用材料的組合來形成陰極電接點,包括銅及沉積的金剛石層。In other embodiments, a deposited diamond layer may be formed to provide electrical connection to the first N-type gallium nitride layer 1542, to improve thermal resistance, and/or to provide a cathode electrical contact 1584. Chemical vapor deposition can be used to form the deposited diamond layer. The deposited diamond layer may be doped to form an N-type diamond layer. The deposited diamond layer can be a heat sink for power components. In some embodiments, the thickness of the deposited diamond layer may be 20 µm-50 µm. It should be understood that a combination of materials can be used to form the cathode electrical contacts, including copper and deposited diamond layers.

於一些構型中,相較於自基板的界面進一步生長的磊晶層,相鄰基板的磊晶層具有較高的缺陷率。缺陷可包括,例如雜質、結晶失配,及錯位。在該等初始層中的缺陷可導致高百分比的元件抗性。第13圖中說明的工程基板1310的獨特CTE相配性質允許相鄰工程基板1310的第一N型氮化鎵層1542較生長於習知基板上的磊晶層為厚。於一些實施例中,除了移除工程基板1310外,亦可移除相鄰工程基板1310之第一N型氮化鎵層1542的層。於一些實施例中,在基板及初始之較高缺陷的磊晶層經移除之後,可直接在高品質氮化鎵磊晶層上形成陰極電接點1584。In some configurations, the epitaxial layer of the adjacent substrate has a higher defect rate than the epitaxial layer further grown from the interface of the substrate. Defects can include, for example, impurities, crystal mismatch, and dislocation. Defects in these initial layers can result in a high percentage of device resistance. The unique CTE matching property of the engineering substrate 1310 illustrated in Figure 13 allows the first N-type gallium nitride layer 1542 of the adjacent engineering substrate 1310 to be thicker than the epitaxial layer grown on the conventional substrate. In some embodiments, in addition to removing the engineering substrate 1310, the first N-type gallium nitride layer 1542 of the adjacent engineering substrate 1310 can also be removed. In some embodiments, after the substrate and the initial higher defect epitaxial layer are removed, the cathode electrical contact 1584 can be directly formed on the high-quality gallium nitride epitaxial layer.

雖然移除工程基板添加了額外的製程步驟,但因電力-操作接點形成於晶圓的兩個不同側,其可減輕金屬化,改善電流傳播和排熱,以及降低電阻。於一些實施例中,為提供低電阻,第一N型氮化鎵層1542的摻質濃度可為3 x 1018 cm-3 至5 x 1018 cm-3 等級。於一些實施例中,電阻可為小於或等於0.1 Ohm*mm2 。並且,針對第13圖中說明的垂直半導體二極體1300,陽極接點1360不可太靠近相鄰陰極接點1370的側壁,因為否則在陽極接點1360和陰極接點1370之間可能有崩潰。垂直半導體二極體1500消除了此考量。Although removing the engineering substrate adds an additional process step, since the power-operating contacts are formed on two different sides of the wafer, it can reduce metallization, improve current propagation and heat dissipation, and reduce electrical resistance. In some embodiments, in order to provide low resistance, the dopant concentration of the first N-type gallium nitride layer 1542 may be on the order of 3 x 10 18 cm -3 to 5 x 10 18 cm -3 . In some embodiments, the resistance may be less than or equal to 0.1 Ohm*mm 2 . Moreover, for the vertical semiconductor diode 1300 illustrated in FIG. 13, the anode contact 1360 should not be too close to the sidewall of the adjacent cathode contact 1370, because otherwise there may be a breakdown between the anode contact 1360 and the cathode contact 1370. The vertical semiconductor diode 1500 eliminates this consideration.

第16圖為一簡化流程圖,說明根據本發明一實施例之製造工程基板上之垂直半導體二極體的方法1600。方法1600包括以下步驟:於1610,藉由以下步驟形成基板:提供多晶陶瓷芯;以第一黏著殼包封多晶陶瓷芯;以阻障層包封第一黏著殼;在阻障層上形成接合層;以及將實質上單晶層結合至接合層。FIG. 16 is a simplified flowchart illustrating a method 1600 for manufacturing a vertical semiconductor diode on an engineering substrate according to an embodiment of the present invention. The method 1600 includes the following steps: at 1610, a substrate is formed by the following steps: providing a polycrystalline ceramic core; encapsulating the polycrystalline ceramic core with a first adhesive shell; encapsulating the first adhesive shell with a barrier layer; on the barrier layer Forming a bonding layer; and bonding the substantially single crystal layer to the bonding layer.

方法1600進一步包括以下步驟:於1612,在單晶矽層上形成緩衝層;以及於1614,在緩衝層上形成半絕緣層。方法1600進一步包括以下步驟:於1616,在半絕緣層上形成第一磊晶N型氮化鎵層;於1618,在第一磊晶N型氮化鎵層上形成第二磊晶N型氮化鎵層;以及於1620,在第二磊晶N型氮化鎵層上形成磊晶P型氮化鎵層。根據一些實施例,第一N型氮化鎵層具有第一摻雜濃度。第二磊晶N型氮化鎵層具有小於第一摻雜濃度的第二摻雜濃度。The method 1600 further includes the following steps: at 1612, a buffer layer is formed on the single crystal silicon layer; and at 1614, a semi-insulating layer is formed on the buffer layer. The method 1600 further includes the following steps: at 1616, forming a first epitaxial N-type gallium nitride layer on the semi-insulating layer; at 1618, forming a second epitaxial N-type gallium nitride layer on the first epitaxial N-type gallium nitride layer And at 1620, an epitaxial P-type gallium nitride layer is formed on the second epitaxial N-type gallium nitride layer. According to some embodiments, the first N-type gallium nitride layer has a first doping concentration. The second epitaxial N-type gallium nitride layer has a second doping concentration less than the first doping concentration.

根據一些實施例,方法1600進一步包括以下步驟:於1622,移除基板、緩衝層,及半絕緣層以暴露第一N型氮化鎵層的底表面。於一些實施例中,第一N型氮化鎵層的初始層可被移除。數種技術可用以移除工程基板、緩衝層,及半絕緣層。舉例而言,可將諸如氫氟酸(HF)的化學物質注入維持垂直半導體二極體之晶圓的側邊以蝕刻掉緩衝層和半絕緣層的一或多者,而陶瓷芯和垂直半導體二極體磊晶堆疊維持完整。蝕刻掉緩衝層和半絕緣層的一或多者使垂直半導體二極體磊晶堆疊與剩餘的工程基板分離,而保留陶瓷芯以供再利用。藉由消除研磨製程,此化學剝離製程亦降低垂直半導體二極體磊晶堆疊上的整體應力。若使用氮化鎵基板,基板不可被選擇性移除。此外,氮化鎵基板包括會影響生長於其上之磊晶層品質的缺陷,諸如面翻轉、殘餘應力、易碎性及誤切平面。在一些實施例中,於使用氮化鎵基板時,75%的抗性可能來自基板中的缺陷。本發明之移除基板以暴露磊晶層以供接點形成的實施例可因而降低電阻及熱阻。According to some embodiments, the method 1600 further includes the following steps: at 1622, removing the substrate, the buffer layer, and the semi-insulating layer to expose the bottom surface of the first N-type gallium nitride layer. In some embodiments, the initial layer of the first N-type gallium nitride layer may be removed. Several techniques can be used to remove engineered substrates, buffer layers, and semi-insulating layers. For example, a chemical substance such as hydrofluoric acid (HF) can be injected into the side of the wafer that maintains the vertical semiconductor diode to etch away one or more of the buffer layer and the semi-insulating layer, and the ceramic core and the vertical semiconductor The diode epitaxial stack remains intact. Etching away one or more of the buffer layer and the semi-insulating layer separates the vertical semiconductor diode epitaxial stack from the remaining engineering substrate, while retaining the ceramic core for reuse. By eliminating the polishing process, this chemical lift-off process also reduces the overall stress on the vertical semiconductor diode epitaxial stack. If a gallium nitride substrate is used, the substrate cannot be selectively removed. In addition, the gallium nitride substrate includes defects that affect the quality of the epitaxial layer grown thereon, such as face flipping, residual stress, fragility, and miscutting planes. In some embodiments, when using a gallium nitride substrate, 75% of the resistance may come from defects in the substrate. The embodiment of the present invention in which the substrate is removed to expose the epitaxial layer for contact formation can thus reduce electrical resistance and thermal resistance.

於一些實施例中,可使用犧牲層於化學剝離製程。犧牲層可使用金屬,諸如當暴露至HF時易於溶解的鈦(Ti)。於一些實施例中,犧牲層可包含鈦(Ti)、釩(V)、鉻(Cr)、鉭(Ta)、鎢(W)、錸(Re)、氧化矽、氮化矽、氮氧化矽或彼等的組合中之一者。除了犧牲層外,可使用保護層。保護層可防止在磊晶GaN生長期間自犧牲層200至GaN磊晶層中之諸如Ti之材料的擴散。有關移除基板、緩衝層,及半絕緣層的另外描述係提供於美國申請案第15/288,506號中,該案針對所有目的以全文引用方式併入本文中。所描述之有關垂直半導體二極體的基板移除製程可用於本文所描述的任何元件。所屬技術領域中具有通常知識者將理解許多變化、修飾及選擇。In some embodiments, a sacrificial layer may be used in a chemical lift-off process. The sacrificial layer may use a metal, such as titanium (Ti), which is easily dissolved when exposed to HF. In some embodiments, the sacrificial layer may include titanium (Ti), vanadium (V), chromium (Cr), tantalum (Ta), tungsten (W), rhenium (Re), silicon oxide, silicon nitride, silicon oxynitride Or one of their combinations. In addition to the sacrificial layer, a protective layer can be used. The protective layer can prevent the diffusion of the material such as Ti from the sacrificial layer 200 to the GaN epitaxial layer during the growth of the epitaxial GaN. Additional descriptions regarding the removal of the substrate, the buffer layer, and the semi-insulating layer are provided in US Application No. 15/288,506, which is incorporated herein by reference in its entirety for all purposes. The described substrate removal process for vertical semiconductor diodes can be used for any of the components described herein. Those with ordinary knowledge in the technical field will understand many changes, modifications and choices.

方法進一步包括以下步驟:於1624,在磊晶P型氮化鎵層上形成陽極接點;以及於1626,在第一磊晶N型氮化鎵層的底表面上形成陰極接點。The method further includes the following steps: at 1624, an anode contact is formed on the epitaxial P-type gallium nitride layer; and at 1626, a cathode contact is formed on the bottom surface of the first epitaxial N-type gallium nitride layer.

應當理解的是,第16圖中說明的特定步驟提供根據本發明另一實施例之一種製造工程基板的特別方法。根據替代實施例,亦可執行其他步驟順序。舉例而言,本發明的替代實施例可依不同於前述概述的順序來執行步驟。此外,第16圖中說明的個別步驟可包括多重子步驟,該等子步驟可依適於個別步驟之各種順序來執行。另外,取決於特別應用,可增加或移除額外的步驟。所屬技術領域中具有通常知識者將理解許多變化、修飾及選擇。It should be understood that the specific steps illustrated in Figure 16 provide a specific method for manufacturing an engineering substrate according to another embodiment of the present invention. According to alternative embodiments, other sequence of steps can also be performed. For example, alternative embodiments of the present invention may perform the steps in a different order than the foregoing outline. In addition, the individual steps illustrated in FIG. 16 may include multiple sub-steps, and the sub-steps may be executed in various sequences suitable for the individual steps. In addition, depending on the particular application, additional steps can be added or removed. Those with ordinary knowledge in the technical field will understand many changes, modifications and choices.

可能在嚴苛的熱條件中操作電力元件。舉例而言,電力元件可經受高達數百攝氏度的熱循環。一些局部熱點可達至高250℃。熱循環和固有應力可能造成可靠度失效,諸如分層、介電質崩潰等等。因此,在特徵為CTE與電力元件的CTE實質上相配的工程基板上形成GaN元件層可消除或減輕此可靠度失效,因為GaN元件層可以與工程基板相同的速率膨脹及收縮。It is possible to operate electrical components in severe thermal conditions. For example, power components can withstand thermal cycles of up to hundreds of degrees Celsius. Some local hot spots can reach up to 250°C. Thermal cycling and inherent stress may cause reliability failures, such as delamination, dielectric breakdown, and so on. Therefore, forming a GaN device layer on an engineering substrate characterized by a CTE that substantially matches the CTE of the power device can eliminate or alleviate this reliability failure because the GaN device layer can expand and contract at the same rate as the engineering substrate.

第17圖為一簡化概要截面圖,說明根據本發明一實施例之形成在工程基板1710上的半導體元件1700。半導體元件1700包括基板1710。於一些實施例中,如前述參照第1、3及4圖所描述的,工程基板1710可包括多晶陶瓷芯、耦合至多晶陶瓷芯的第一黏著層、耦合至第一黏著層的阻障層、耦合至阻障層的接合層,以及耦合至接合層的實質上單晶層。根據一實施例,工程基板1710可進一步包括耦合至接合層的實質上單晶層。舉例而言,實質上單晶層可包含實質上單晶矽。FIG. 17 is a simplified schematic cross-sectional view illustrating a semiconductor device 1700 formed on an engineering substrate 1710 according to an embodiment of the present invention. The semiconductor element 1700 includes a substrate 1710. In some embodiments, as described above with reference to FIGS. 1, 3, and 4, the engineering substrate 1710 may include a polycrystalline ceramic core, a first adhesive layer coupled to the polycrystalline ceramic core, and a barrier coupled to the first adhesive layer. Layer, a bonding layer coupled to the barrier layer, and a substantially single crystal layer coupled to the bonding layer. According to an embodiment, the engineering substrate 1710 may further include a substantially single crystal layer coupled to the bonding layer. For example, the substantially single crystal layer may include substantially single crystal silicon.

於一實施例中,基板1710的多晶陶瓷芯包含氮化鋁。於一些實施例中,如前述參照第1圖所論述的,基板1710可進一步包括耦合至第一黏著層的導電層,以及耦合至導電層的第二黏著層,其中導電層和第二黏著層設置於第一黏著層和阻障層之間。於一些實施例中,第一黏著層可包含第一正矽酸乙酯(TEOS)氧化物層,以及第二黏著層可包含第二TEOS氧化物層。阻障層可包含氮化矽層。導電層可包含多晶矽層。In one embodiment, the polycrystalline ceramic core of the substrate 1710 includes aluminum nitride. In some embodiments, as discussed above with reference to FIG. 1, the substrate 1710 may further include a conductive layer coupled to the first adhesive layer, and a second adhesive layer coupled to the conductive layer, wherein the conductive layer and the second adhesive layer It is arranged between the first adhesive layer and the barrier layer. In some embodiments, the first adhesion layer may include a first TEOS oxide layer, and the second adhesion layer may include a second TEOS oxide layer. The barrier layer may include a silicon nitride layer. The conductive layer may include a polysilicon layer.

半導體元件1700包括形成在工程基板1710上的元件結構1720。根據一些實施例,元件結構1720可包括複數個生長在基板1710的實質上單晶層上之基於磊晶氮化鎵的層,其中複數個磊晶氮化鎵層的熱膨脹係數與基板1710的熱膨脹係數實質上相等。The semiconductor device 1700 includes a device structure 1720 formed on an engineering substrate 1710. According to some embodiments, the element structure 1720 may include a plurality of epitaxial gallium nitride-based layers grown on the substantially single crystal layer of the substrate 1710, wherein the thermal expansion coefficient of the plurality of epitaxial gallium nitride layers is the same as the thermal expansion of the substrate 1710 The coefficients are essentially equal.

亦理解的是本文所述的實例和實施例僅為說明用途,以及將向所屬技術領域中具有通常知識者建議有鑑於其的各樣修飾或改變,並且該等修飾或改變包括於本申請案及後附之申請專利範圍之範圍的精神與範圍中。It is also understood that the examples and embodiments described herein are for illustrative purposes only, and that various modifications or changes in view of them will be suggested to those with ordinary knowledge in the technical field, and such modifications or changes are included in this application. And the spirit and scope of the scope of patent application attached hereto.

100‧‧‧工程基板110‧‧‧芯112‧‧‧黏著層114‧‧‧導電層116‧‧‧黏著層118‧‧‧阻障層120‧‧‧工程層122‧‧‧單晶層130‧‧‧磊晶材料202‧‧‧深度204‧‧‧物種濃度206‧‧‧離子信號強度208‧‧‧線210‧‧‧鈣220‧‧‧釔230‧‧‧鋁240‧‧‧虛線300‧‧‧工程基板314‧‧‧導電層316‧‧‧黏著層400‧‧‧工程基板結構412‧‧‧黏著層414‧‧‧導電層416‧‧‧黏著層418‧‧‧阻障層500‧‧‧方法510、512、514、516、518、520、522‧‧‧(步驟)600‧‧‧方法610、612、614、616、618、620、622‧‧‧(步驟)700‧‧‧磊晶/工程基板結構710‧‧‧工程基板結構720‧‧‧磊晶單晶層800‧‧‧雙磊晶結構810‧‧‧工程基板結構820‧‧‧III-V族磊晶層822‧‧‧導電磊晶層824‧‧‧貫孔826‧‧‧貫孔828‧‧‧側向貫孔830‧‧‧雙磊晶結構840‧‧‧雙磊晶結構850‧‧‧雙磊晶結構860‧‧‧雙磊晶結構862‧‧‧邊緣900‧‧‧側向電力元件902‧‧‧貫孔910‧‧‧工程基板912‧‧‧實質上單晶層914‧‧‧成核層920‧‧‧緩衝層924‧‧‧第一端926‧‧‧第二端928‧‧‧中央部分930‧‧‧通道區域932‧‧‧阻障層934‧‧‧蓋層936‧‧‧二維電子氣薄層938‧‧‧通道940‧‧‧源極接點950‧‧‧汲極接點960‧‧‧閘極接點970‧‧‧鈍化層980‧‧‧金屬990‧‧‧第二金屬1000‧‧‧方法1010、1012、1014、1016、1018、1020‧‧‧(步驟)1100‧‧‧電力元件1102‧‧‧貫孔1110‧‧‧工程基板1112‧‧‧實質上單晶層1120‧‧‧緩衝層1124‧‧‧第一端1126‧‧‧第二端1128‧‧‧中央部分1130‧‧‧通道區域1132‧‧‧阻障層1134‧‧‧絕緣層1136‧‧‧凹部1138‧‧‧二維電子氣(2DEG)薄層1140‧‧‧源極接點1150‧‧‧汲極接點1160‧‧‧閘極接點1162‧‧‧P型氮化鎵結構1164‧‧‧閘極接點1170‧‧‧第一層1172‧‧‧第二層1174‧‧‧第三層1190‧‧‧電力元件1200‧‧‧方法1210、1212、1214、1216、1218、1220、1222、1224‧‧‧(步驟)1300‧‧‧半導體二極體1310‧‧‧工程基板1312‧‧‧實質上單晶層1320‧‧‧緩衝層1330‧‧‧半絕緣層1342‧‧‧N型氮化鎵層1344‧‧‧N型氮化鎵層1346‧‧‧P型氮化鎵層1360‧‧‧陽極接點1370‧‧‧陰極接點1382‧‧‧第一場板1384‧‧‧第二場板1390‧‧‧鈍化層1400‧‧‧方法1410、1412、1414、1416、1418、1420、1422、1424、1426‧‧‧(步驟)1500‧‧‧垂直半導體二極體1542‧‧‧N型氮化鎵層1544‧‧‧N型氮化鎵層1546‧‧‧P型氮化鎵層1560‧‧‧陽極1570‧‧‧陰極接點1584‧‧‧陰極1600‧‧‧方法1610、1612、1614、1616、1618、1620、1622、1624、1626‧‧‧(步驟)1700‧‧‧半導體元件1710‧‧‧工程基板1720‧‧‧元件結構100‧‧‧Engineering substrate 110‧‧‧Core 112‧‧‧Adhesive layer 114‧‧‧Conductive layer 116‧‧‧Adhesive layer 118‧‧‧Barrier layer 120‧‧‧Engineering layer 122‧‧‧Single crystal layer 130 ‧‧‧Epitaxial material 202‧‧‧Depth 204‧‧‧Species concentration 206‧‧‧Ion signal intensity 208‧‧‧Line 210‧‧‧Calcium 220‧‧‧Yttrium 230‧‧‧Aluminum 240‧‧‧Dotted line 300 ‧‧‧Engineering substrate 314‧‧‧Conductive layer 316‧‧‧Adhesive layer 400‧‧‧Engineering substrate structure 412‧‧‧Adhesive layer 414‧‧‧Conductive layer 416‧‧‧Adhesive layer 418‧‧‧Barrier layer 500 ‧‧‧Method 510, 512, 514, 516, 518, 520, 522‧‧‧ (step) 600‧‧‧ Method 610, 612, 614, 616, 618, 620, 622‧‧‧ (step) 700‧‧ ‧Epitaxial/engineering substrate structure 710‧‧‧Engineering substrate structure 720‧‧‧Epitaxial single crystal layer 800‧‧‧Double epitaxial structure 810‧‧‧Engineering substrate structure 820‧‧‧III-V epitaxial layer 822 ‧‧‧Conductive epitaxial layer 824‧‧‧Through hole 826‧‧‧Through hole 828‧‧‧Lateral through hole 830‧‧‧Double epitaxial structure 840‧‧‧Double epitaxial structure 850‧‧‧Double epitaxial Structure 860‧‧‧Double epitaxial structure 862‧‧‧Edge 900‧‧‧ Lateral power element 902‧‧‧Through hole 910‧‧‧Engineering substrate 912‧‧‧Essential single crystal layer 914‧‧‧ Nucleation layer 920‧‧‧Buffer layer 924‧‧‧First end 926‧‧‧Second end 928‧‧‧Central part 930‧‧‧Passage area 932‧‧‧Barrier layer 934‧‧‧Cover layer 936‧‧‧Two Dimensional electron gas thin layer 938‧‧‧channel 940‧‧‧source contact 950‧‧‧drain contact 960‧‧‧gate contact 970‧‧‧passivation layer 980‧‧‧metal 990‧‧‧ Bimetal 1000‧‧‧Methods 1010, 1012, 1014, 1016, 1018, 1020‧‧‧ (Steps) 1100‧‧‧Power components 1102‧‧‧Through hole 1110‧‧‧Engineering substrate 1112‧‧‧Essentially single crystal Layer 1120‧‧‧Buffer layer 1124‧‧‧First end 1126‧‧‧Second end 1128‧‧‧Central part 1130‧‧‧Passage area 1132‧‧‧Barrier layer 1134‧‧‧Insulation layer 1136‧‧‧ Recess 1138‧‧‧Two-dimensional electron gas (2DEG) thin layer 1140‧‧‧Source contact 1150‧‧‧Drain contact 1160‧‧‧Gate contact 1162‧‧‧P-type gallium nitride structure 1164‧ ‧‧Gate contact 1170‧‧‧First layer 1172‧‧‧Second layer 1174‧‧‧Third layer 1190‧‧‧Power components 1200‧‧‧Methods 1210, 1212, 1214, 1216, 1218, 1220, 1222, 12 24‧‧‧(Step) 1300‧‧‧Semiconductor diode 1310‧‧‧Engineering substrate 1312‧‧‧Essentially a single crystal layer 1320‧‧‧Buffer layer 1330‧‧‧Semi-insulating layer 1342‧‧‧N-type nitrogen Gallium layer 1344‧‧‧N-type gallium nitride layer 1346‧‧‧P-type gallium nitride layer 1360‧‧‧Anode contact 1370‧‧‧Cathode contact 1382‧‧‧First field plate 1384‧‧‧ Second field board 1390‧‧‧Passivation layer 1400‧‧‧Method 1410, 1412, 1414, 1416, 1418, 1420, 1422, 1424, 1426‧‧‧ (Step) 1500‧‧‧Vertical semiconductor diode 1542‧‧‧ N-type gallium nitride layer 1544‧‧‧N-type gallium nitride layer 1546‧‧‧P-type gallium nitride layer 1560‧‧‧Anode 1570‧‧‧Cathode contact 1584‧‧‧Cathode 1600‧‧‧Method 1610, 1612, 1614, 1616, 1618, 1620, 1622, 1624, 1626‧‧‧(Step)1700‧‧‧Semiconductor component 1710‧‧‧Engineering substrate 1720‧‧‧Component structure

第1圖為一簡化概要截面圖,說明根據本發明一實施例之工程基板結構。Figure 1 is a simplified schematic cross-sectional view illustrating an engineering substrate structure according to an embodiment of the present invention.

第2A圖為一SIMS數據圖,說明根據本發明一實施例之用於工程結構之作為深度函數的物種濃度。Figure 2A is a SIMS data diagram illustrating the species concentration as a function of depth for an engineering structure according to an embodiment of the present invention.

第2B圖為一SIMS數據圖,說明根據本發明一實施例之用於退火後工程結構之作為深度函數的物種濃度。Figure 2B is a SIMS data diagram illustrating the species concentration as a function of depth for an engineered structure after annealing according to an embodiment of the present invention.

第2C圖為一SIMS數據圖,說明根據本發明一實施例之用於退火後具有氮化矽層的工程結構之作為深度函數的物種濃度。Figure 2C is a SIMS data diagram illustrating the species concentration as a function of depth for an engineered structure with a silicon nitride layer after annealing according to an embodiment of the present invention.

第3圖為一簡化概要截面圖,說明根據本發明另一實施例之工程基板結構。Figure 3 is a simplified schematic cross-sectional view illustrating an engineering substrate structure according to another embodiment of the present invention.

第4圖為一簡化概要截面圖,說明根據本發明又一實施例之工程基板結構。Figure 4 is a simplified schematic cross-sectional view illustrating an engineering substrate structure according to another embodiment of the present invention.

第5圖為一簡化流程圖,說明根據本發明一實施例之製造工程基板的方法。FIG. 5 is a simplified flowchart illustrating a method of manufacturing an engineering substrate according to an embodiment of the present invention.

第6圖為一簡化流程圖,說明根據本發明另一實施例之製造工程基板的方法。FIG. 6 is a simplified flowchart illustrating a method of manufacturing an engineering substrate according to another embodiment of the present invention.

第7圖為一簡化概要截面圖,說明根據本發明一實施例之用於RF和電力應用的磊晶/工程基板結構。Figure 7 is a simplified schematic cross-sectional view illustrating an epitaxial/engineered substrate structure for RF and power applications according to an embodiment of the present invention.

第8A圖為一簡化概要圖,說明根據本發明一實施例之在工程基板結構上的III-V族磊晶層。FIG. 8A is a simplified schematic diagram illustrating a III-V epitaxial layer on an engineering substrate structure according to an embodiment of the present invention.

第8B圖為一簡化概要平面圖,說明根據本發明另一實施例之供形成在工程基板上之半導體元件的貫孔構形。FIG. 8B is a simplified schematic plan view illustrating a through hole configuration of a semiconductor device formed on an engineering substrate according to another embodiment of the present invention.

第9圖為一簡化概要截面圖,說明根據本發明一實施例之形成在工程基板上的側向電力元件。Figure 9 is a simplified schematic cross-sectional view illustrating a lateral power component formed on an engineering substrate according to an embodiment of the present invention.

第10圖為一簡化流程圖,說明根據本發明一實施例之製造工程基板上之側向電力元件的方法。FIG. 10 is a simplified flowchart illustrating a method of manufacturing a lateral power component on an engineering substrate according to an embodiment of the present invention.

第11A圖為一簡化概要截面圖,說明根據本發明另一實施例之形成在工程基板上的側向電力元件。Figure 11A is a simplified schematic cross-sectional view illustrating a lateral power element formed on an engineering substrate according to another embodiment of the present invention.

第11B圖為一簡化概要截面圖,說明根據本發明另一實施例之形成在工程基板上的側向電力元件。Figure 11B is a simplified schematic cross-sectional view illustrating a lateral power element formed on an engineering substrate according to another embodiment of the present invention.

第11C圖為一簡化概要截面圖,說明根據本發明一實施例之P型氮化鎵結構的分解圖。FIG. 11C is a simplified schematic cross-sectional view illustrating an exploded view of a P-type gallium nitride structure according to an embodiment of the present invention.

第12圖為一簡化流程圖,說明根據本發明另一實施例之製造工程基板上之側向電力元件的方法。FIG. 12 is a simplified flowchart illustrating a method of manufacturing a lateral power component on an engineering substrate according to another embodiment of the present invention.

第13圖為一簡化概要截面圖,說明根據本發明一實施例之形成在工程基板上的垂直半導體二極體。Figure 13 is a simplified schematic cross-sectional view illustrating a vertical semiconductor diode formed on an engineering substrate according to an embodiment of the present invention.

第14圖為一簡化流程圖,說明根據本發明另一實施例之製造工程基板上之垂直半導體二極體的方法。FIG. 14 is a simplified flowchart illustrating a method of manufacturing a vertical semiconductor diode on an engineering substrate according to another embodiment of the present invention.

第15圖為一簡化概要截面圖,說明根據本發明另一實施例之形成在工程基板上的垂直半導體二極體。Figure 15 is a simplified schematic cross-sectional view illustrating a vertical semiconductor diode formed on an engineering substrate according to another embodiment of the present invention.

第16圖為一簡化流程圖,說明根據本發明另一實施例之製造工程基板上之垂直半導體二極體的方法。FIG. 16 is a simplified flowchart illustrating a method of manufacturing a vertical semiconductor diode on an engineering substrate according to another embodiment of the present invention.

第17圖為一簡化概要截面圖,說明根據本發明一實施例之形成在工程基板上的半導體元件。Figure 17 is a simplified schematic cross-sectional view illustrating a semiconductor device formed on an engineering substrate according to an embodiment of the present invention.

國內寄存資訊 (請依寄存機構、日期、號碼順序註記) 無Domestic hosting information (please note in the order of hosting organization, date, and number) None

國外寄存資訊 (請依寄存國家、機構、日期、號碼順序註記) 無Foreign hosting information (please note in the order of hosting country, institution, date, and number) None

900‧‧‧側向電力元件 900‧‧‧Side power element

902‧‧‧貫孔 902‧‧‧Through hole

910‧‧‧工程基板 910‧‧‧Engineering substrate

912‧‧‧實質上單晶層 912‧‧‧essentially single crystal layer

914‧‧‧成核層 914‧‧‧nucleation layer

920‧‧‧緩衝層 920‧‧‧Buffer layer

924‧‧‧第一端 924‧‧‧First end

926‧‧‧第二端 926‧‧‧Second end

928‧‧‧中央部分 928‧‧‧Central part

930‧‧‧通道區域 930‧‧‧Access area

932‧‧‧阻障層 932‧‧‧Barrier layer

934‧‧‧蓋層 934‧‧‧Cover

936‧‧‧二維電子氣薄層 936‧‧‧Two-dimensional electron gas thin layer

938‧‧‧通道 938‧‧‧Channel

940‧‧‧源極接點 940‧‧‧source contact

950‧‧‧汲極接點 950‧‧‧Dip pole contact

960‧‧‧閘極接點 960‧‧‧Gate contact

970‧‧‧鈍化層 970‧‧‧Passivation layer

980‧‧‧金屬 980‧‧‧Metal

990‧‧‧第二金屬 990‧‧‧Second Metal

Claims (40)

一種電力元件,該電力元件包含: 一基板,該基板包含:一多晶陶瓷芯;耦合至該多晶陶瓷芯的一第一黏著層;耦合至該第一黏著層的一阻障層;耦合至該阻障層的一接合層;以及耦合至該接合層的一實質上單晶層;耦合至該實質上單晶層的一緩衝層;耦合至該緩衝層的一通道區域,其中該通道區域包含一第一端、一第二端,以及設置在該第一端和該第二端之間的一中央部分,該通道區域包含耦合至該緩衝層的一通道區域阻障層;設置在該通道區域的該第一端的一源極接點;設置在該通道區域的該第二端的一汲極接點;以及耦合至該通道區域的一閘極接點。A power element, the power element comprising: a substrate comprising: a polycrystalline ceramic core; a first adhesive layer coupled to the polycrystalline ceramic core; a barrier layer coupled to the first adhesive layer; coupling A bonding layer to the barrier layer; and a substantially single crystal layer coupled to the bonding layer; a buffer layer coupled to the substantially single crystal layer; a channel region coupled to the buffer layer, wherein the channel The region includes a first end, a second end, and a central portion disposed between the first end and the second end, the channel region includes a barrier layer of a channel region coupled to the buffer layer; A source contact at the first end of the channel region; a drain contact at the second end of the channel region; and a gate contact coupled to the channel region. 如請求項1所述之電力元件,進一步包含: 耦合至該通道區域阻障層的一蓋層;以及耦合至該蓋層且設置在該通道區域的該中央部分中的該閘極接點。The power device according to claim 1, further comprising: a cap layer coupled to the barrier layer of the channel region; and the gate contact coupled to the cap layer and disposed in the central portion of the channel region. 如請求項1所述之電力元件,進一步包含: 耦合至通道區域阻障層的一絕緣層,其中該閘極接點係耦合至該絕緣層;以及 該通道區域的該中央部分中的一凹部,其中該絕緣層及該閘極接點係設置於該凹部中。The power device according to claim 1, further comprising: an insulating layer coupled to the barrier layer of the channel region, wherein the gate contact is coupled to the insulating layer; and a recess in the central portion of the channel region , Wherein the insulating layer and the gate contact are arranged in the recess. 如請求項1所述之電力元件,進一步包含:耦合至該通道區域阻障層的一磊晶閘極結構,其中該閘極接點係耦合至該磊晶閘極結構且設置在該通道區域的該中央部分中。The power device according to claim 1, further comprising: an epitaxial gate structure coupled to the barrier layer of the channel region, wherein the gate contact is coupled to the epitaxial gate structure and disposed in the channel region Of that central part. 如請求項4所述之電力元件,其中該磊晶閘極結構包括一P型氮化鎵磊晶層。The power device according to claim 4, wherein the epitaxial gate structure includes a P-type gallium nitride epitaxial layer. 如請求項4所述之電力元件,其中該磊晶閘極結構包括複數個磊晶層,其中該複數個磊晶層的各層與一層特定摻質濃度相關。The power device according to claim 4, wherein the epitaxial gate structure includes a plurality of epitaxial layers, wherein each layer of the plurality of epitaxial layers is related to a layer of a specific dopant concentration. 如請求項1所述之電力元件,其中該緩衝層及該通道區域阻障層之特徵在於與該基板的一熱膨脹係數(CTE)實質上相等的一CTE。The power device according to claim 1, wherein the buffer layer and the channel region barrier layer are characterized by a CTE that is substantially equal to a coefficient of thermal expansion (CTE) of the substrate. 如請求項1所述之電力元件,進一步包含:耦合至該實質上單晶層的一導電磊晶層。The power device according to claim 1, further comprising: a conductive epitaxial layer coupled to the substantially single crystal layer. 如請求項8所述之電力元件,進一步包含:一貫孔,該貫孔連結於該源極接點及該導電磊晶層和該實質上單晶層的至少一者之間。The power device according to claim 8, further comprising: a through hole connected between the source contact and at least one of the conductive epitaxial layer and the substantially single crystal layer. 如請求項8所述之電力元件,進一步包含:耦合至該導電磊晶層的一背側接點,其中該基板自該電力元件移除。The power device according to claim 8, further comprising: a backside contact coupled to the conductive epitaxial layer, wherein the substrate is removed from the power device. 如請求項1所述之電力元件,其中該緩衝層由磊晶生長形成。The power device according to claim 1, wherein the buffer layer is formed by epitaxial growth. 如請求項11所述之電力元件,其中該緩衝層的一厚度大於約20微米(µm)。The power device according to claim 11, wherein a thickness of the buffer layer is greater than about 20 micrometers (µm). 如請求項1所述之電力元件,其中該基板進一步包含耦合至該實質上單晶層的一成核層。The power device according to claim 1, wherein the substrate further includes a nucleation layer coupled to the substantially single crystal layer. 一種形成一電力元件的方法,該方法包含以下步驟: 藉由以下步驟形成一基板: 提供一多晶陶瓷芯; 以一第一黏著殼包封該多晶陶瓷芯; 以一阻障層包封該第一黏著殼; 在該阻障層上形成一接合層;以及 將一實質上單晶層結合至該接合層; 在該實質上單晶層上形成一緩衝層; 藉由以下步驟在該緩衝層上形成一通道區域: 在該緩衝層上形成一磊晶通道區域阻障層;其中該通道區域具有一第一端及一第二端,以及在該第一端和該第二端之間的一中央部分; 在該通道區域的該第一端形成一源極接點; 在該通道區域的該第二端形成一汲極接點;以及 在該通道區域上形成一閘極接點。A method of forming a power element, the method comprising the following steps: forming a substrate by the following steps: providing a polycrystalline ceramic core; encapsulating the polycrystalline ceramic core with a first adhesive shell; encapsulating with a barrier layer The first adhesive shell; forming a bonding layer on the barrier layer; and bonding a substantially single crystal layer to the bonding layer; forming a buffer layer on the substantially single crystal layer; A channel region is formed on the buffer layer: an epitaxial channel region barrier layer is formed on the buffer layer; wherein the channel region has a first end and a second end, and between the first end and the second end Forming a source contact at the first end of the channel region; forming a drain contact at the second end of the channel region; and forming a gate contact on the channel region . 如請求項14所述之方法,進一步包含以下步驟: 在該磊晶通道區域阻障層上形成一蓋層;以及 在該通道區域的該中央部分中的該蓋層上形成該閘極接點。The method according to claim 14, further comprising the steps of: forming a cap layer on the barrier layer of the epitaxial channel region; and forming the gate contact on the cap layer in the central portion of the channel region . 如請求項14所述之方法,進一步包含以下步驟: 在該磊晶通道區域阻障層中形成一凹部; 在該凹部中形成一絕緣層,該絕緣層耦合至該磊晶通道區域阻障層;以及 在該通道區域的該中央部分的該凹部中的該絕緣層上形成該閘極接點。The method according to claim 14, further comprising the steps of: forming a recess in the barrier layer of the epitaxial channel region; forming an insulating layer in the recess, the insulating layer being coupled to the barrier layer of the epitaxial channel region And forming the gate contact on the insulating layer in the recess in the central portion of the channel region. 如請求項14所述之方法,進一步包含以下步驟: 在該通道區域的該中央部分中形成耦合至該磊晶通道區域阻障層的一磊晶閘極結構;以及 在該通道區域的該中央部分中的該磊晶閘極結構上形成該閘極接點。The method according to claim 14, further comprising the steps of: forming an epitaxial gate structure coupled to the barrier layer of the epitaxial channel region in the central portion of the channel region; and in the center of the channel region The gate contact is formed on the epitaxial gate structure in the part. 如請求項17所述之方法,其中該磊晶閘極結構包括一P型氮化鎵磊晶層。The method according to claim 17, wherein the epitaxial gate structure includes a P-type gallium nitride epitaxial layer. 如請求項17所述之方法,其中形成該磊晶閘極結構的步驟包括以下步驟:形成複數個磊晶層,其中該複數個磊晶層的各層與一層特定摻質濃度相關。The method according to claim 17, wherein the step of forming the epitaxial gate structure includes the following steps: forming a plurality of epitaxial layers, wherein each layer of the plurality of epitaxial layers is related to a layer of a specific dopant concentration. 如請求項14所述之方法,其中該緩衝層及該磊晶通道區域阻障層之特徵在於與該基板的一熱膨脹係數(CTE)實質上相等的一CTE。The method according to claim 14, wherein the buffer layer and the epitaxial channel region barrier layer are characterized by a CTE that is substantially equal to a coefficient of thermal expansion (CTE) of the substrate. 一種半導體二極體,該半導體二極體包含: 一基板,該基板包含: 一多晶陶瓷芯; 耦合至該多晶陶瓷芯的一第一黏著層; 耦合至該第一黏著層的一阻障層; 耦合至該阻障層的一接合層;以及 耦合至該接合層的一實質上單晶層; 耦合至該實質上單晶層的一緩衝層; 耦合至該緩衝層的一半絕緣層; 耦合至該半絕緣層的一第一N型氮化鎵層,該第一N型氮化鎵層具有一第一摻雜濃度; 耦合至該第一N型氮化鎵層的一第二N型氮化鎵層,該第二N型氮化鎵層具有小於該第一摻雜濃度的一第二摻雜濃度; 耦合至該第二N型氮化鎵層的一P型氮化鎵層; 耦合至該P型氮化鎵層的一陽極接點;以及 耦合至該第一N型氮化鎵層的一部分的一陰極接點。A semiconductor diode comprising: a substrate comprising: a polycrystalline ceramic core; a first adhesive layer coupled to the polycrystalline ceramic core; a resistor coupled to the first adhesive layer Barrier layer; a bonding layer coupled to the barrier layer; and a substantially single crystal layer coupled to the bonding layer; a buffer layer coupled to the substantially single crystal layer; a half insulating layer coupled to the buffer layer ; A first N-type gallium nitride layer coupled to the semi-insulating layer, the first N-type gallium nitride layer having a first doping concentration; a second N-type gallium nitride layer coupled to the first N-type gallium nitride layer N-type gallium nitride layer, the second N-type gallium nitride layer having a second doping concentration less than the first doping concentration; a P-type gallium nitride coupled to the second N-type gallium nitride layer Layer; an anode contact coupled to the P-type gallium nitride layer; and a cathode contact coupled to a portion of the first N-type gallium nitride layer. 如請求項21所述之半導體二極體,其中該緩衝層包含: 耦合至該實質上單晶層的一氮化鋁層; 耦合至該氮化鋁層的一氮化鋁鎵層;以及 耦合至該氮化鋁鎵層的一氮化鎵層。The semiconductor diode of claim 21, wherein the buffer layer comprises: an aluminum nitride layer coupled to the substantially single crystal layer; an aluminum gallium nitride layer coupled to the aluminum nitride layer; and coupling A gallium nitride layer to the aluminum gallium nitride layer. 如請求項21所述之半導體二極體,其中該半絕緣層包含氮化鎵。The semiconductor diode according to claim 21, wherein the semi-insulating layer includes gallium nitride. 如請求項21所述之半導體二極體,其中該基板進一步包含: 耦合至該第一黏著層的一導電層;以及 耦合至該導電層的一第二黏著層,其中該導電層及該第二黏著層設置在該第一黏著層和該阻障層之間。The semiconductor diode of claim 21, wherein the substrate further comprises: a conductive layer coupled to the first adhesive layer; and a second adhesive layer coupled to the conductive layer, wherein the conductive layer and the first adhesive layer Two adhesive layers are arranged between the first adhesive layer and the barrier layer. 如請求項21所述之半導體二極體,其中該第一N型氮化鎵層、該第二N型氮化鎵層,及該P型氮化鎵層係由磊晶生長形成。The semiconductor diode according to claim 21, wherein the first N-type gallium nitride layer, the second N-type gallium nitride layer, and the P-type gallium nitride layer are formed by epitaxial growth. 如請求項25所述之半導體二極體,其中該第二N型氮化鎵層之一厚度大於約20 μm。The semiconductor diode according to claim 25, wherein one of the second N-type gallium nitride layers has a thickness greater than about 20 μm. 如請求項25所述之半導體二極體,其中該第一N型氮化鎵層、該第二N型氮化鎵層,及該P型氮化鎵層之特徵在於與該基板的一熱膨脹係數(CTE)實質上相等的一CTE。The semiconductor diode according to claim 25, wherein the first N-type gallium nitride layer, the second N-type gallium nitride layer, and the P-type gallium nitride layer are characterized by a thermal expansion with the substrate The coefficient (CTE) is substantially equal to a CTE. 一種形成一半導體二極體的方法,該方法包含以下步驟: 藉由以下步驟形成一基板: 提供一多晶陶瓷芯; 以一第一黏著殼包封該多晶陶瓷芯; 以一阻障層包封該第一黏著殼; 在該阻障層上形成一接合層;以及 將一實質上單晶層結合至該接合層; 在該實質上單晶層上形成一緩衝層; 在該緩衝層上形成一半絕緣層; 在該半絕緣層上形成一第一磊晶N型氮化鎵層,該第一磊晶N型氮化鎵層具有一第一摻雜濃度; 在該第一磊晶N型氮化鎵層上形成一第二磊晶N型氮化鎵層,該第二磊晶N型氮化鎵層具有小於該第一摻雜濃度的一第二摻雜濃度; 在該第二磊晶N型氮化鎵層上形成一磊晶P型氮化鎵層; 移除該第二磊晶N型氮化鎵層的一部分及該磊晶P型氮化鎵層的一部分以暴露該第一磊晶N型氮化鎵層的一部分; 在該磊晶P型氮化鎵層的一剩餘部分上形成一陽極接點;以及 在該第一磊晶N型氮化鎵層的暴露的部分上形成一陰極接點。A method of forming a semiconductor diode, the method comprising the following steps: forming a substrate by the following steps: providing a polycrystalline ceramic core; encapsulating the polycrystalline ceramic core with a first adhesive shell; using a barrier layer Encapsulating the first adhesive shell; forming a bonding layer on the barrier layer; and bonding a substantially single crystal layer to the bonding layer; forming a buffer layer on the substantially single crystal layer; on the buffer layer A semi-insulating layer is formed on the semi-insulating layer; a first epitaxial N-type gallium nitride layer is formed on the semi-insulating layer, and the first epitaxial N-type gallium nitride layer has a first doping concentration; A second epitaxial N-type gallium nitride layer is formed on the N-type gallium nitride layer, and the second epitaxial N-type gallium nitride layer has a second doping concentration less than the first doping concentration; An epitaxial P-type gallium nitride layer is formed on the two epitaxial N-type gallium nitride layer; a part of the second epitaxial N-type gallium nitride layer and a part of the epitaxial P-type gallium nitride layer are removed to expose A portion of the first epitaxial N-type gallium nitride layer; forming an anode contact on a remaining portion of the epitaxial P-type gallium nitride layer; and exposing the first epitaxial N-type gallium nitride layer A cathode contact is formed on the part. 如請求項28所述之方法,其中形成該基板的步驟進一步包含以下步驟: 以一導電殼包封該第一黏著殼;以及 以一第二黏著殼包封該導電殼,其中該阻障層包封該導電殼。The method according to claim 28, wherein the step of forming the substrate further comprises the following steps: encapsulating the first adhesive shell with a conductive shell; and encapsulating the conductive shell with a second adhesive shell, wherein the barrier layer Encapsulate the conductive shell. 如請求項28所述之方法,其中該第二磊晶N型氮化鎵層之一厚度為大於約20微米。The method of claim 28, wherein a thickness of the second epitaxial N-type gallium nitride layer is greater than about 20 microns. 如請求項28所述之方法,其中該第一磊晶N型氮化鎵層、該第二磊晶N型氮化鎵層,及該磊晶P型氮化鎵層之特徵在於與該基板的一熱膨脹係數(CTE)實質上相等的一CTE。The method according to claim 28, wherein the first epitaxial N-type gallium nitride layer, the second epitaxial N-type gallium nitride layer, and the epitaxial P-type gallium nitride layer are characterized by being in contact with the substrate A coefficient of thermal expansion (CTE) of is substantially equal to a CTE. 如請求項28所述之方法,其中該多晶陶瓷芯包含氮化鋁。The method of claim 28, wherein the polycrystalline ceramic core comprises aluminum nitride. 如請求項28所述之方法,其中該實質上單晶層包含一實質上單晶矽層。The method of claim 28, wherein the substantially single crystal layer comprises a substantially single crystal silicon layer. 一種形成一半導體二極體的方法,該方法包含以下步驟: 藉由以下步驟形成一基板: 提供一多晶陶瓷芯; 以一第一黏著殼包封該多晶陶瓷芯; 以一阻障層包封該第一黏著殼; 在該阻障層上形成一接合層;以及 將一實質上單晶層結合至該接合層; 在該實質上單晶層上形成一第一磊晶N型氮化鎵層,該第一磊晶N型氮化鎵層具有一第一摻雜濃度; 在該第一磊晶N型氮化鎵層上形成一第二磊晶N型氮化鎵層,該第二磊晶N型氮化鎵層具有小於該第一摻雜濃度的一第二摻雜濃度; 在該第二磊晶N型氮化鎵層上形成一磊晶P型氮化鎵層; 移除該基板的一部分以暴露該第一磊晶N型氮化鎵層的一表面; 在該磊晶P型氮化鎵層上形成一陽極接點;以及 在該第一磊晶N型氮化鎵層的暴露表面上形成一陰極接點。A method of forming a semiconductor diode, the method comprising the following steps: forming a substrate by the following steps: providing a polycrystalline ceramic core; encapsulating the polycrystalline ceramic core with a first adhesive shell; using a barrier layer Encapsulating the first adhesive shell; forming a bonding layer on the barrier layer; and bonding a substantially single crystal layer to the bonding layer; forming a first epitaxial N-type nitrogen on the substantially single crystal layer A gallium nitride layer, the first epitaxial N-type gallium nitride layer has a first doping concentration; a second epitaxial N-type gallium nitride layer is formed on the first epitaxial N-type gallium nitride layer, the The second epitaxial N-type gallium nitride layer has a second doping concentration lower than the first doping concentration; an epitaxial P-type gallium nitride layer is formed on the second epitaxial N-type gallium nitride layer; Removing a portion of the substrate to expose a surface of the first epitaxial N-type gallium nitride layer; forming an anode contact on the epitaxial P-type gallium nitride layer; and forming an anode contact on the first epitaxial N-type gallium nitride layer A cathode contact is formed on the exposed surface of the gallium layer. 如請求項34所述之方法,其中移除該基板的該部分以暴露該第一磊晶N型氮化鎵層的該表面的步驟進一步包含以下步驟: 移除該第一磊晶N型氮化鎵層的一部分。The method of claim 34, wherein the step of removing the portion of the substrate to expose the surface of the first epitaxial N-type gallium nitride layer further comprises the following steps: removing the first epitaxial N-type nitrogen Part of the gallium oxide layer. 如請求項34所述之方法,其中形成該基板的步驟進一步包含以下步驟: 以一導電殼包封該第一黏著殼;以及 以一第二黏著殼包封該導電殼,其中該阻障層包封該導電殼。The method according to claim 34, wherein the step of forming the substrate further comprises the steps of: encapsulating the first adhesive shell with a conductive shell; and encapsulating the conductive shell with a second adhesive shell, wherein the barrier layer Encapsulate the conductive shell. 如請求項34所述之方法,其中該第二磊晶N型氮化鎵層之一厚度大於約20微米。The method of claim 34, wherein a thickness of the second epitaxial N-type gallium nitride layer is greater than about 20 microns. 如請求項34所述之方法,其中該第一磊晶N型氮化鎵層、該第二磊晶N型氮化鎵層,及該磊晶P型氮化鎵層之特徵在於與該基板的一熱膨脹係數(CTE)實質上相等的一CTE。The method according to claim 34, wherein the first epitaxial N-type gallium nitride layer, the second epitaxial N-type gallium nitride layer, and the epitaxial P-type gallium nitride layer are characterized by being in contact with the substrate A coefficient of thermal expansion (CTE) of is substantially equal to a CTE. 如請求項34所述之方法,其中該多晶陶瓷芯包含氮化鋁。The method of claim 34, wherein the polycrystalline ceramic core comprises aluminum nitride. 如請求項34所述之方法,其中該實質上單晶層包含一實質上單晶矽層。The method of claim 34, wherein the substantially single crystal layer comprises a substantially single crystal silicon layer.
TW106128550A 2016-08-23 2017-08-23 Electronic power devices integrated with an engineered substrate TWI732925B (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201662378382P 2016-08-23 2016-08-23
US62/378,382 2016-08-23

Publications (2)

Publication Number Publication Date
TW201816849A TW201816849A (en) 2018-05-01
TWI732925B true TWI732925B (en) 2021-07-11

Family

ID=61240655

Family Applications (2)

Application Number Title Priority Date Filing Date
TW106128550A TWI732925B (en) 2016-08-23 2017-08-23 Electronic power devices integrated with an engineered substrate
TW110120449A TWI767741B (en) 2016-08-23 2017-08-23 Electronic power devices integrated with an engineered substrate

Family Applications After (1)

Application Number Title Priority Date Filing Date
TW110120449A TWI767741B (en) 2016-08-23 2017-08-23 Electronic power devices integrated with an engineered substrate

Country Status (8)

Country Link
US (6) US10181419B2 (en)
EP (1) EP3504730A4 (en)
JP (2) JP7059257B2 (en)
KR (2) KR102551812B1 (en)
CN (2) CN109804456B (en)
SG (2) SG10202101505UA (en)
TW (2) TWI732925B (en)
WO (1) WO2018039316A1 (en)

Families Citing this family (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10297445B2 (en) 2016-06-14 2019-05-21 QROMIS, Inc. Engineered substrate structure for power and RF applications
US10510582B2 (en) * 2016-06-14 2019-12-17 QROMIS, Inc. Engineered substrate structure
KR102551812B1 (en) 2016-08-23 2023-07-04 큐로미스, 인크 Electronic power devices integrated with an engineered substrat
US10355120B2 (en) 2017-01-18 2019-07-16 QROMIS, Inc. Gallium nitride epitaxial structures for power devices
US10622468B2 (en) * 2017-02-21 2020-04-14 QROMIS, Inc. RF device integrated on an engineered substrate
US10734303B2 (en) * 2017-11-06 2020-08-04 QROMIS, Inc. Power and RF devices implemented using an engineered substrate structure
US10573516B2 (en) * 2017-12-06 2020-02-25 QROMIS, Inc. Methods for integrated devices on an engineered substrate
US11011614B2 (en) * 2018-06-29 2021-05-18 Taiwan Semiconductor Manufacturing Company, Ltd. High electron mobility transistor (HEMT) device and method of forming same
US10686037B2 (en) * 2018-07-19 2020-06-16 Vanguard International Semiconductor Corporation Semiconductor structure with insulating substrate and fabricating method thereof
JP6845483B2 (en) * 2018-11-26 2021-03-17 日亜化学工業株式会社 Manufacturing method of light emitting element
TWI692869B (en) * 2019-05-03 2020-05-01 世界先進積體電路股份有限公司 Substrates and methods for forming the same
TWI708395B (en) * 2019-05-15 2020-10-21 樂鑫材料科技股份有限公司 Wafer backside thin film structure, semiconductor device including the same, and manufacturing method of wafer backside thin film structure
CN110544689B (en) * 2019-08-29 2021-07-20 华南理工大学 Active device and passive single crystal device in radio frequency front-end module and monolithic integration method
US10840343B1 (en) * 2019-11-01 2020-11-17 Chih-Jen Huang Semiconductor structure for wide bandgap normally off MOSFET
US11605716B2 (en) 2019-12-17 2023-03-14 Coorstek Kk Nitride semiconductor substrate and method of manufacturing the same
JP7198195B2 (en) * 2019-12-24 2022-12-28 クアーズテック株式会社 nitride semiconductor substrate
CN112331719B (en) * 2020-04-30 2022-09-13 英诺赛科(苏州)半导体有限公司 Semiconductor device and method of manufacturing the same
TWI742828B (en) * 2020-09-01 2021-10-11 合晶科技股份有限公司 Gallium nitride epitaxial wafer capable of reducing stress
CN112054056B (en) * 2020-09-07 2023-03-10 南方科技大学 High electron mobility transistor with grid electrostatic protection structure and manufacturing method
TWI798716B (en) * 2021-06-09 2023-04-11 合晶科技股份有限公司 Method for processing a substrate and the transistor structure formed on the substrate
US20230122090A1 (en) * 2021-10-18 2023-04-20 Analog Devices, Inc. Electric field management in semiconductor devices
TWI812559B (en) * 2022-12-07 2023-08-11 尼克森微電子股份有限公司 Power device and method of manufacture thereof

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7489537B2 (en) * 2004-04-06 2009-02-10 Bao Tran Nano-electronic memory array
US8715890B2 (en) * 2012-01-31 2014-05-06 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor mask blanks with a compatible stop layer

Family Cites Families (43)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0349834A (en) * 1989-07-14 1991-03-04 Sumitomo Electric Ind Ltd Tool using gold as sealant and manufacture thereof
US6187068B1 (en) * 1998-10-06 2001-02-13 Phoenix Crystal Corporation Composite polycrystalline diamond compact with discrete particle size areas
CN1459825A (en) * 2002-05-20 2003-12-03 黄风义 Universal substrate used for growing epitaxial crystal and its preparation method
US7115896B2 (en) * 2002-12-04 2006-10-03 Emcore Corporation Semiconductor structures for gallium nitride-based devices
US6855963B1 (en) * 2003-08-29 2005-02-15 International Business Machines Corporation Ultra high-speed Si/SiGe modulation-doped field effect transistors on ultra thin SOI/SGOI substrate
FR2871172B1 (en) * 2004-06-03 2006-09-22 Soitec Silicon On Insulator HYBRID EPITAXIS SUPPORT AND METHOD OF MANUFACTURING THE SAME
US20060025534A1 (en) * 2004-07-30 2006-02-02 Johnson Jeffery W High solids coating composition based on thermal initiated free-radical polymerization
TW200707799A (en) * 2005-04-21 2007-02-16 Aonex Technologies Inc Bonded intermediate substrate and method of making same
US7326971B2 (en) * 2005-06-08 2008-02-05 Cree, Inc. Gallium nitride based high-electron mobility devices
US7364988B2 (en) * 2005-06-08 2008-04-29 Cree, Inc. Method of manufacturing gallium nitride based high-electron mobility devices
EP1858071A1 (en) * 2006-05-18 2007-11-21 S.O.I.TEC. Silicon on Insulator Technologies S.A. Method for fabricating a semiconductor on insulator type wafer and semiconductor on insulator wafer
JP5099008B2 (en) * 2006-07-26 2012-12-12 富士通株式会社 Compound semiconductor device using SiC substrate and manufacturing method thereof
JP4755961B2 (en) * 2006-09-29 2011-08-24 パナソニック株式会社 Nitride semiconductor device and manufacturing method thereof
US7888746B2 (en) * 2006-12-15 2011-02-15 Hvvi Semiconductors, Inc. Semiconductor structure and method of manufacture
US7976630B2 (en) * 2008-09-11 2011-07-12 Soraa, Inc. Large-area seed for ammonothermal growth of bulk gallium nitride and method of manufacture
JP2010206020A (en) * 2009-03-04 2010-09-16 Panasonic Corp Semiconductor device
US8742459B2 (en) * 2009-05-14 2014-06-03 Transphorm Inc. High voltage III-nitride semiconductor devices
WO2011071717A2 (en) * 2009-12-11 2011-06-16 National Semiconductor Corporation Backside stress compensation for gallium nitride or other nitride-based semiconductor devices
US9012253B2 (en) * 2009-12-16 2015-04-21 Micron Technology, Inc. Gallium nitride wafer substrate for solid state lighting devices, and associated systems and methods
US20110147796A1 (en) * 2009-12-17 2011-06-23 Infineon Technologies Austria Ag Semiconductor device with metal carrier and manufacturing method
US8584354B2 (en) * 2010-08-26 2013-11-19 Corning Incorporated Method for making glass interposer panels
US8853709B2 (en) * 2011-07-29 2014-10-07 Hrl Laboratories, Llc III-nitride metal insulator semiconductor field effect transistor
US8766274B2 (en) * 2010-12-14 2014-07-01 Hexatech, Inc. Thermal expansion engineering for polycrystalline aluminum nitride sintered bodies
US9012939B2 (en) * 2011-08-02 2015-04-21 Kabushiki Kaisha Toshiba N-type gallium-nitride layer having multiple conductive intervening layers
JP6035721B2 (en) * 2011-09-27 2016-11-30 住友電気工業株式会社 Manufacturing method of semiconductor device
US8916483B2 (en) * 2012-03-09 2014-12-23 Soitec Methods of forming semiconductor structures including III-V semiconductor material using substrates comprising molybdenum
JP5888064B2 (en) * 2012-03-29 2016-03-16 富士通株式会社 Compound semiconductor device and manufacturing method thereof
US8981432B2 (en) * 2012-08-10 2015-03-17 Avogy, Inc. Method and system for gallium nitride electronic devices using engineered substrates
US9082692B2 (en) * 2013-01-02 2015-07-14 Micron Technology, Inc. Engineered substrate assemblies with epitaxial templates and related systems, methods, and devices
US8946779B2 (en) * 2013-02-26 2015-02-03 Freescale Semiconductor, Inc. MISHFET and Schottky device integration
WO2014188715A1 (en) * 2013-05-24 2014-11-27 パナソニックIpマネジメント株式会社 Semiconductor device and method for manufacturing same
US9230847B2 (en) * 2013-10-01 2016-01-05 Micron Technology, Inc. Engineered substrate assemblies with thermally opaque materials, and associated systems, devices, and methods
JP6534791B2 (en) * 2013-12-16 2019-06-26 ルネサスエレクトロニクス株式会社 Semiconductor device
JP6251071B2 (en) * 2014-02-05 2017-12-20 ルネサスエレクトロニクス株式会社 Semiconductor device
JP6558359B2 (en) * 2014-02-24 2019-08-14 パナソニック株式会社 Semiconductor device
JP2016058693A (en) * 2014-09-12 2016-04-21 株式会社東芝 Semiconductor device, semiconductor wafer, and method of manufacturing semiconductor device
US10032943B2 (en) * 2015-12-18 2018-07-24 International Business Machines Corporation Device layer thin-film transfer to thermally conductive substrate
CN105789296B (en) 2015-12-29 2019-01-25 中国电子科技集团公司第五十五研究所 A kind of aluminum gallium nitride compound/GaN high electron mobility transistor
JP2017139266A (en) * 2016-02-01 2017-08-10 株式会社東芝 Composite substrate, semiconductor device, and method of manufacturing them
US10290674B2 (en) * 2016-04-22 2019-05-14 QROMIS, Inc. Engineered substrate including light emitting diode and power circuitry
US10655243B2 (en) * 2016-08-05 2020-05-19 QROMIS, Inc. Growth of epitaxial gallium nitride material using a thermally matched substrate
KR102551812B1 (en) 2016-08-23 2023-07-04 큐로미스, 인크 Electronic power devices integrated with an engineered substrat
US10287709B2 (en) * 2017-09-26 2019-05-14 Sixpoint Materials, Inc. Seed crystal for growth of gallium nitride bulk crystal in supercritical ammonia and fabrication method

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7489537B2 (en) * 2004-04-06 2009-02-10 Bao Tran Nano-electronic memory array
US8715890B2 (en) * 2012-01-31 2014-05-06 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor mask blanks with a compatible stop layer

Also Published As

Publication number Publication date
US10535547B2 (en) 2020-01-14
TW201816849A (en) 2018-05-01
US11107720B2 (en) 2021-08-31
US20180061630A1 (en) 2018-03-01
EP3504730A4 (en) 2020-04-08
KR20190052003A (en) 2019-05-15
JP7059257B2 (en) 2022-04-25
US20180061694A1 (en) 2018-03-01
CN109804456B (en) 2022-12-23
CN109804456A (en) 2019-05-24
SG10202101505UA (en) 2021-03-30
JP2019528576A (en) 2019-10-10
TWI767741B (en) 2022-06-11
CN115775719A (en) 2023-03-10
KR102551812B1 (en) 2023-07-04
JP2022106775A (en) 2022-07-20
KR102403038B1 (en) 2022-05-27
US10181419B2 (en) 2019-01-15
US20190326148A1 (en) 2019-10-24
WO2018039316A1 (en) 2018-03-01
US20190122916A1 (en) 2019-04-25
US11735460B2 (en) 2023-08-22
EP3504730A1 (en) 2019-07-03
SG11201901373YA (en) 2019-03-28
US10395965B2 (en) 2019-08-27
US10529613B2 (en) 2020-01-07
TW202141584A (en) 2021-11-01
KR20220075444A (en) 2022-06-08
US20200111698A1 (en) 2020-04-09
US20210358795A1 (en) 2021-11-18

Similar Documents

Publication Publication Date Title
TWI732925B (en) Electronic power devices integrated with an engineered substrate
US11164743B2 (en) Systems and method for integrated devices on an engineered substrate
US10734303B2 (en) Power and RF devices implemented using an engineered substrate structure
US10755986B2 (en) Aluminum nitride based Silicon-on-Insulator substrate structure
TWI754710B (en) Method and system for vertical power devices
KR20190133232A (en) Vertical Gallium Nitride Schottky Diodes
US11121120B2 (en) Method and system for electronic devices with polycrystalline substrate structure interposer