TWI708992B - 製造及維護光罩的方法 - Google Patents

製造及維護光罩的方法 Download PDF

Info

Publication number
TWI708992B
TWI708992B TW108135317A TW108135317A TWI708992B TW I708992 B TWI708992 B TW I708992B TW 108135317 A TW108135317 A TW 108135317A TW 108135317 A TW108135317 A TW 108135317A TW I708992 B TWI708992 B TW I708992B
Authority
TW
Taiwan
Prior art keywords
photomask
plasma
sccm
plasma processing
processing chamber
Prior art date
Application number
TW108135317A
Other languages
English (en)
Other versions
TW202026751A (zh
Inventor
楊淳復
許倍誠
連大成
李信昌
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202026751A publication Critical patent/TW202026751A/zh
Application granted granted Critical
Publication of TWI708992B publication Critical patent/TWI708992B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/82Auxiliary processes, e.g. cleaning or inspecting
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B7/00Cleaning by methods not provided for in a single other subclass or a single group in this subclass
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/22Masks or mask blanks for imaging by radiation of 100nm or shorter wavelength, e.g. X-ray masks, extreme ultraviolet [EUV] masks; Preparation thereof
    • G03F1/24Reflection masks; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2002Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02312Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour
    • H01L21/02315Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means

Abstract

一種製造及維護光罩的方法包括將表面上具有污染的光罩放置在電漿處理腔室中。污染的光罩在電漿處理腔室中經由電漿處理,以從表面移除污染。電漿包括氧電漿或氫電漿。

Description

製造及維護光罩的方法
本揭露係有關於一種製造及維護光罩的方法。
由於為了追求更高元件密度、更高效能、及更低成本,半導體工業已經進展到奈米技術製程節點,來自製造及設計問題的挑戰變得更大。IC(Integrate circuit)材料及設計的技術進展已產生數代IC,其中每代皆比其前代具有更小且更複雜的電路。在IC發展過程中,功能密度(亦即,單位晶片面積內互連元件的數量)大體已增加而幾何大小(亦即,可以使用製造製程產生的最小部件(或接線))已減小。此縮小過程通常藉由增加生產效率並降低相關成本來提供益處。此種縮小亦增加了處理及製造IC的複雜性。
微影操作係半導體製造製程中的關鍵操作之一。微影技術包括紫外微影、深紫外微影、及極紫外微影(EUVL)。光罩係微影操作中的重要部件。製造及維護不具有可解析缺陷的光罩很關鍵。然而,光罩製造技術通常包括電子束微影及蝕刻操作,此等操作可以產生顆粒及蝕刻殘留物。另外,在微影操作期間使用光罩可以產生顆粒殘留物。例如,EUVL可以在光阻曝光操作期間產生污染,包括烴顆粒。在EUV曝光期間產生的熱可以導致光阻的部分分解及 揮發。分解及揮發的殘留物可以污染光罩。此外,在長期遮罩儲存期間,遮罩可以由顆粒及殘留物污染。例如,獲自EUV光罩中的高濃度金屬原子的凡得瓦力吸引污染物顆粒。污染顆粒及殘留物可包括烴。在遮罩清潔操作期間可能不完全移除烴污染。烴污染可能導致接近度(proximity)及關鍵尺寸(critical dimension)均勻性漂移以及白斑缺陷(white spot defects)。
一種製造及維護光罩的方法,包含:曝光光阻塗覆的基板於從反射光罩反射的輻射,或儲存該反射光罩達一期間,而不在微影操作中使用該反射光罩,其中在該曝光或儲存期間,污染形成在該反射光罩的表面上;在使用該反射光罩曝光該光阻塗覆的基板之後或者在該期間之後,將該表面上具有該污染的該反射光罩放置在電漿處理腔室中;以及在該電漿處理腔室中,以電漿處理具有該污染的該反射光罩,而從該表面移除該污染,其中該電漿包括氧電漿或氫電漿。
一種製造及維護光罩的方法,包含:形成光罩;在微影製程中使用該光罩在基板上形成光阻圖案;以及在該微影製程中使用該光罩之後,在電漿處理腔室中,以電漿處理該光罩,而從該光罩的表面移除污染,其中該電漿包括氧電漿或氫電漿。
一種製造及維護光罩的方法,包含:形成光罩;儲存該光罩於光罩盒中;以及在儲存該光罩於該光罩盒中之 後,在電漿處理腔室中,以電漿處理該光罩,而從該光罩的表面移除污染,其中該電漿包括氧電漿或氫電漿。
20:光罩空白基板
30:基板
35:多個反射層
37:矽層
39:鉬層
40:覆蓋層
45:吸收(或吸收劑)層
50:硬遮罩層
55:圖案
55':圖案
55":圖案
55'":圖案
60:背側導電層
65:邊界
65':圖案
65":圖案
75:光阻層
85:第二光阻層
95:烴污染
100:EUV輻射源
105:腔室
110:收集器
115:靶液滴產生器
120:噴嘴125
130:第一緩衝氣體供應器
140:出氣口
200:曝光裝置
205a:光學元件
205b:光學元件
205c:光學元件
205d:光學元件
205e:光學元件
210:基板
300:激發雷射源
310:雷射產生器
320:雷射導引光學元件
330:聚焦設備
400:方法
500:方法
600:方法
700:方法
800:方法
900:方法
BF:地下一層
DP:靶液滴
DP1:阻尼器
DP2:阻尼器
EUV:極紫外光
LR1:雷射光
LR2:激發雷射
MF:地面一層
PP1:基座板
PP2:基座板
ZE:激發區
S410:操作
S420:操作
S430:操作
S440:操作
S450:操作
S460:操作
S470:操作
S480:操作
S510:操作
S520:操作
S530:操作
S540:操作
S550:操作
S560:操作
S610:操作
S620:操作
S630:操作
S640:操作
S650:操作
S660:操作
S710:操作
S720:操作
S730:操作
S810:操作
S820:操作
S830:操作
S840:操作
S850:操作
S910:操作
S920:操作
S930:操作
S940:操作
S950:操作
S960:操作
當結合隨附圖式閱讀時,自以下詳細描述將很好地理解本揭露之一實施例。應注意,根據工業中的標準實務,各個特徵並非按比例繪製,並且僅用於說明目的。事實上,出於論述清晰之目的,可任意增加或減小各個特徵之尺寸。
第1圖繪示了根據本揭露的一實施例的極紫外微影工具。
第2圖繪示了根據本揭露的一實施例的極紫外微影工具的細節的示意圖。
第3圖係根據本揭露的實施例的反射遮罩的橫截面圖。
第4A圖、第4B圖、第4C圖、第4D圖、第4E圖、第4F圖、第4G圖、及第4H圖示意性地繪示根據本揭露的一實施例的製造及清潔光罩的方法。
第5圖係繪示根據本揭露的一實施例的從光罩移除污染的方法的流程圖。
第6A圖、第6B圖、第6C圖、第6D圖、第6E圖、第6F圖、第6G圖、第6H圖、及第6I圖示意性地繪示根據本揭露的一實施例的製造及清潔光罩的方法。
第7圖係繪示根據本揭露的一實施例的製造光罩並且從光罩移除污染的方法的流程圖。
第8圖係繪示根據本揭露的一實施例的製造及使用光罩並且從光罩移除污染的方法的流程圖。
第9圖係繪示根據本揭露的一實施例的使用光罩以及從光罩移除污染的方法的流程圖。
第10圖係繪示根據本揭露的一實施例的減少白斑缺陷及關鍵尺寸均勻性漂移的方法的流程圖。
第11圖係繪示根據本揭露的一實施例的從光罩移除污染的方法的流程圖。
應理解,以下揭示提供了眾多不同的實施例或實例,以用於實現本揭露之一實施例的不同特徵。下文描述部件及佈置的具體實施例或實例以簡化本揭露之一實施例。當然,此等僅為實例且並不意欲為限制性。例如,元素的尺寸不限於所揭示的範圍或值,但可取決於製程條件及/或元件的期望性質。此外,以下描述中在第二特徵上方或第二特徵上形成第一特徵可包括以直接接觸形成第一特徵及第二特徵的實施例,且亦可包括插入第一特徵及第二特徵而形成額外特徵以使得第一特徵及第二特徵可不處於直接接觸的實施例。各種特徵可出於簡便性及清晰目的而以任意不同比例繪製。
另外,為了便於描述,本文可使用空間相對性術語(諸如「之下」、「下方」、「下部」、「上方」、「上部」及類似者)來描述諸圖中所繪示的一個元件或特徵與另一元件(或多個元件)或特徵(或多個特徵)的關係。除了 諸圖所描繪的定向外,空間相對性術語意欲包含使用或操作中元件的不同定向。元件可經其他方式定向(旋轉90度或處於其他定向)且由此可類似解讀本文所使用的空間相對性描述詞。此外,術語「由…製成(made of)」可意謂「包含(comprising)」或「由…組成(consisting of)」。
本揭露之一實施例大體係關於極紫外(EUV)微影遮罩及方法。在EUVL工具中,雷射產生電漿(LPP)產生極紫外輻射,此極紫外輻射用於成像光阻塗覆的基板。在EUV工具中,激發雷射在LPP腔室中加熱金屬(例如,錫、鋰等等)靶液滴,以將液滴游離為電漿,此電漿發射EUV輻射。為了可再現產生EUV輻射,到達焦點(在本文中亦稱為「激發區」)的靶液滴必須實質上係相同大小並且與來自激發雷射的激發脈衝同時到達激發區。因此,以均勻(或可預見)速度從靶液滴產生器行進到激發區之靶液滴的穩定產生有助於LPP EUV輻射源的效率及穩定性。
第1圖係根據本揭露的一些實施例構造的具有基於雷射產生電漿(LPP)的EUV輻射源之EUV微影工具的示意圖。EUV微影系統包括用於產生EUV輻射的EUV輻射源100、曝光裝置200(諸如掃描器)、及激發雷射源300。如第1圖所示,在一些實施例中,EUV輻射源100及曝光裝置200安裝在清潔室的地面一層MF上,而激發雷射源300安裝在位於地面一層下方的地下一層BF中。EUV輻射源100及曝光裝置200中的每一者係分別經由阻尼器DP1及 DP2放置在基座板PP1及PP2上方。EUV輻射源100及曝光裝置200藉由耦合機構(其可包括聚焦單元)彼此耦合。
EUV微影工具經設計為藉由EUV光(在本文中亦可互換地稱為EUV輻射)曝光光阻層。光阻層係對EUV光敏感的材料。EUV微影系統採用EUV輻射源100來產生EUV光,諸如具有在約1nm與約100nm之間變化的波長的EUV光。在一個特定實例中,EUV輻射源100產生具有集中於約13.5nm的波長的EUV光。在本實施例中,EUV輻射源100利用雷射產生電漿(LPP)的機構來產生EUV輻射。
曝光裝置200包括各種反射光學部件(諸如凸透鏡/凹透鏡/平面鏡)、遮罩固持機構(包括遮罩平台)、及晶圓固持機構。由EUV輻射源100產生的EUV輻射EUV由反射光學部件導引至在遮罩平台上固定的光罩上。在一些實施例中,遮罩平台包括用於固定光罩的靜電夾盤(e夾盤)。
第2圖係根據本揭露的一實施例的極紫外微影工具的細節的簡化示意圖,繪示使用圖案化的EUV光束曝光光阻塗覆的基板210。曝光裝置200係具備一或多個光學元件205a、205b及一或多個縮小投影光學元件205d、205e的積體電路微影工具,諸如步進器、掃描器、步進及掃描系統、直接寫入系統、使用接觸及/或接近遮罩的元件等,例如,光學元件205a、205b用於以EUV光束照明圖案化光學元件205c(諸如光罩)以產生圖案化的光束,縮小投影光學元件205d、205e用於將圖案化的光束投影到基板210 上。可提供機械組件(未繪示)用於在基板210與圖案化光學元件205c之間產生受控相對移動。如第2圖進一步繪示,EUVL工具包括EUV光源100,此EUV光源包括在腔室105中發射EUV光的EUV光輻射器ZE,此EUV光由收集器110沿著一路徑反射到曝光裝置200中以照射基板210。
如本文所使用,術語「光學元件」意欲廣泛地理解為包括且並不一定限於反射入射光及/或透射入射光及/或對入射光進行操作的一或多個部件,並且包括但不限於一或多個透鏡、窗、濾光片、楔狀物、棱柱、稜鏡、光柵、透射纖維、校準器、漫射體、均勻器、偵測器及其他儀器部件、孔、軸錐體及反射鏡(包括多層反射鏡、近正入射反射鏡、掠入射反射鏡)、鏡面反射體、漫反射體及其組合。此外,除非另外聲明,否則如本文使用的術語「光學元件」不意欲限於單獨操作的部件或者限於在一或多個特定波長範圍內有利,諸如在EUV輸出光波長、照射雷射波長、適宜用於度量的波長或任何其他特定波長下。
因為氣體分子吸收EUV光,將用於EUV微影圖案化的微影系統維持在真空或低壓環境中以避免EUV強度損失。
在本揭露之一實施例中,術語遮罩、光罩、及主光罩可互換使用。在本實施例中,第3圖所示的圖案化光學元件205c係反射光罩。在一實施例中,如第3圖所示,反射主光罩205c包括具有適宜材料的基板30,諸如低熱膨脹材料或熔融石英。在各個實例中,材料包括二氧化鈦(TiO2)摻雜的 二氧化矽(SiO2)、或具有低熱膨脹的其他適宜材料。在一些實施例中,低熱膨脹玻璃基板發射在可見波長、接近可見光譜的紅外波長(近紅外)的一部分、以及紫外波長的一部分的光。在一些實施例中,低熱膨脹玻璃基板吸收極紫外波長及接近極紫外的深紫外波長。
反射主光罩205c包括在基板上沉積的多個反射層35。多個反射層35包括複數個膜對,諸如鉬-矽(Mo/Si)膜對(例如,在每個膜對中,鉬層39在矽層37之上或之下)。或者,多個反射層35可包括鉬-鈹(Mo/Be)膜對、或用以高度反射EUV光的其他適宜材料。在一些實施例中,Mo/Si多層堆疊35包括從約30個交替層(各者具有矽及鉬)至約60個交替層(各者具有矽及鉬)。在一些實施例中,形成各者具有矽及鉬的從約35至約50個交替層。在某些實施例中,存在各者具有矽及鉬的約40個交替層。在一些實施例中,矽及鉬層藉由化學氣相沉積(CVD)、電漿增強CVD(PECVD)、原子層沉積(ALD)、物理氣相沉積(PVD)(濺射)、或任何其他適宜膜形成方法來形成。矽及鉬的各個層係約2nm至約10nm厚。在一些實施例中,矽及鉬層係約相同厚度。在其他實施例中,矽及鉬層係不同厚度。在一些實施例中,矽及鉬的每個層的厚度係約3nm至約4nm。
遮罩205c可進一步包括覆蓋層40,諸如由釕(Ru)製成的層,此覆蓋層用於保護多層35。覆蓋層40設置在Mo/Si多層35上方。在一些實施例中,覆蓋層40由具有在從約2nm至約10nm的厚度的釕製成。在某些實施例中, 覆蓋層40的厚度係在從約2nm至約4nm。在一些實施例中,覆蓋層40藉由化學氣相沉積、電漿增強化學氣相沉積、原子層沉積、物理氣相沉積、或任何其他適宜的膜形成方法來形成。
遮罩進一步包括吸收(或吸收劑)層45。在一些實施例中,吸收劑層45設置在覆蓋層40上方。吸收層45經圖案化以界定積體電路(IC)的層。在一些實施例中,吸收劑層45係含Ta的材料。在一些實施例中,吸收劑層係由氮化鉭(TaN)、氧化鉭(TaO)、含硼的氮化鉭(TaBN)、或含硼的氮化鉭(TaBO)製成,具有在從約25nm至約100nm的厚度。在某些實施例中,吸收劑層45的厚度從約50nm至約75nm變化。在一些實施例中,吸收劑層45藉由化學氣相沉積、電漿增強化學氣相沉積、原子層沉積、物理氣相沉積、或任何其他適宜的膜形成方法來形成。
在一些實施例中,抗反射層(未繪示)視情況在吸收劑層45上方形成。在一些實施例中,抗反射層係由氧化矽製成,並且具有從約2nm至約10nm的厚度。在一些實施例中,抗反射層的厚度係從約3nm至約6nm。在一些實施例中,抗反射層藉由化學氣相沉積、電漿增強化學氣相沉積、原子層沉積、物理氣相沉積、或任何其他適宜的膜形成方法來形成。
EUV遮罩需要非常低的表面粗糙度並且必須不具有可解析缺陷。
在一些實施例中,反射遮罩205c包括背側導電層60。在一些實施例中,導電層60在基板30的第二主表面 上形成,此第二主表面與基板30的第一主表面相對,第一主表面上形成Mo/Si多層35。在一些實施例中,導電層60由鉻、氮化鉻、或含硼的鉭(TaB)製成,具有約25nm至約150nm的厚度。在一些實施例中,導電層60具有約70nm至約100nm的厚度。在一些實施例中,導電層60藉由化學氣相沉積、電漿增強化學氣相沉積、原子層沉積、物理氣相沉積、或任何其他適宜的膜形成方法來形成。
在一些實施例中,反射遮罩205c包括圍繞圖案55的向下蝕刻到基板30的邊界65,亦稱為黑界65,以界定待成像的電路面積及不成像的周邊面積。在一些實施例中,黑界減少漏光。
在本揭露的各個實施例中,光阻塗覆的基板210係半導體晶圓,諸如矽晶圓或待圖案化的其他類型的晶圓。
在一些實施例中,EUVL工具進一步包括其他模組或與其他模組整合(或耦合)。
如第1圖所示,EUV輻射源100包括由腔室105封閉的靶液滴產生器115及LPP收集器110。在一些實施例中,靶液滴產生器115包括用於固持源材料的貯槽及噴嘴120,經由此噴嘴將源材料的靶液滴DP供應到腔室105中。
在一些實施例中,靶液滴DP係為錫(Sn)、鋰(Li)、或Sn及Li的合金的液滴。在一些實施例中,靶液滴DP各者具有在從約10微米(μm)至約100μm的範圍中的直徑。例如,在一實施例中,靶液滴DP係為錫液滴,具有約10 μm至約100μm的直徑。在其他實施例中,靶液滴DP係具有約25μm至約50μm的直徑的錫液滴。在一些實施例中,以從約50液滴每秒(亦即,約50Hz的噴射頻率)至約50,000液滴每秒(亦即,約50kHz的噴射頻率)的範圍中的速率,經由噴嘴120供應靶液滴DP。在一些實施例中,靶液滴DP以約100Hz至約25kHz的噴射頻率供應。在其他實施例中,靶液滴DP以約500Hz至約10kHz的噴射頻率供應。在一些實施例中,靶液滴DP以約10米每秒(m/s)至約100m/s的範圍中的速度經由噴嘴127噴射並且噴射到激發區ZE中。在一些實施例中,靶液滴DP具有約10m/s至約75m/s的速度。在其他實施例中,靶液滴具有約25m/s至約50m/s的速度。
返回參見第1圖,由激發雷射源設備300產生的激發雷射LR2係脈衝雷射。雷射脈衝LR2由激發雷射源300產生。激發雷射源300可包括雷射產生器310、雷射導引光學元件320及聚焦設備330。在一些實施例中,雷射源300包括二氧化碳(CO2)或釹摻雜的釔鋁石榴石(Nd:YAG)雷射源,此雷射源具有在電磁光譜的紅外區域中的波長。例如,在一實施例中,雷射源300具有9.4μm或10.6μm的波長。由雷射產生器310產生的雷射光LR1由雷射導引光學元件320導引並且藉由聚焦設備330聚焦到激發雷射LR2中,並且隨後引入到EUV輻射源100中。
在一些實施例中,激發雷射LR2包括預熱雷射及主雷射。在此種實施例中,預熱雷射脈衝(在本文中可互 換地稱為「預脈衝」)用於加熱(或預熱)給定靶液滴以產生隨後由來自主雷射的脈衝加熱(或再加熱)的具有多個較小液滴的低密度靶羽流,從而產生增加的EUV光發射。
在各個實施例中,預熱雷射脈衝具有約100μm或更小的斑點大小,並且主雷射脈衝具有在約150μm至300μm的範圍中的斑點大小。在一些實施例中,預熱雷射及主雷射脈衝具有在從約10ns至約50ns的範圍中的脈衝持續時間、及在從約1kHz至約100kHz的範圍中的脈衝頻率。在各個實施例中,預熱雷射及主雷射具有在從約1千瓦(kW)至約50kW的範圍中的平均功率。在一實施例中,激發雷射LR2的脈衝頻率與靶液滴DP的噴射頻率匹配。
雷射光LR2穿過窗(或透鏡)導引到激發區ZE中。窗採用實質上對雷射光束透明的適宜材料。脈衝雷射的產生與靶液滴DP經由噴嘴120的噴射同步。由於靶液滴穿過激發區域移動,預脈衝加熱靶液滴,並且將其等轉換為低密度靶羽流。控制在預脈衝與主脈衝之間的延遲以允許靶羽流形成並且膨脹到最佳大小及幾何形狀。在各個實施例中,預脈衝及主脈衝具有相同脈衝持續時間及峰值功率。當主脈衝加熱靶羽流時,產生高溫電漿。電漿發射EUV輻射EUV,其由收集器反射鏡110收集。收集器110進一步反射及聚焦EUV輻射用於微影曝光製程,此微影曝光製程經由曝光工具200執行。液滴捕獲器用於捕獲過量的靶液滴。例如。雷射脈衝可能會故意錯過一些靶液滴。
返回參見第1圖,收集器110經設計有適當的塗覆材料並且成形為用作EUV收集、反射及聚焦的反射鏡。在一些實施例中,收集器110經設計為具有橢圓幾何形狀。在一些實施例中,收集器110的塗覆材料與EUV遮罩的反射多層類似。在一些實例中,收集器110的塗覆材料包括第一及第二反射層(諸如複數個Mo/Si膜對)的交替堆疊並且可進一步包括在ML上塗覆的覆蓋層(諸如釕(Ru))以實質上反射EUV光。在一些實施例中,收集器110可進一步包括光柵結構,此光柵結構經設計為有效地散射導引至收集器110上的雷射光束。例如,氮化矽層在收集器110上塗覆並且經圖案化以具有光柵圖案。
在此EUV輻射源中,由雷射施加導致的電漿產生實體碎屑(諸如液滴的離子、氣體、及原子)、以及期望的EUV輻射。必須防止材料在收集器110上積聚並且亦必須防止實體碎屑離開腔室105且進入曝光裝置200。
如第1圖所示,在本實施例中,將緩衝氣體從第一緩衝氣體供應器130穿過收集器110中的孔供應,藉由此孔將脈衝雷射遞送到錫液滴。在一些實施例中,緩衝氣體係氫(H2)、氦(He)、氬(Ar)、氮(N2)、或另一惰性氣體。在某些實施例中,H2用作由緩衝氣體的游離產生的H自由基,此等H自由基可以出於清潔目的使用。緩衝氣體亦可以穿過一或多個第二緩衝氣體供應器135朝向收集器110及/或圍繞收集器110的邊緣提供。另外,腔室105包括一或多個出氣口140,使得將緩衝氣體排出腔室105外部。
氫氣具有對EUV輻射的低吸收。到達收集器110的塗覆表面的氫氣與形成氫化物(例如,金屬氫化物)的液滴的金屬起化學反應。當錫(Sn)用作液滴時,形成錫烷(SnH4),其係EUV產生製程的氣體副產物。隨後穿過出口140泵送出氣體SnH4
第4A圖至第4H圖示意性地繪示在極紫外微影(EUVL)中使用的製造及清潔EUV光罩205c的方法。EUVL採用掃描器,此掃描器使用在極紫外(EUV)區域中具有約1nm至約100nm的波長的光。遮罩係EUVL系統的關鍵部件。EUV遮罩通常係反射遮罩。
光罩205c由光罩空白基板20形成,此光罩空白基板包括:基板30、多層35、覆蓋層40、吸收劑層45、及導電層60。如第4A圖所示,在一些實施例中,硬遮罩層50形成在吸收劑層45上方。在一些實施例中,硬遮罩層50形成在抗反射層上方。在一些實施例中,硬遮罩層50由矽、含矽的化合物、鉻、或含鉻的化合物製成,具有約4nm至約20nm的厚度。在一些實施例中,含鉻的化合物包括CrON。在一些實施例中,硬遮罩層50藉由化學氣相沉積、電漿增強化學氣相沉積、原子層沉積、物理氣相沉積、或任何其他適宜的膜形成方法來形成。
光阻層75隨後在硬遮罩層50上方形成,並且光阻層75選擇性地曝光於光化輻射。光阻層75係藉由曝光於光化輻射來圖案化的光敏層。通常,光阻區域的化學性質藉由入射輻射觸發而改變,其改變取決於所使用的光阻類型。 光阻層75係為正型光阻或負型光阻。正型光阻指當曝光於輻射(通常為UV光)時變得可溶於顯影劑的光阻材料,而未曝光(或較少曝光)的光阻區域在顯影劑中不可溶。另一方面,負型光阻習知為當曝光於輻射時,變得在顯影劑中不可溶的光阻材料,而未曝光(或較少曝光)的光阻區域在顯影劑中為可溶。負型光阻在曝光於輻射之後,變為不可溶的區域,其歸因於藉由曝光於輻射導致的交聯反應,而使其變得不可溶。
針對選擇性曝光的光阻層75顯影,以在光阻層75中形成圖案55’。在一些實施例中,光化輻射係為電子束或離子束。在一些實施例中,圖案55對應於半導體元件特徵的圖案,此半導體元件特徵將在後續操作中使用光罩205c而形成。光阻是正型還是負型可取決於用於顯影光阻的顯影劑類型。例如,當顯影劑係水基顯影劑時,諸如四甲基氫氧化銨(TMAH)溶液,一些正型光阻提供正圖案(亦即,曝光區域藉由顯影劑移除)。另一方面,當顯影劑係有機溶劑時,相同光阻提供負圖案(亦即,未曝光區域由顯影劑移除)。另外,在使用TMAH溶液顯影的一些負型光阻中,光阻的未曝光區域由TMAH移除,以及在曝光於光化輻射之後,經歷交聯之光阻的曝光區域,在顯影之後餘留在基板上。
接下來,如第4B圖所示,在光阻層75中的圖案55’延伸至硬遮罩層50中,從而在硬遮罩層50中形成圖案55”,暴露吸收劑層45的部分。在一些實施例中,延伸到 硬遮罩層50中的圖案55”藉由蝕刻而形成,此蝕刻使用對硬遮罩層50具有選擇性的合適濕式或乾式蝕刻劑。
隨後,如第4C圖所示,在硬遮罩層50中的圖案55”延伸到吸收劑層45中,從而在吸收劑層45中形成圖案55''',暴露覆蓋層40的部分。在一些實施例中,延伸到吸收層劑45中的圖案55'''藉由蝕刻而形成,此蝕刻使用對吸收劑層45具有選擇性的合適濕式或乾式蝕刻劑。在一些實施例中,覆蓋層40用作蝕刻終止層。光阻層75藉由適宜的光阻剝除劑或氧電漿灰化操作移除以暴露硬遮罩層50的上表面。
如第4D圖所示,第二光阻層85在吸收劑層45上方形成,從而在移除硬遮罩層50之後,填充吸收劑層中的圖案55。硬遮罩層藉由蝕刻移除,此蝕刻使用對硬遮罩層具有選擇性的蝕刻劑。第二光阻層85選擇性曝光於光化輻射。如第4D圖所示,對選擇性曝光的第二光阻層85顯影,以在第二光阻層85中形成圖案65’。
接下來,如第4E圖所示,在第二光阻層85中的圖案65’延伸到吸收劑層45、覆蓋層40、及Mo/Si多層35中,從而在吸收劑層45、覆蓋層40、及Mo/Si多層35中形成圖案65”,暴露基板30的部分。在一些實施例中,圖案65”藉由蝕刻形成,此蝕刻使用對於被蝕刻的每個層具有選擇性的一或多種適宜的濕式或乾式蝕刻劑。
在一些實施例中,第二光阻層85藉由適宜的光阻剝除劑或氧電漿灰化操作而移除,以暴露吸收劑層45的 上表面。如第4F圖所示,在本揭露的一些實施例中,在吸收劑層45、覆蓋層40、及Mo/Si多層35中的圖案65界定光罩205c的黑界。在移除第二光阻層之後,光罩205c經歷清潔操作、檢查,並且按需要修理光罩205c,以提供完成的光罩205c。在一些實施例中,首先形成黑界65並且隨後形成半導體元件圖案55。
在光罩製造或使用完成的光罩205c之後續處理(包括使用光罩的光阻塗覆的基板的EUV曝光)期間,含碳的殘留物(包括烴污染95)形成在吸收劑層中的圖案55上。污染可以藉由在EUV曝光期間產生的熱能而產生,此熱能導致光阻的部分分解及揮發。分解及揮發的殘留物可能污染光罩。此外,在長期遮罩儲存期間,遮罩可能被顆粒及殘留物污染,此等顆粒及殘留物藉由凡得瓦力附著到遮罩。烴污染95可能不利地影響光罩205c的效能,包括導致接近度及關鍵尺寸均勻性漂移、以及白斑缺陷。在遮罩圖案55上方的碳殘留物之厚度,增加遮罩圖案尺寸以改變並且導致隨後在光阻層中形成的圖案之關鍵尺寸改變。因此,在長期使用或儲存之後,光罩205c的效能下降。
為了恢復光罩205c的效能並且維持由光罩205c所形成的圖案之接近度及關鍵尺寸,在本揭露的一些實施例中執行光罩205c的定期維護。例如,如第4H圖所示,執行含碳的殘留物(亦即,烴)移除操作。在一些實施例中,在光罩上執行乾式蝕刻,包括電漿蝕刻(清潔閃光或「c-閃光」操作)。在一些實施例中,將氧或氫電漿施加到 具有碳殘留物污染的光罩以移除碳殘留物污染。在一些實施例中,將氧電漿施加到污染的光罩並且根據以下反應移除烴:CmHn+O→H2O+CO2(或CO,若不完全氧化)。在其他實施例中,將氫電漿施加到污染的光罩並且根據以下反應移除烴:CmHn+H→CH4+H2。在氧電漿及氫電漿實施例中,反應產物係為從電漿反應腔室排放的氣體。
第5圖係繪示根據本揭露的一實施例之從光罩移除污染的方法400的流程圖。在操作S410中,將光阻塗覆的基板曝光於從反射光罩205c反射的光化輻射。在操作S420中,儲存反射光罩205c達一期間而不在微影操作中使用反射光罩。在曝光或儲存期間,污染形成在反射光罩的表面上。在操作S410或操作S420之後,在操作S430中,將表面上具有污染的反射光罩放置在電漿處理腔室中。在操作S440中,污染的反射光罩在電漿處理腔室中經過電漿處理(c-閃光)以從表面移除污染。電漿包括氧電漿或氫電漿。在一些實施例中,在操作S450中,在電漿處理期間將氯供應到電漿處理腔室。在一些實施例中,在操作S470中,在電漿處理期間將氮供應到電漿處理腔室。在一些實施例中,在操作S470中,在電漿處理期間將氦或氬供應到電漿處理腔室。在一些實施例中,在操作S480中檢查反射光罩205c以決定污染是否移除。
在一些實施例中,使用視覺化技術檢查光罩205c。視覺化技術可包括使用透射電子顯微鏡(TEM)以成像遮罩的表面。在一些實施例中,能量色散X射線譜(EDS) 與TEM一起使用以繪製光罩上的碳殘留污染物之分佈。因為烴吸收紅外輻射,在一些實施例中,紅外分析技術用於檢查遮罩表面。在一些實施例中,在光阻塗覆的基板上形成的圖案中,監控關鍵尺寸均勻性漂移、接近度漂移、或白斑缺陷,並且當關鍵尺寸均勻性漂移、接近度漂移、或白斑缺陷的數量超過閾值時,光罩經歷本文描述的電漿污染移除製程(c-閃光)。
在一些實施例中,使用光罩將光阻塗覆的基板曝光於光化輻射(或射擊;shot)約100至約2500或更多次之後,根據本揭露之一實施例的執行電漿製程(c-閃光)從光罩表面移除污染物。在一些實施例中,在約2000或更多次使用光罩曝光(射擊)光阻塗覆的基板之後,執行根據本揭露之一實施例的電漿製程之污染物移除。在一些實施例中,在約1000至更多次使用光罩曝光(射擊)光阻塗覆的基板之後,執行污染物移除。
在一些實施例中,監控接近度偏差漂移或關鍵尺寸均勻性漂移、或白斑缺陷,並且當接近度偏差漂移、關鍵尺寸均勻性偏漂移、或白斑缺陷超過某一閾值時,執行根據本揭露之一實施例的電漿製程的污染物移除。
第6A圖至第6I圖示意性地繪示製造及清潔光罩205c的方法。製造光罩的第6A圖至第6F圖中的操作係與第4A圖至第4F圖中的操作相同。在吸收劑層中污染圖案層55在光罩盒90中儲存光罩期間發生。在長期遮罩儲存期間,遮罩可能被顆粒及殘留物污染,此等顆粒及殘留物藉由 凡得瓦力附著到遮罩。在此實施例中,在儲存(第6G圖)期間,第6H圖所示的污染物95附著到遮罩表面,所述污染物95亦為含碳的殘留物(亦即,烴),如參考第4G圖所解釋。因此,參考第4H圖論述者相同的方式,在第6I圖中移除污染。在一些實施例中,在本揭露之一實施例的電漿污染物移除操作之前,光罩205c儲存在光罩盒90中超過30天。在一些實施例中,在本揭露之一實施例的電漿污染物操作之前,光罩205c儲存在光罩盒90中約30天至約180天。
第7圖係繪示方法500的流程圖,此方法包括形成光罩205c的操作S510。在操作S520中,光罩205c儲存在光罩盒90中。在一些實施例中,光罩在光罩盒90中儲存達約30天或更久。在從光罩盒90移除光罩205c之後,在操作S530中,光罩205c在電漿處理腔室中經由電漿處理,以從光罩205c的表面移除污染95。電漿包括氧電漿或氫電漿。在一些實施例中,在操作S540中,在電漿處理期間,將氯供應到電漿處理腔室。在一些實施例中,在操作S550中,在電漿處理期間,將氮供應到電漿處理腔室。在一些實施例中,在操作S560中,在電漿處理期間,將氦及氬供應到電漿處理腔室。
在一些實施例中,在含碳的殘留物的電漿移除期間,針對實施例1至7,電漿源的源功率從約100W至約1000W變化。偏壓功率係約0W。在電漿處理腔室中的壓力從約1毫托至約5毫托變化。在電漿處理腔室中的氧流動速率從約0sccm至約100sccm變化。在電漿處理腔室中的 氫流動速率從約0sccm至約300sccm變化。氮流動速率從約0sccm至約50sccm變化。在約20sccm至約100sccm的流動速率下,將氯供應到電漿處理腔室。在約0sccm至約300sccm的流動速率下,將He或Ar供應到電漿處理腔室。將電漿供應到污染的光罩約5s至約100s的持續時間。在一些實施例中,施加到腔室的功率係RF功率,諸如13.6KHz RF功率。
在一些實施例中,施加到腔室的源功率從約200W至約800W變化。在一些實施例中,施加到腔室的源功率從約400W至約600W變化。在一些實施例中,在約10sccm至約100sccm的流動速率下,將氧施加到腔室。在一些實施例中,在約20sccm至約80sccm的流動速率下,將氧施加到腔室。在一些實施例中,在約20sccm至約100sccm的流動速率下,將氫施加到腔室。在一些實施例中,在約30sccm至約80sccm的流動速率下,將氫施加到腔室。在一些實施例中,在約10sccm至約50sccm的流動速率下,將氮施加到腔室。在一些實施例中,在約20sccm至約40sccm的流動速率下,將氮施加到腔室。在一些實施例中,在約20sccm至約100sccm的流動速率下,將氯施加到腔室。在一些實施例中,在約40sccm至約80sccm的流動速率下,將氯施加到腔室。在一些實施例中,在約60sccm至約300sccm的流動速率下,將氦或氬施加到腔室。在一些實施例中,在約100sccm至約250sccm的流動速率下,將氦或氬施加到腔室。在一些實施例中,將電漿施加到光罩 達約20s至約80s。在一些實施例中,將電漿施加到光罩達約30s至約70s。
在一些實施例中,氧及氯係為供應到腔室的唯一氣體。在一些實施例中,氧及氮係為供應到腔室的唯一氣體。在一些實施例中,氧及氦係為供應到腔室的唯一氣體。在一些實施例中,氧及氬係為供應到腔室的唯一氣體。在一些實施例中,氫係為供應到腔室的唯一氣體。在一些實施例中,氫及氦係為供應到腔室的唯一氣體。在一些實施例中,氫及氬係為供應到腔室的唯一氣體。
在一些實施例中,與氫相比,氧具有較高的碳殘留物移除速率,與氬及氦相比,氫具有較高的碳移除速率。然而,若不適當地監控c-閃光清潔加工時間,氣體的碳移除速率較高可能導致Ru覆蓋層的破壞。一旦移除碳殘留物,應當關閉電漿以避免對遮罩的破壞。
第8圖係繪示根據本揭露的一實施例的方法600的流程圖。在操作S610中,形成光罩205c。在一些實施例中,形成光罩包括在基板上方形成多層、覆蓋層、及吸收劑層,並且隨後圖案化吸收劑層以形成遮罩圖案。在操作S620中,在微影製程中使用光罩205c以在基板上形成光阻圖案。在一些實施例中,光罩205c用於1000或更多次曝光(或射擊)。在一些實施例中,光罩205c用於2000或更多次曝光(射擊)。在數次曝光(射擊)之後,在操作S630中,光罩205c在電漿處理或清潔腔室中經由電漿處理,以從光罩表面移除污染。電漿包括氧電漿或氫電漿。在一些實 施例中,在操作S640中,在電漿處理期間,將氯供應到電漿處理腔室。在一些實施例中,在操作S650中,在電漿處理期間,將氮供應到電漿處理腔室。在一些實施例中,在操作S660中,在電漿處理期間,將氦或氬供應到電漿處理腔室。
第9圖係繪示根據本揭露的一實施例的方法700的流程圖。在操作S710中,將光阻塗覆的基板曝光於從反射光罩205c反射的光化輻射。在數次曝光(射擊)之後,在操作S720中,將反射光罩205c放置在腔室中,諸如清潔腔室。在操作S730中,將反射光罩205c暴露於腔室中的電漿,以從光罩表面移除污染。電漿包括氧電漿或氫電漿。
第10圖係根據本揭露的一實施例繪示方法800或減少白斑缺陷以及關鍵尺寸均勻性漂移的流程圖。在操作S810中,將光阻塗覆的基板曝光於從反射光罩205c反射的光化輻射。在操作S820中,儲存反射光罩205達一期間而不在微影操作中使用反射光罩。在操作810或操作820之後,在操作S830中,將反射光罩205c放置在腔室中。在操作S840中,使用電漿從反射光罩205c的表面移除含碳的殘留物污染。在一些實施例中,在操作S850中檢查反射光罩205c以決定含碳的殘留物污染是否移除。
第11圖係繪示根據本揭露的一實施例的方法900的流程圖。在操作S910中,決定光罩205c的表面是否有含碳的殘留物污染。在操作S920中,當決定光罩205c有含碳的殘留物污染時,將光罩205c放置在腔室中。在一些 實施例中,當碳殘留物污染的量達到閾值量時,將光罩205c放置在腔室中。在操作S930中,將光罩暴露於電漿以移除含碳的殘留物。在暴露於電漿之後,在操作S940中決定碳殘留物污染是否移除。當在操作S940中移除碳殘留物污染時,在操作S950中,光罩205c在一些實施例中用於將光阻塗覆的基板曝光於極紫外輻射。在一些實施例中,在操作S960中,在將光罩暴露於電漿期間,將氯、氮、氦、或氬供應到腔室。
在一些實施例中,決定光罩表面是否有含碳的殘留物污染以及決定含碳的殘留物是否移除,其藉由檢查光罩表面來達成。在一些實施例中,使用視覺化技術來執行檢查。視覺化技術可包括使用透射電子顯微鏡(TEM)以成像遮罩的表面。在一些實施例中,能量色散X射線譜(EDS)與TEM一起使用以繪製在光罩上碳殘留污染物的分佈。在一些實施例中,使用紅外分析技術。在其他實施例中,在光阻塗覆的基板上形成的圖案中,監控關鍵尺寸均勻性漂移、接近度漂移、或白斑缺陷,並且當關鍵尺寸均勻性漂移、接近度漂移、或白斑缺陷的數量超過閾值時,光罩經歷本文描述的電漿污染移除製程。
經歷根據本揭露的實施例之含碳的殘留物之電漿移除(c-閃光)的光罩具有改進的接近度及關鍵尺寸均勻性。此外,根據本揭露之一實施例的方法減少EUV光罩上的白斑缺陷。因此,與使用未經歷本揭露之一實施例的電漿 移除操作的光罩所形成的圖案化特徵相比,本揭露之一實施例的方法提供了更清晰、更高對比的圖案化特徵。
在一些實施例中,根據本揭露之一實施例執行烴污染移除,改進了關鍵尺寸均勻性。在一些實施例中,達到改進關鍵尺寸均勻性之標準差的約50%或更多,優於使用未經歷根據本揭露的實施例之烴污染移除的光罩。在一些實施例中,在約2000次曝光而未執行本揭露之一實施例的烴移除操作(c-閃光)之後,而藉由光罩形成的圖案之關鍵尺寸均勻性的標準差為約0.63,以及在約2000次曝光及本揭露之一實施例的烴移除操作之後,而藉由光罩形成的圖案之關鍵尺寸均勻性的標準差為約0.34。
在一些實施例中,在電漿處理之後,跨光罩(across the photomask)的接近度漂移的中心至邊緣差(Center to edge difference)減小至約0.1nm或更小。在一些實施例中,在電漿處理之後,在使用光罩曝光光阻層之前,將接近度漂移恢復到光罩之初始值的約0.1nm。
在一些實施例中,經歷根據本揭露之一實施例的定期烴污染物移除操作的光罩,具有比未經歷根據本揭露之一實施例的烴污染物移除的光罩大超過100倍的服務壽命。在一些實施例中,在約800次曝光而未執行本揭露之一實施例的烴移除操作(c-閃光)之後,接近度及關鍵尺寸均勻性漂移變得不可接受。使用本揭露之一實施例的烴移除操作,在c-閃光操作之間,將曝光次數增加至約17,000,直至在一些實施例中,接近度及關鍵尺寸均勻性漂移變得不可 接受,曝光數量增加大於20倍。因為可執行c-閃光操作多達五次,根據本揭露之一實施例的方法的光罩之總壽命增加超過未經歷本揭露之一實施例的烴移除操作之光罩壽命的100倍。因此,本揭露之一實施例的方法改進半導體元件良率,並且提供更高效的半導體元件製造製程。
在一些實施例中,根據本揭露之一實施例的烴污染物移除操作實質上減少或消除關鍵尺寸均勻性漂移;實質上減少或消除接近度偏差漂移、以及實質上減少或消除光罩上的白斑。
本揭露的一實施例係為一種方法,包括將光阻塗覆的基板曝光於從反射光罩反射的輻射,或儲存反射光罩達一期間,而不在微影操作中使用反射光罩。在曝光或儲存期間,污染形成在反射光罩的表面上。在使用反射光罩曝光光阻塗覆的基板之後或在一期間之後,將表面上具有污染的反射光罩放置在電漿處理腔室中。具有污染的反射光罩在電漿處理腔室中經由電漿處理,以從表面移除污染。電漿包括氧電漿或氫電漿。在一實施例中,在電漿處理期間,將電漿處理腔室維持在1毫托至5毫托的壓力下。在一實施例中,污染係為含碳的殘留物。在一實施例中,含碳的殘留物包括烴。在一實施例中,污染設於光罩的吸收劑層中之圖案上。在一實施例中,在10sccm至100sccm的流動速率下,將氧供應到電漿處理腔室。在一實施例中,在20sccm至50sccm的流動速率下,將氧供應到電漿處理腔室。在一實施例中,方法包括在20sccm至100sccm的流動速率下,將 氯供應到電漿處理腔室。在一實施例中,方法包括在10sccm至50sccm的流動速率下,將氮供應到電漿處理腔室。在一實施例中,在20sccm至100sccm的流動速率下,將氫供應到電漿處理腔室。在一實施例中,方法包括在60sccm至300sccm的流動速率下,將氦或氬供應到電漿處理腔室。在一實施例中,在電漿處理期間,電漿處理腔室的源功率從100W至1000W變化。在一實施例中,電漿處理的持續時間從5s至100s變化。在一實施例中,方法包括檢查反射光罩,以決定在反射光罩暴露於電漿之後,污染是否移除。
本揭露的另一實施例係為一種方法,包括形成光罩、以及在微影製程中使用光罩,以形成光阻圖案在基板上。在微影製程中使用光罩之後,在電漿處理腔室中電漿處理光罩,以從光罩表面移除污染。電漿包括氧電漿或氫電漿。在一實施例中,形成光罩包括以下操作:形成Mo/Si多層在基板上方、形成覆蓋層在Mo/Si多層上方、形成吸收劑層在覆蓋層上方、形成硬遮罩層在吸收劑層上方、以及形成第一光阻層在硬遮罩層上方。在一實施例中,方法包括圖案化第一光阻層以暴露硬遮罩層的一部分、蝕刻硬遮罩層的暴露部分以暴露吸收劑層的一部分、蝕刻吸收劑層的暴露部分以暴露覆蓋層的一部分、及移除硬遮罩層以暴露吸收劑層的上表面。在一實施例中,在電漿處理期間,將電漿處理腔室維持在1毫托至5毫托的壓力下。在一實施例中,污染係為含碳的殘留物。在一實施例中,含碳的殘留物包括烴。在一 實施例中,污染設於光罩的吸收劑層中之圖案上。在一實施例中,在10sccm至100sccm的流動速率下,將氧供應到電漿處理腔室。在一實施例中,在20sccm至50sccm的流動速率下,將氧供應到電漿處理腔室。在一實施例中,方法包括在20sccm至100sccm的流動速率下,將氯供應到電漿處理腔室。在一實施例中,方法包括在10sccm至50sccm的流動速率下,將氮供應到電漿處理腔室。在一實施例中,在20sccm至100sccm的流動速率下,將氫供應到電漿處理腔室。在一實施例中,方法包括在60sccm至300sccm的流動速率下,將氦或氬供應到電漿處理腔室。在一實施例中,在電漿處理期間,電漿處理腔室的源功率從100W至1000W變化。在一實施例中,電漿處理的持續時間從5s至100s變化。
本揭露的另一實施例係為一種方法,包括形成光罩、以及將光罩儲存在光罩盒中。在將光罩儲存在光罩盒中之後,光罩在電漿處理腔室中經由電漿處理,以從光罩表面移除污染。電漿包括氧電漿或氫電漿。在一實施例中,形成光罩包括以下操作:形成Mo/Si多層在基板上方、形成覆蓋層在Mo/Si多層上方、形成吸收劑層在覆蓋層上方、形成硬遮罩層在吸收劑層上方、以及形成第一光阻層在硬遮罩層上方。在一實施例中,方法包括圖案化第一光阻層以暴露硬遮罩層的一部分、蝕刻硬遮罩層的暴露部分以暴露吸收劑層的一部分、蝕刻吸收劑層的暴露部分以暴露覆蓋層的一部分、及移除硬遮罩層以暴露吸收劑層的上表面。在一實施例 中,在電漿處理期間,將電漿處理腔室維持在1毫托至5毫托的壓力下。在一實施例中,污染係為含碳的殘留物。在一實施例中,含碳的殘留物包括烴。在一實施例中,污染設於在光罩的吸收劑層中之圖案上。在一實施例中,在10sccm至100sccm的流動速率下,將氧供應到電漿處理腔室。在一實施例中,在20sccm至50sccm的流動速率下,將氧供應到電漿處理腔室。在一實施例中,方法包括在20sccm至100sccm的流動速率下,將氯供應到電漿處理腔室。在一實施例中,方法包括在10sccm至50sccm的流動速率下,將氮供應到電漿處理腔室。在一實施例中,在20sccm至100sccm的流動速率下,將氫供應到電漿處理腔室。在一實施例中,方法包括在60sccm至300sccm的流動速率下,將氦或氬供應到電漿處理腔室。在一實施例中,在電漿處理期間,電漿處理腔室的源功率從100W至1000W變化。在一實施例中,電漿處理的持續時間從5s至100s變化。
本揭露的另一實施例係為一種方法,包括將光阻塗覆的基板曝光於從反射光罩反射的光化輻射。在使用反射光罩以曝光光阻塗覆的基板之後,將反射光罩放置在腔室中。反射光罩暴露於腔室中的電漿,以從反射光罩的表面移除污染。電漿包括氧電漿或氫電漿。在一實施例中,將光阻塗覆的基板曝光於光化輻射包含執行複數次曝光至光化輻射。在一實施例中,複數次光阻曝光從100至2500變化。在一實施例中,光化輻射係極紫外輻射。在一實施例中,電漿進一步包含氯、氮、氦、氬、或其組合。
本揭露的另一實施例係一種減少白斑缺陷及關鍵尺寸均勻性漂移的方法,包括將光阻塗覆的基板曝光於從反射光罩反射的光化輻射,或儲存反射光罩達一期間而不在微影操作中使用反射光罩。在使用反射光罩以曝光光阻塗覆的基板之後或在一期間之後,將反射光罩放置在腔室中。在將反射光罩放置在腔室中之後,使用電漿從反射光罩的表面移除含碳的殘留物污染。在一實施例中,電漿包含氧、氫、氬、氦、氯、或氮。在一實施例中,將光阻塗覆的基板曝光於光化輻射包含執行複數次光阻曝光至光化輻射。在一實施例中,複數次光阻曝光從100至2500變化。在一實施例中,期間係為至少30天。在一實施例中,期間係為30天至180天。在一實施例中,光化輻射係極紫外輻射。在一實施例中,方法包括檢查反射光罩以決定含碳的殘留物污染是否移除。
本揭露的另一實施例係為一種方法,包括決定光罩表面是否有含碳的殘留物污染。當決定光罩表面有含碳的殘留物污染時,將光罩放置在腔室中。光罩暴露於腔室中的電漿,以移除含碳的殘留物。檢查光罩以決定在光罩暴露於電漿之後,含碳的殘留物是否移除。在一實施例中,方法包括在決定移除含碳的殘留物之後,使用光罩將光阻塗覆的基板曝光於極紫外輻射。在一實施例中,電漿包括氧電漿或氫電漿。在一實施例中,在將光罩暴露於電漿期間,將腔室維持在1毫托至5毫托的壓力下。在一實施例中,方法包括在將光罩暴露於電漿期間,將氯、氮、氦、或氬供應到腔室。在一實施例中,在從100W至1000W變化的功率下,將電 漿施加到光罩。在一實施例中,將電漿施加到光罩達從5s至100s變化的持續時間。
上文概述了若干實施例或實例的特徵,使得熟習此項技術者可更好地理解本揭露之一實施例的態樣。熟習此項技術者應瞭解,可輕易使用本揭露之一實施例作為設計或修改其他製程及結構的基礎,以便實施本文所介紹的實施例或實例的相同目的及/或實現相同優點。熟習此項技術者亦應認識到,此類等效結構並未脫離本揭露之一實施例的精神及範疇,且可在不脫離本揭露之一實施例的精神及範疇的情況下產生本文的各種變化、替代及更改。
400:方法
S410:操作
S420:操作
S430:操作
S440:操作
S450:操作
S460:操作
S470:操作
S480:操作

Claims (10)

  1. 一種製造及維護光罩的方法,包含:曝光一光阻塗覆的基板於從一反射光罩反射的輻射,或儲存該反射光罩達一期間,而不在一微影操作中使用該反射光罩,其中在該曝光或儲存期間,污染形成在該反射光罩的一表面上;在使用該反射光罩曝光該光阻塗覆的基板之後或者在該期間之後,將該表面上具有該污染的該反射光罩放置在一電漿處理腔室中;以及在該電漿處理腔室中,以電漿處理具有該污染的該反射光罩,而從該表面移除該污染,其中該電漿包括氧電漿或氫電漿。
  2. 如請求項1所述之方法,其中在10sccm至100sccm的一流動速率下,將氧供應到該電漿處理腔室。
  3. 如請求項1所述之方法,進一步包含在20sccm至100sccm的一流動速率下,將氯供應到該電漿處理腔室。
  4. 如請求項1所述之方法,進一步包含在10sccm至50sccm的一流動速率下,將氮供應到該電漿處理腔室。
  5. 如請求項1所述之方法,其中在20sccm至100sccm的一流動速率下,將氫供應到該電漿處理腔室。
  6. 如請求項1所述之方法,進一步包含在60sccm至300sccm的流動速率下,將氦或氬供應到該電漿處理腔室。
  7. 一種製造及維護光罩的方法,包含:形成一光罩;在一微影製程中使用該光罩在一基板上形成一光阻圖案;以及在該微影製程中使用該光罩之後,在一電漿處理腔室中,以電漿處理該光罩,而從該光罩的一表面移除污染,其中該電漿包括氧電漿或氫電漿。
  8. 如請求項7所述之方法,其中在該電漿處理期間,該電漿處理腔室維持在1毫托至5毫托的一壓力下。
  9. 如請求項7所述之方法,其中在該電漿處理期間,該電漿處理腔室的該源功率從100W至1000W變化。
  10. 一種製造及維護光罩的方法,包含:形成一光罩;儲存該光罩於一光罩盒中;以及在儲存該光罩於該光罩盒中之後,在一電漿處理腔室中,以電漿處理該光罩,而從該光罩的一表面移除污染,其中該電漿包括氧電漿或氫電漿。
TW108135317A 2018-09-28 2019-09-27 製造及維護光罩的方法 TWI708992B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201862738003P 2018-09-28 2018-09-28
US62/738,003 2018-09-28
US16/568,028 2019-09-11
US16/568,028 US11360384B2 (en) 2018-09-28 2019-09-11 Method of fabricating and servicing a photomask

Publications (2)

Publication Number Publication Date
TW202026751A TW202026751A (zh) 2020-07-16
TWI708992B true TWI708992B (zh) 2020-11-01

Family

ID=69947357

Family Applications (1)

Application Number Title Priority Date Filing Date
TW108135317A TWI708992B (zh) 2018-09-28 2019-09-27 製造及維護光罩的方法

Country Status (3)

Country Link
US (1) US11360384B2 (zh)
CN (1) CN110967925A (zh)
TW (1) TWI708992B (zh)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN112612177B (zh) * 2020-12-16 2024-01-23 上海华力微电子有限公司 掩模版及其制备方法、光刻机

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070037410A1 (en) * 2005-08-15 2007-02-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming a lithography pattern
WO2011071123A1 (ja) * 2009-12-09 2011-06-16 旭硝子株式会社 Euvリソグラフィ用反射層付基板、euvリソグラフィ用反射型マスクブランク、euvリソグラフィ用反射型マスク、および該反射層付基板の製造方法
JP2013115304A (ja) * 2011-11-30 2013-06-10 Nuflare Technology Inc 荷電粒子ビーム描画装置及び荷電粒子ビーム描画方法
TW201639417A (zh) * 2015-04-30 2016-11-01 台灣積體電路製造股份有限公司 極紫外光射線源模組、極紫外光微影系統以及極紫外光微影製程方法
TWI563348B (en) * 2014-03-13 2016-12-21 Taiwan Semiconductor Mfg Co Ltd Extreme ultraviolet radiation source, extreme ultraviolet lithography system, and extreme ultraviolet lithography process
US20170060005A1 (en) * 2015-08-31 2017-03-02 Taiwan Semiconductor Manufacturing Company, Ltd. Mask cleaning
CN107132733A (zh) * 2017-05-11 2017-09-05 湘能华磊光电股份有限公司 一种led芯片光刻显影方法

Family Cites Families (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7642195B2 (en) * 2005-09-26 2010-01-05 Applied Materials, Inc. Hydrogen treatment to improve photoresist adhesion and rework consistency
KR20080001473A (ko) 2006-06-29 2008-01-03 주식회사 하이닉스반도체 헤이즈 결함을 제거한 포토 마스크의 제조 방법
US7767365B2 (en) 2006-08-31 2010-08-03 Micron Technology, Inc. Methods for forming and cleaning photolithography reticles
US7771895B2 (en) 2006-09-15 2010-08-10 Applied Materials, Inc. Method of etching extreme ultraviolet light (EUV) photomasks
US7894037B2 (en) * 2007-07-30 2011-02-22 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US20090325387A1 (en) 2008-06-26 2009-12-31 Applied Materials, Inc. Methods and apparatus for in-situ chamber dry clean during photomask plasma etching
WO2011072061A2 (en) * 2009-12-11 2011-06-16 Novellus Systems, Inc. Enhanced passivation process to protect silicon prior to high dose implant strip
US20130048606A1 (en) * 2011-08-31 2013-02-28 Zhigang Mao Methods for in-situ chamber dry clean in photomask plasma etching processing chamber
JP5302450B2 (ja) 2012-09-20 2013-10-02 カール・ツァイス・エスエムティー・ゲーエムベーハー 光学面から汚染層を除去するための方法、洗浄ガスを生成するための方法、ならびに対応する洗浄および洗浄ガス生成の構造
US9093530B2 (en) 2012-12-28 2015-07-28 Taiwan Semiconductor Manufacturing Company, Ltd. Fin structure of FinFET
US9052595B2 (en) 2013-03-15 2015-06-09 Taiwan Semiconductor Manufacturing Company, Ltd. Lithography process
US9310675B2 (en) 2013-03-15 2016-04-12 Taiwan Semiconductor Manufacturing Company, Ltd. Extreme ultraviolet light (EUV) photomasks, and fabrication methods thereof
US8796666B1 (en) 2013-04-26 2014-08-05 Taiwan Semiconductor Manufacturing Company, Ltd. MOS devices with strain buffer layer and methods of forming the same
US9261774B2 (en) 2013-11-22 2016-02-16 Taiwan Semiconductor Manufacturing Company, Ltd. Extreme ultraviolet lithography process and mask with reduced shadow effect and enhanced intensity
CN106164771B (zh) 2014-03-11 2020-08-04 芝浦机械电子株式会社 反射型掩膜的清洗装置、及反射型掩膜的清洗方法
US9548303B2 (en) 2014-03-13 2017-01-17 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET devices with unique fin shape and the fabrication thereof
US9529268B2 (en) 2014-04-03 2016-12-27 Taiwan Semiconductor Manufacturing Company, Ltd. Systems and methods for improving pattern transfer
US9256123B2 (en) 2014-04-23 2016-02-09 Taiwan Semiconductor Manufacturing Co., Ltd. Method of making an extreme ultraviolet pellicle
US9184054B1 (en) 2014-04-25 2015-11-10 Taiwan Semiconductor Manufacturing Company, Ltd. Method for integrated circuit patterning
US20170017146A1 (en) * 2015-07-13 2017-01-19 Applied Materials, Inc. Process for removing contamination on ruthenium surface
US10061191B2 (en) * 2016-06-01 2018-08-28 Taiwan Semiconductor Manufacturing Co., Ltd. High durability extreme ultraviolet photomask
US10345695B2 (en) 2016-11-30 2019-07-09 Taiwan Semiconductor Manufacturing Co., Ltd. Extreme ultraviolet alignment marks
KR101976059B1 (ko) 2017-05-25 2019-05-07 (주)인터체크 플라즈마를 이용한 레티클 세정장치
DE102017211539A1 (de) 2017-07-06 2019-01-10 Carl Zeiss Smt Gmbh Verfahren zum Entfernen einer Kontaminationsschicht durch einen Atomlagen-Ätzprozess
US11500281B2 (en) * 2019-09-02 2022-11-15 Hoya Corporation Reflective film coated substrate, mask blank, reflective mask, and semiconductor device manufacturing method

Patent Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070037410A1 (en) * 2005-08-15 2007-02-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming a lithography pattern
WO2011071123A1 (ja) * 2009-12-09 2011-06-16 旭硝子株式会社 Euvリソグラフィ用反射層付基板、euvリソグラフィ用反射型マスクブランク、euvリソグラフィ用反射型マスク、および該反射層付基板の製造方法
US20120231378A1 (en) * 2009-12-09 2012-09-13 Asahi Glass Company, Limited Reflective layer-equipped substrate for euv lithography, reflective mask blank for euv lithography, reflective mask for euv lithography, and process for production of the reflective layer-equipped substrate
JP2013115304A (ja) * 2011-11-30 2013-06-10 Nuflare Technology Inc 荷電粒子ビーム描画装置及び荷電粒子ビーム描画方法
TWI563348B (en) * 2014-03-13 2016-12-21 Taiwan Semiconductor Mfg Co Ltd Extreme ultraviolet radiation source, extreme ultraviolet lithography system, and extreme ultraviolet lithography process
TW201639417A (zh) * 2015-04-30 2016-11-01 台灣積體電路製造股份有限公司 極紫外光射線源模組、極紫外光微影系統以及極紫外光微影製程方法
US20170060005A1 (en) * 2015-08-31 2017-03-02 Taiwan Semiconductor Manufacturing Company, Ltd. Mask cleaning
TWI575339B (zh) * 2015-08-31 2017-03-21 台灣積體電路製造股份有限公司 微影術系統及其使用方法
CN107132733A (zh) * 2017-05-11 2017-09-05 湘能华磊光电股份有限公司 一种led芯片光刻显影方法

Also Published As

Publication number Publication date
TW202026751A (zh) 2020-07-16
US11360384B2 (en) 2022-06-14
CN110967925A (zh) 2020-04-07
US20200103745A1 (en) 2020-04-02

Similar Documents

Publication Publication Date Title
US11789355B2 (en) Extreme ultraviolet mask and method of manufacturing the same
US11774844B2 (en) Extreme ultraviolet mask and method of manufacturing the same
US11832372B2 (en) EUV light source and apparatus for lithography
TW201916752A (zh) 放射源設備
US20220350236A1 (en) Extreme ultraviolet mask and method of manufacturing the same
US20230341767A1 (en) Method of fabricating and servicing a photomask
TWI708992B (zh) 製造及維護光罩的方法
TW202147034A (zh) 極紫外線遮罩的形成方法
TWI810829B (zh) 使用光罩製造半導體裝置之方法
US11841625B2 (en) Device and method to remove debris from an extreme ultraviolet (EUV) lithography system
US20230288807A1 (en) Photoresist, method of manufacturing a semiconductor device and method of extreme ultraviolet lithography
TWI822893B (zh) 生成佈局圖案的方法
TW202310249A (zh) 用於製造半導體的設備