TWI701280B - 半導體結構的形成方法 - Google Patents

半導體結構的形成方法 Download PDF

Info

Publication number
TWI701280B
TWI701280B TW107138172A TW107138172A TWI701280B TW I701280 B TWI701280 B TW I701280B TW 107138172 A TW107138172 A TW 107138172A TW 107138172 A TW107138172 A TW 107138172A TW I701280 B TWI701280 B TW I701280B
Authority
TW
Taiwan
Prior art keywords
layer
polymer
forming
group
resistance layer
Prior art date
Application number
TW107138172A
Other languages
English (en)
Other versions
TW201925354A (zh
Inventor
訾安仁
張慶裕
林進祥
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW201925354A publication Critical patent/TW201925354A/zh
Application granted granted Critical
Publication of TWI701280B publication Critical patent/TWI701280B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0045Photosensitive materials with organic non-macromolecular light-sensitive compounds not otherwise provided for, e.g. dissolution inhibitors
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/038Macromolecular compounds which are rendered insoluble or differentially wettable
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/038Macromolecular compounds which are rendered insoluble or differentially wettable
    • G03F7/0382Macromolecular compounds which are rendered insoluble or differentially wettable the macromolecular compound being present in a chemically amplified negative photoresist composition
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/039Macromolecular compounds which are photodegradable, e.g. positive electron resists
    • G03F7/0392Macromolecular compounds which are photodegradable, e.g. positive electron resists the macromolecular compound being present in a chemically amplified positive photoresist composition
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/075Silicon-containing compounds
    • G03F7/0752Silicon-containing compounds in non photosensitive layers or as additives, e.g. for dry lithography
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/094Multilayer resist systems, e.g. planarising layers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/11Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers having cover layers or intermediate layers, e.g. subbing layers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2002Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image
    • G03F7/2004Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image characterised by the use of a particular light source, e.g. fluorescent lamps or deep UV light
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2002Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image
    • G03F7/2004Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image characterised by the use of a particular light source, e.g. fluorescent lamps or deep UV light
    • G03F7/2006Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image characterised by the use of a particular light source, e.g. fluorescent lamps or deep UV light using coherent light; using polarised light
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/30Imagewise removal using liquid means
    • G03F7/32Liquid compositions therefor, e.g. developers
    • G03F7/322Aqueous alkaline compositions
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/30Imagewise removal using liquid means
    • G03F7/32Liquid compositions therefor, e.g. developers
    • G03F7/325Non-aqueous compositions
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/38Treatment before imagewise removal, e.g. prebaking
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • H01L21/0276Photolithographic processes using an anti-reflective coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • H01L21/266Bombardment with radiation with high-energy radiation producing ion implantation using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Architecture (AREA)
  • Structural Engineering (AREA)
  • High Energy & Nuclear Physics (AREA)
  • Ceramic Engineering (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Materials For Photolithography (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)

Abstract

提供一種半導體結構的形成方法。此方法包含:形成材料層於基底上方,以及形成輔助層於材料層上方。此輔助層包含聚合物主鏈(backbone);酸不穩定基(acid labile group,ALG),與聚合物主鏈鍵結;以及浮動基(floating group),與聚合物主鏈鍵結。此浮動基包含氟化碳(CxFy)。此方法亦包含形成阻抗層於輔助層上方,以及圖案化阻抗層。

Description

半導體結構的形成方法
本揭露係有關於一種半導體技術,特別係有關於一種半導體結構的形成方法。
半導體裝置已用於如個人電腦、行動電話、數位相機及其他電子設備之多種電子應用之中。通常藉由依序於半導體基底上方沉積絕緣或介電層、導電層與半導體層的材料,並使用微影製程圖案化各種材料層以於其上形成電子組件與元件來製造半導體裝置。許多的積體電路通常製作於單一半導體晶圓上,並藉由沿著積體電路之間的切割道切割而將晶圓切割成為獨立的晶粒(die)。舉例來說,這些獨立的晶粒通常分別地封裝於多重晶片模組(multi-chip modules)或其他類型之封裝結構。
然而,這些進步增加了加工及製造積體電路的複雜度。隨著部件尺寸持續縮減,製造製程持續地變得更加難以進行。因此,隨著尺寸愈來愈小,形成可靠的半導體裝置是一項挑戰。
本揭露實施例提供一種半導體結構的形成方法包 括形成材料層於基底上方,以及形成輔助層於材料層上方。此輔助層包含聚合物主鏈(backbone);酸不穩定基(acid labile group,ALG),與聚合物主鏈鍵結;以及浮動基(floating group),與聚合物主鏈鍵結。此浮動基包含氟化碳(CxFy)。此方法亦包含形成阻抗層於輔助層上方,以及圖案化阻抗層。
本揭露實施例提供一種半導體結構的形成方法包括形成材料層於基底上方以及形成下層於材料層上方。下層包含第一聚合物主鏈;酸不穩定基(ALG),與第一聚合物主鏈鍵結;以及浮動基,與第一聚合物主鏈鍵結。酸不穩定基包含極性開關單元,以及浮動基包含氟化碳(CxFy)。此方法更包含形成阻抗層於下層上方,且阻抗層包含第二聚合物。此方法更包含藉由進行曝光製程以曝光阻抗層,以及於進行曝光製程之後,第一聚合物與第二聚合物反應形成第一聚合物與第二聚合物之間的分子間力。
本揭露實施例提供一種半導體結構的形成方法包括形成材料層於基底上方,以及形成底層於材料層上方。此方法亦包含形成中間層於底層上方。中間層包含含矽無機聚合物;第一聚合物主鏈;酸不穩定基(ALG),與第一聚合物主鏈鍵結;以及浮動基,與第一聚合物主鏈鍵結。酸不穩定基包含極性開關單元,以及浮動基包含氟化碳(CxFy)。此方法亦包含形成阻抗層於中間層上方,以及圖案化阻抗層。
11:第一聚合物
11a:第一聚合物主鏈
12:第二聚合物
13、15:化合物
20:酸不穩定基
30:浮動基
40:光酸產生劑
50:光可分解鹼
60:淬滅劑
100:半導體裝置
102:基底
104、104a:材料層
105:摻雜區
106:底層
107、107a:下層
108、108a:中間層
109、109a:輔助層
110、110a:阻抗層
120:三層式阻抗
170:罩幕
172:曝光製程
174:第一顯影製程
176:第二顯影製程
R1:第一連結基
R2:第二連結基
T1:第一厚度
T2:第二厚度
根據以下詳細描述並結合附圖閱讀時,可最佳地理解本揭露之各面向。應注意的是,依照在業界的標準做法, 各種部件(feature)並非依比例繪製。事實上,為了論述之明確性,各種部件之尺寸可能任意放大或縮小。
第1A-1E圖為依據本揭露的一些實施例,繪示形成半導體結構的各種階段的剖面表示圖。
第2圖為依據一些實施例,繪示輔助層化學結構的圖示。
第3A-3B圖為依據一些實施例,繪示當在光微影製程中進行曝光製程時,發生於阻抗層與輔助層之反應的示意圖。
第4A-4E圖為依據一些實施例,繪示形成半導體結構的各種階段的剖面表示圖。
第5A-5F圖為依據一些實施例,繪示形成半導體結構的各種階段的剖面表示圖。
以下的揭露內容提供許多不同的實施例或範例,以實施所提供之標的之不同部件。組件和配置的具體範例描述如下,以簡化本揭露。當然,這些說明僅為範例而非用以限定本揭露。舉例來說,敘述中若提及第一部件形成於第二部件上方或之上,可能包含所形成第一部件與第二部件是直接接觸的實施例,亦可能包含額外的部件形成於第一部件與第二部件之間,而使第一部件與第二部件不直接接觸的實施例。此外,本揭露可能在各種範例中使用重複的參考數字及/或字母,此重複是為了簡化和明確之目的,並未指示不同的實施例及/或所論述的組態之間的關係。
以下描述實施例的一些變化。在各種視圖及繪示性的實施例中,相同的參考數字用於表示相同的元件。應理解 的是,可以在方法之前、期間及之後提供額外的步驟,並且於其他實施例的方法中,一些步驟可以被取代或剔除。
本揭露中描述的先進微影製程、方法以及材料可用於許多應用,包含鰭式場效電晶體(fin-type field effect transistors,FinFETs)。舉例來說,上述揭露非常適合用於圖案化鰭片以產生部件之間相對靠近的間隔。此外,可依據以上的揭露製造用於形成鰭式場效電晶體的鰭片的間隔物。
本揭露提供半導體結構及其形成方法的實施例。第1A-1E圖依據本揭露的一些實施例,繪示形成半導體結構的各種階段的剖面表示圖。方法可使用於許多應用中,如鰭式場效電晶體(FinFETs)裝置結構。
參考第1A圖,提供了基底102。可用矽或其他半導體材料製成基底102。於一些實施例中,基底102為晶圓。可選地或額外地,基底102可包含其他元素半導體材料,如鍺(Ge)。於一些實施例中,基底102以化合物半導體或合金半導體製成,如碳化矽、砷化鎵、砷化銦或磷化銦、矽鍺、碳化矽鍺、磷化鎵砷或磷化鎵銦。於一些實施例中,基底102包含磊晶層。舉例來說,基底102具有覆蓋主體(bulk)半導體的磊晶層。
可於基底102上方形成一些裝置元件。裝置元件包含電晶體(例如,金屬氧化物半導體場效電晶體(metal-oxide Semiconductor field effect transistors,MOSFETs)、互補式金屬氧化物半導體(complementary metal-oxide semiconductors,CMOS)電晶體、雙極接面電晶體(bipolar junction transistors,BJT)、高壓電晶體、高頻電晶體、p通道及/或n通道場效電晶 體(PFETs/NFETs)等)、二極體、及/或其他應用元件。進行各種製程以形成裝置元件,如沉積、蝕刻、植入、光微影、退火、及/或其他可應用的製程。
基底102可包含各種摻雜區,如p型井或n型井。摻雜區可使用如硼(B)或BF2的p型摻質及/或如磷(P)或砷(As)的n型摻質來摻雜。於一些其他的實施例中,可直接於基底102之上形成摻雜區。
基底102亦包含隔離結構(未繪示)。隔離結構使用於界定並電性隔離形成於基底102之中及/或上方的各種裝置。於一些實施例中,隔離結構包含淺溝槽隔離(shallow trench isolation,STI)結構、局部矽氧化(LOCal Oxidation of Silicon,LOCOS)結構、或另一可應用的隔離結構。於一些實施例中,隔離結構包含氧化矽、氮化矽、氮氧化矽、摻雜氟化物的矽玻璃(fluoride-doped silicate glass,FSG)、或另一合適的材料。
之後,於基底102上方形成材料層104,並於材料層104上方形成輔助層109。之後,於輔助層109上方形成阻抗層110。於一些實施例中,藉由沉積製程,如旋轉塗佈製程、化學氣相沉積(chemical vapor deposition,CVD)製程、物理氣相沉積(physical vapor deposition,PVD)製程、及/或其他合適的沉積製程獨立地形成材料層104、輔助層109以及阻抗層110。
第2圖為依據一些實施例,繪示輔助層109化學結構的圖示。如第2圖所示,輔助層109包含第一聚合物11以及溶劑。
第一聚合物11包含第一聚合物主鏈11a,以及與第 一聚合物主鏈11a鍵結的酸不穩定基(acid labile group,ALG)20與浮動(floating,F)基30。應注意的是,與第一聚合物主鏈11a鍵結的酸不穩定基20與浮動基30兩者使用於防止阻抗層110崩塌。
於一些實施例中,輔助層更可包含光酸產生劑(photoacid generator,PAG)40。當輔助層曝露於輻射能量且吸收輻射時,於輔助層109中的光酸產生劑40產生酸。當輔助層110處於酸性環境時,酸不穩定基(ALG)20自第一聚合物11裂解。換句話說,當輔助層109曝露於輻射時,光酸產生劑40催化酸不穩定基20自第一聚合物11的裂解。作為結果,改變了輔助層109的曝光區的極性及/或溶解度。於輔助層109中的浮動基30提供阻抗層110「浮動」的特性。因此,第一聚合物11接近阻抗層110。
酸不穩定基20經由第一連結基R1與第一聚合物主鏈11a鍵結。於一些實施例中,第一連結基R1包含具有氫或鹵素的包括1至9個碳原子的直鏈、支鏈、環狀或非環狀脂肪族烴基(例如,烷基、烯烴)、或-S-;-P-;-P(O2)-;-C(=O)S-;-C(=O)O-;-O-;-N-;-C(=O)N-;-SO2O-;-SO2O-;-SO2S-;-SO-;-SO2-、羧酸、醚、酮、酯單元及/或其他合適的組成。於一些實施例中,酸不穩定基20包含極性開關單元,且此極性開關單元包含縮醛,縮丙酮化合物(acetonide)或酸酐。酸不穩定基20的極性開關單元提供極性力以使酸不穩定基具有停留於輔助層109之中的傾向,其導致減少輔助層109的質量損失。
於一些實施例中,酸不穩定基20包含化學式(I)、(II)、(III)、(IV)、(V)、(VI)、(VII)或(VIII)。
Figure 107138172-A0305-02-0009-15
浮動基(F)30經由第二連結基R2與第一聚合物主鏈11a鍵結。於一些實施例中,第二連結基R2包含具有氫或鹵素的包括1至9個碳原子的直鏈、支鏈、環狀或非環狀脂肪族烴基(例如,烷基、烯烴)、或-S-;-P-;-P(O2)-;-C(=O)S-;-C(=O)O-;-O-;-N-;-C(=O)N-;-SO2O-;-SO2O-;-SO2S-;-SO-;-SO2-、羧酸、醚、酮、酯單元及/或其他合適的組成。
於一些實施例中,浮動基(F)30包含氟化碳(CxFy),其包含取代或未取代的直鏈、支鏈的包括1至9個碳原子的脂肪族烴基。於一些實施例中,浮動基(F)30包含化學式(IX)、(X)、(XI)、(XII)、(XIII)或(XIV)。
Figure 107138172-A0305-02-0009-3
Figure 107138172-A0305-02-0010-4
於一些實施例中,輔助層109中的溶劑包含丙二醇甲醚乙酸酯、丙二醇單甲醚、γ-丁內酯、乳酸乙酯、環己酮、乙酸正丁酯、乙酮、二甲基甲醯胺、醇類(如異丙醇或乙醇)、或另一合適的溶劑。
於一些實施例中,酸不穩定基20與第一聚合物主鏈11A的重量比為約30wt%至約70wt%。於一些實施例中,浮動基(F)30與第一聚合物主鏈11a的重量比為約30wt%至約70wt%。
於一些實施例中,光酸產生劑40包含苯環(phenyl ring)。於一些實施例中,光酸產生劑40包含鹵化三嗪(halogenated triazines)、鎓鹽(onium salts)、重氮鹽(diazonium salts)、芳香性重氮鹽(aromatic diazonium salts)、磷鹽(phosphonium salts)、硫鎓鹽(sulfonium salts)、碘鎓鹽(iodonium salts)、醯亞胺磺酸鹽(imide sulfonate)、肟磺酸鹽(oxime sulfonate)、重氮二碸(diazodisulfone)、二碸(disulfone)、鄰-硝基苯甲基磺酸鹽(o-nitrobenzylsulfonate)、磺酸鹽基酯(sulfonated esters)、鹵化磺醯氧基二羧醯亞胺(halogenerated sulfonyloxy dicarboximides)、重氮二碸(diazodisulfones)、α-氰基氧基胺-磺酸鹽(α-cyanooxyamine-sulfonates)、醯亞胺磺酸鹽(imidesulfonates)、酮基重氮碸(ketodiazosulfones)、碸基重氮酯(sulfonyldiazoesters)、1,2-二(芳基碸基)聯胺 (1,2-di(arylsulfonyl)hydrazines)、硝基苯甲基酯(nitrobenzyl esters)、s-三嗪衍生物(s-triazine derivatives)。
於一些實施例中,光酸產生劑40包含陽離子與陰離子,於一些實施例中,陽離子包含化學式(XV)、(XVI)。於一些實施例中,陰離子包含化學式(XVII)、(XVIII)、(XIX)、(XX)、(XXI)、(XXII)或(XXIII)。
Figure 107138172-A0305-02-0011-5
於一些其他實施例中,輔助層109更包含光可分解鹼(photo decomposable base,PDB)50。在曝光區中,光可分解鹼(PDB)50為一種當曝露於光照時將會分解的鹼。於一些實施例中,光可分解鹼(PDB)50包含化學式(XXIV),其中R1表示可具有取代基的5個或更多個碳原子的脂環族基;X表示二價連接基;Y表示直鏈,支鏈或環狀亞烷基或亞芳基;Rf表示含有氟原子的烴基;以及M+表示有機陽離子或金屬陽離子。
Figure 107138172-A0305-02-0012-6
於一些實施例中,光可分解鹼(PDB)50包含陽離子與陰離子。於一些實施例中,陽離子包含如前文所示之化學式(XV)、(XVI)。於一些實施例中,陰離子包含化學式(XXV)、(XXVI)、(XXVII)、(XXVIII)、(XXIX)、或(XXX)。
Figure 107138172-A0305-02-0012-7
Figure 107138172-A0305-02-0012-8
Figure 107138172-A0305-02-0012-12
於一些實施例中,輔助層109更包含淬滅劑(quencher,Q)60。於一些實施例中,淬滅劑(Q)60包含化學式(XXXI)、(XXXII)、(XXXIII)、(XXXIV)、或(XXXV)。
Figure 107138172-A0305-02-0012-14
Figure 107138172-A0305-02-0013-13
於一些實施例中,阻抗層110包含化學增幅阻抗(chemically amplified resist,CAR)材料。化學增幅阻抗材料使用於改善阻抗層110的靈敏度。當暴露於輻射下時,化學增幅阻抗材料可產生多重化學反應,從而化學性地增幅對輻射的反應。於一些實施例中,阻抗層110包含化合物12(如第3A圖所示)與添加劑。於一些實施例中,化合物12包含第二聚合物以及與第二聚合物鍵結的酸不穩定基20。添加劑包含光酸產生劑(PAG)以及光可分解鹼(PDB)。應注意的是,在阻抗層110中的第二聚合物12與在輔助層109中的第一聚合物11不同。
當阻抗層110曝露於輻射能量並吸收輻射時,在阻抗層110中的光酸產生劑產生酸。當阻抗層110處於酸性環境時,酸不穩定基(ALG)20自第二聚合物裂解。換句話說,當阻抗層110曝露於輻射時,光酸產生劑催化酸不穩定基自第二聚合物12的裂解。作為結果,改變了阻抗層110的曝光區的極性及/或溶解度。
輔助層109在垂直方向具有第一厚度T1,以及阻抗層在垂直方向具有第二厚度T2。於一些實施例中,第一厚度T1為約2nm至約50nm之範圍。於一些其他的實施例中,第二厚度T2為約10nm至約50nm之範圍。
接著,如第1B圖所示,依據本揭露的一些實施例,在阻抗層110上方形成罩幕層170,以及在阻抗層110上進行曝 光製程172以形成曝光區及未曝光區。曝光製程172的輻射能量可包含來自氟化氪(KrF)準分子雷射的248nm束、來自氟化氬(ArF)準分子雷射的193nm束、來自氟化物(F2)準分子雷射的157nm束、或超紫外(EUV)光,如波長為13.5nm的超紫外光。
於曝光製程172之後,進行曝光後烘烤(post-exposure-baking,PEB)製程。於一些實施例中,曝光後烘烤製程包含使用微波或IR燈加熱製程。於一些實施例中,曝光後烘烤製程在範圍為約120度至約350度的溫度下進行。於一些其他的實施例中,進行曝光後烘烤製程的持續時間為約500微秒至約30秒的範圍。應注意的是,由於微波或IR燈加熱製程可均勻地提供熱能,因此藉由使用微波或IR燈加熱製程在一定溫度下均勻地烘烤阻抗層110。藉由均勻地提供熱能,阻抗層110中的化學反應可迅速反應。作為結果,烘烤製程的加熱時間可減少至低於30秒。
第3A-3B圖為依據一些實施例,繪示當在光微影製程中進行曝光製程172時,發生於阻抗層110與輔助層109之反應的示意圖。
如第3A圖所示,如前文所表示的,當阻抗層110處於酸性環境時,酸不穩定基20自第二聚合物12裂解。在酸不穩定基20自阻抗層110的第二聚合物12釋放之後,於第二聚合物12中形成了羧酸基。此外,當輔助層109處於酸性環境時,酸不穩定基20自第一聚合物11裂解。在酸不穩定基20自第一聚合物11釋放時,於第一聚合物11中形成了羧酸基。
如第3B圖所示,當輔助層109中的第一聚合物11浮 起並接近阻抗層110中的第二聚合物12時,第一聚合物11中的羧酸基與第二聚合物12中的羧酸基之間產生化學反應。更具體地,第一聚合物11中的羧酸基與第二聚合物12中的羧酸基之間形成氫鍵。
於曝光製程172之後,阻抗層110的曝光區變為具親水性。此外,輔助層109的曝光區變為具親水性。於一些實施例中,輔助層109的水接觸角自曝光製程172之前的約70°至約89°變化為曝光製程172之後的約40°至約60°。此數據顯示在曝光製程172之後,輔助層109變得更加親水。
應注意的是,阻抗層110與輔助層109之間的化學鍵(例如,氫鍵)用於防止阻抗層110崩塌。因此,改善了阻抗層110的圖案解析度。再者,浮動基30用於使第一聚合物11浮起以增加第一聚合物11與第二聚合物12之間的交互作用。於一些實施例中,第一聚合物11的平均分子量(Mw)為約6000至約20000的範圍。當第一聚合物11的平均分子量(Mw)在上述的範圍時,改善了阻抗層110的圖案解析度。
接著,如第1C圖所示,依據本揭露一些實施例,藉由進行第一顯影製程174顯影阻抗層110以形成圖案化的阻抗層110a。
有兩種類型的顯影製程:正型顯影(positive tone development,PTD)製程以及負型顯影(negative tone development,NTD)製程。正型顯影製程使用正型顯影劑,其通常是指選擇性地溶解並移除阻抗層的曝光部分的顯影劑。負型顯影製程使用負型顯影劑,其通常是指選擇性地溶解並移除 阻抗層的未曝光部分的顯影劑。於一些實施例中,正型顯影劑為含水鹼性顯影劑,如氫氧化四甲基胺(tetraalkylammonium hydroxide,TMAH)。於一些實施例中,負型顯影劑為有機鹼顯影劑,如乙酸正丁酯(n-butyl acetate,n-BA)。如第1C圖所示,於一些實施例中,進行負型顯影(NTD)製程,阻抗層110的曝光區被保留,且藉由顯影劑移除阻抗層110的未曝光區。
阻抗層110的曝光區具有數個突出結構。於一些實施例中,第一突出結構的左側壁表面與第二突出結構的左側壁表面的距離為間距P1。於一些實施例中,間距P1為約10nm至約40nm的範圍。
之後,如第1D圖所示,依據本揭露一些實施例,藉由進行第二顯影製程176圖案化輔助層109以形成圖案化的輔助層109a。
於一些實施例中,輔助層109的一部份隨著阻抗層110一同被移除。應注意的是,由於阻抗層110與輔助層109由不同材料形成,第一顯影製程174並未完整地移除輔助層109。因此,使用第二顯影製程176移除輔助層109未被圖案化的阻抗層110a覆蓋的部份。
接著,如第1E圖所示,藉由進行蝕刻製程並使用圖案化的阻抗層110a及圖案化的輔助層109a為罩幕來移除部分的材料層104。蝕刻製程包含數個蝕刻製程。蝕刻製程可為乾式蝕刻製程或濕式蝕刻製程。之後,移除圖案化的阻抗層110a。於一些實施例中,藉由包含鹼性溶液的濕式蝕刻製程移除圖案化的阻抗層110a,且此鹼性溶液為四甲基胺氫氧化物 (TMAH)。
輔助層109及阻抗層110可由不同材料形成。例如,輔助層109可作為材料層104與阻抗層110之間的黏著層使用。由於第一聚合物11浮向輔助層109的上表面,第一聚合物11接近輔助層109與阻抗層110之間的介面。因此,藉由第一聚合物11與第二聚合物12之間的分子間力可使阻抗層110不會輕易地崩塌。再者,具有光酸產生劑40的輔助層109形成於阻抗層110下方,輔助層109中的光酸產生劑40使用於增加光吸收量。因此,改善了阻抗層110的對比,並進一步改善阻抗層110的線寬粗糙度(line width roughness,LWR)。
輔助層109使用於改善阻抗層110的圖案化。在輔助層109的幫助下,阻抗層110的線寬粗糙度(LWR)減少約10%至約50%。阻抗層的線寬粗糙度(LWR)改善了約0.1nm至約2nm。此外,崩塌裕度(collapse window)增加了約0.5nm至約2nm。因此,改善了微影解析度。
第4A-4E圖為依據本揭露一些實施例,繪示形成半導體結構的各種階段的剖面表示圖。此方法可用於許多應用,如鰭式場效電晶體(FinFET)裝置結構。一些於第4A-4E圖中用於形成半導體裝置結構的製程與材料與於第1A-1E圖中用於形成半導體裝置結構的相似或相同,且於此不再重複描述這些製程或材料。
如第4A圖所示,阻抗層110形成於材料層104上方,且下層107形成於阻抗層110下方。下層107位於材料層104與阻抗層110之間。
配置下層107以防止阻抗層110崩塌。下層107包含如第2圖所示之第一聚合物11以及化合物13。下層107可具有抗反射特性。於一些實施例中,下層107為底部抗反射塗(bottom anti-reflective coating,BARC)層。應注意的是,化合物13與第一聚合物11不同。藉由沉積包含化合物13與如第2圖所示之第一聚合物11之混和物的材料來形成下層107。
於一些實施例中,化合物13為第三聚合物。於一些實施例中,化合物13由聚合物形成,且第一聚合物11與化合物13反應以形成共聚物。於一些實施例中,化合物13包含含矽無機聚合物,其作為三層式阻抗結構的中間層(middle layer,ML)使用。於一些其他的實施例中,化合物13包含酚醛清漆樹脂,例如具有多個酚單元鍵結在一起的化學結構。酚醛清漆樹脂可作為三層式阻抗結構的底層(bottom layer,BL)使用。
之後,如第4B圖所示,於阻抗層110上方形成罩幕170,並於阻抗層110上進行曝光製程172。於曝光製程172之後,進行曝光後烘烤(PEB)製程。於曝光後烘烤製程之後,酸不穩定基20自第二聚合物12裂解以形成羧酸基。作為結果,改變了阻抗層110的曝光區的極性及/或溶解度。此外,酸不穩定基20自第一聚合物11裂解以形成羧酸基。第一聚合物11與第二聚合物12反應以形成分子間力,且因而使阻抗層110的圖案不會輕易地塌陷。
接著,如第4C圖所示,依據本揭露一些實施例,於阻抗層110上進行第一顯影製程174以移除阻抗層110的一部分。作為結果,獲得圖案化的阻抗層110a。
於一些實施例中,進行負型顯影(NTD)製程,保留阻抗層110的曝光區,且藉由顯影劑移除阻抗層110的未曝光區。於一些實施例中,藉由第一顯影製程174移除下層107的一部分,但下層107的另一部分未被完全移除。
隨後,如第4D圖所示,依據本揭露一些實施例,藉由進行第二顯影製程176圖案化下層107以形成圖案化的下層107a。
應注意的是,由於阻抗層110與下層107由不同材料形成,第一顯影製程174並未完整地移除下層107。因此,使用第二顯影製程176移除下層107未被圖案化的阻抗層110a覆蓋的部分。
接著,如第4E圖所示,依據本揭露一些實施例,藉由進行離子佈植製程並使用圖案化的阻抗層110a及圖案化的下層107a作為罩幕來摻雜材料層104的一部份。作為結果,於材料層104中形成摻雜區105。可使用如硼或BF2的p型摻質及/或如磷(P)或砷(As)的n型摻質來摻雜摻雜區105。接著,移除圖案化的下層107a與圖案化的阻抗層110a。
應注意的是,第一聚合物11藉由浮動基30接近下層107與阻抗層110之間的介面。於第一聚合物11與第二聚合物12之間的介面產生分子間力。由於第一聚合物11與第二聚合物12之間更強的分子間力,阻抗層110的圖案不會輕易地崩塌。因此,增大了崩塌裕度,且改善了阻抗層110的線寬粗糙度(LWR)。
第5A-5E圖為依據本揭露一些實施例,繪示形成半 導體結構的各種階段的剖面表示圖。此方法可用於許多應用,如鰭式場效電晶體(FinFET)裝置結構。一些於第5A-5E圖中用於形成半導體裝置結構的製程與材料與於第1A-1E圖中用於形成半導體裝置結構的相似或相同,且於此不再重複描述這些製程或材料。
如第5A圖所示,於基底102上方的材料層104上方形成三層式阻抗層120。三層式阻抗層120包含底層106、中間層108以及阻抗層110。三層式阻抗層120用於圖案化下方的材料層並接著被移除。
中間層108包含如第2圖所示之第一聚合物11及具有抗反射特性的化合物15。於一些實施例中,中間層108的化合物15包含含矽層、含矽無機聚合物、矽氧烷聚合物(例如,具有O-Si-O-Si主鏈的聚合物)。於一些其他的實施例中,中間層108中的化合物15包含氧化矽、氮化矽、氮氧化矽、多晶矽、含有金屬(如鈦、鋁、鉭)的金屬聚合物材料。藉由沉積包含化合物15與如第2圖所示之第一聚合物11之混和物的材料來形成中間層108。
隨後,如第5B圖所示,於阻抗層110上方形成罩幕170,並於阻抗層110上進行曝光製程172。於曝光製程172之後,進行曝光後烘烤(PEB)製程。於曝光後烘烤製程之後,阻抗層110的曝光區的極性由疏水性改變為親水性。
接著,如第5C圖所示,依據本揭露一些實施例,於阻抗層110上進行第一顯影製程174以移除阻抗層110的一部分。作為結果,獲得圖案化的阻抗層110a。
於一些實施例中,進行負型顯影(NTD)製程,保留阻抗層110的曝光區,且藉由顯影劑移除阻抗層110的未曝光區。於一些實施例中,藉由第一顯影製程174移除中間層108的一部分,但由於中間層108與阻抗層110由不同材料形成,中間層108未被完全移除。
接著,如第5D圖所示,依據本揭露一些實施例,藉由進行第二顯影製程176圖案化中間層108以形成圖案化的中間層108a。
應注意的是,由於阻抗層110與中間層108由不同材料形成,第一顯影製程174並未完整地移除中間層108。因此,使用第二顯影製程176移除中間層108未被圖案化的阻抗層110a覆蓋的部分。
接著,如第5E圖所示,依據本揭露一些實施例,移除圖案化的阻抗層110a,並接著藉由使用圖案化的中間層108a作為罩幕來圖案化底層106。
之後,如第5F圖所示,依據本揭露一些實施例,藉由進行蝕刻製程並使用圖案化的中間層108a及圖案化的底層106a作為罩幕來移除材料層104的一部份。作為結果,形成圖案化的材料層104a。之後,移除圖案化的中間層108a及圖案化的底層106a。於一些實施例中,藉由蝕刻製程移除圖案化的中間層108a及圖案化的底層106a。蝕刻製程包含數個蝕刻製程。
應注意的是,形成於中間層108中的第一聚合物11用於改善阻抗層110的圖案。在第一聚合物11的幫助下,阻抗 層110的線寬粗糙度(LWR)減少約10%至約50%。阻抗層110的線寬粗糙度(LWR)改善0.1nm至約2nm。此外,崩塌裕度增加了約0.5nm至約2nm。因此,改善了微影解析度。
中間層108中的第一聚合物11作為黏著層防止阻抗層110的圖案崩塌。第一聚合物11中的酸不穩定基20用於形成與第二聚合物12的分子間力。浮動基30用於使第一聚合物11浮起以接近阻抗層110。因此,於中間層108與阻抗層110的介面產生第一聚合物11與第二聚合物12之間的氫鍵。藉由形成中間層108中的第一聚合物11來改善阻抗層110的圖案的線寬粗糙度(LWR)。因此,改善了微影解析度。
提供形成半導體裝置結構的實施例。於基底上方形成材料層,並於材料層上方形成阻抗層。藉由進行曝光製程、烘烤製程以及蝕刻製程圖案化阻抗層。在阻抗層下方形成下層以改善阻抗層的圖案。下層包含第一聚合物主鏈、酸不穩定基(ALG)以及與第一聚合物主鏈鍵結的浮動基(F)。下層更可包含含矽無機聚合物或酚醛清漆樹脂。於第一聚合物中的酸不穩定基使用於與阻抗層中的第二聚合物形成分子間力。浮動基用於使第一聚合物浮起以接近阻抗層。阻抗層與下層之間的化學鍵結(例如,氫鍵)用於防止阻抗層崩塌。首先圖案化阻抗層,並圖案化下層。之後,圖案化材料層以形成半導體結構。設置下層以防止阻抗層崩塌。因此,藉由形成下層改善阻抗層的圖案解析度。此外,改善了半導體結構的線寬粗糙度(LWR)以及崩塌裕度。
於一些實施例中,提供形成半導體結構的方法。 此方法包含形成材料層於基底上方,以及形成輔助層於材料層上方。輔助層包含聚合物主鏈;酸不穩定基,與聚合物主鏈鍵結;以及浮動基,與聚合物主鏈鍵結。浮動基包含氟化碳(CxFy)。此方法亦包含形成阻抗層於輔助層上方,以及圖案化阻抗層。
於上述的實施例中,其中阻抗層更包括光酸產生劑、光可分解鹼以及淬滅劑(Q)。
於上述的實施例中,其中酸不穩定基(ALG)對聚合物主鏈的重量比例為約30wt%至約70wt%。
於上述的實施例中,其中氟化碳(CxFy)包括取代或未取代的包括1至9個碳原子的直鏈、支鏈脂肪族烴基。
於上述的實施例中,其中氟化碳(CxFy)包括C2F5、CH2-CH2-C3F7、C(OH)(CF3)2、COOC4F9、CH2OC4F9或COOC(OH)(CF3)2
於上述的實施例中,其中酸不穩定基包括極性開關單元,且極性開關單元包括縮醛、縮丙酮化合物或酸酐。
於上述的實施例中,其中酸不穩定基經由連結基與聚合物主鏈鍵結,其中連結基包括具有氫或鹵素的包括1至9個碳原子的直鏈、支鏈、環狀或非環狀脂肪族烴基。
於上述的實施例中,其中圖案化阻抗層的步驟包含藉由進行曝光製程曝光阻抗層、於阻抗層上進行烘烤製程、以及於阻抗層上進行顯影製程,其中輔助層的一部份被移除。
於上述的實施例中,其中進行曝光製程的步驟包括使用負型顯影劑(negative tone developer)。
於一些實施例中,提供形成半導體結構的方法。此方法包含形成材料層於基底上方以及形成下層於材料層上方。下層包含第一聚合物主鏈;酸不穩定基(ALG),與第一聚合物主鏈鍵結;以及浮動基,與第一聚合物主鏈鍵結。酸不穩定基包含極性開關單元,以及浮動基包含氟化碳(CxFy)。此方法更包含形成阻抗層於下層上方,且阻抗層包含第二聚合物。此方法更包含藉由進行曝光製程以曝光阻抗層,以及於進行曝光製程之後,第一聚合物與第二聚合物反應形成第一聚合物與第二聚合物之間的分子間力。
於上述的實施例中,其中極性開關單元包括縮醛、縮丙酮化合物或酸酐。
於上述的實施例中,其中酸不穩定基經由連結基與第一聚合物主鏈鍵結,其中連結基包括具有氫或鹵素的包括1至9個碳原子的直鏈、支鏈、環狀或非環狀脂肪族烴基。
於上述的實施例中,其中氟化碳(CxFy)包括C2F5、CH2-CH2-C3F7、C(OH)(CF3)2、COOC4F9、CH2OC4F9或COOC(OH)(CF3)2
於上述的實施例中,其中下層更包括含矽無機聚合物或酚醛清漆樹脂。
於上述的實施例中,方法更包含於阻抗層上進行烘烤製程,以及於阻抗層上進行顯影製程以形成圖案化阻抗層。
於上述的實施例中,其中於阻抗層上進行顯影製程之後,下層的一部份被移除。
於一些實施例中,提供形成半導體結構的方法。此方法包含形成材料層於基底上方,以及形成底層於材料層上方。此方法亦包含形成中間層於底層上方。中間層包含含矽無機聚合物;第一聚合物主鏈;酸不穩定基(ALG),與第一聚合物主鏈鍵結;以及浮動基,與第一聚合物主鏈鍵結。酸不穩定基包含極性開關單元,以及浮動基包含氟化碳(CxFy)。此方法亦包含形成阻抗層於中間層上方,以及圖案化阻抗層。
於上述的實施例中,其中圖案化阻抗層的步驟包含藉由進行曝光製程曝光阻抗層;於阻抗層上進行烘烤製程;以及於阻抗層上進行顯影製程,其中藉由顯影製程移除中間層的一部份。
於上述的實施例中,其中阻抗層包括第二聚合物,其中於進行曝光製程之後,第一聚合物與第二聚合物反應以形成第一聚合物與第二聚合物之間的分子間力。
於上述的實施例中,其中曝光製程包括藉由氪氟化物(KrF)準分子雷射、氬氟化物(ArF)準分子雷射、氟(F2)準分子雷射或極紫外(EUV)光照射中間層與阻抗層。
前文概述了數個實施例的部件以便本技術領域中具有通常知識者可更加理解本揭露之各面向。本技術領域中具有通常知識者應理解,可輕易以本揭露為基礎,來設計或變化其他製程與結構以完成與上述實施例相同之目的及/或相同優點。本技術領域中具有通常知識者亦應理解,這些等效置換並未脫離本揭露之精神與範疇,並可在未脫離本揭露之精神與範疇的前提下進行各種改變、替換、或更動。
Figure 107138172-A0202-11-0002-1
11‧‧‧第一聚合物
12‧‧‧第二聚合物
102‧‧‧基底
104‧‧‧材料層
109‧‧‧輔助層
110‧‧‧阻抗層
170‧‧‧罩幕
172‧‧‧曝光製程
T1‧‧‧第一厚度
T2‧‧‧第二厚度

Claims (8)

  1. 一種半導體結構的形成方法,包括:形成一材料層於一基底上方;以及形成一輔助層於該材料層上方,其中該輔助層包括:一聚合物主鏈(backbone);一酸不穩定基(acid labile group,ALG),與該聚合物主鏈鍵結,其中該酸不穩定基包括一極性開關單元,且該極性開關單元包括縮醛、縮丙酮化合物(acetonide)或酸酐;一浮動基(floating group),與該聚合物主鏈鍵結,其中該浮動基包括氟化碳(CxFy),且該氟化碳(CxFy)包括取代或未取代的包括1至9個碳原子的直鏈、支鏈脂肪族烴基;形成一阻抗層於該輔助層上方;以及圖案化該阻抗層。
  2. 如申請專利範圍第1項所述之半導體結構的形成方法,其中該阻抗層更包括一光酸產生劑、一光可分解鹼以及一淬滅劑(quencher,Q)。
  3. 如申請專利範圍第1項所述之半導體結構的形成方法,其中該酸不穩定基(ALG)對該聚合物主鏈的重量比例為約30wt%至約70wt%。
  4. 如申請專利範圍第1項所述之半導體結構的形成方法,其中該氟化碳(CxFy)包括C2F5、CH2-CH2-C3F7、C(OH)(CF3)2、COOC4F9、CH2OC4F9或COOC(OH)(CF3)2
  5. 如申請專利範圍第1項所述之半導體結構的形成方法,其中該酸不穩定基經由一連結基與該聚合物主鏈鍵結,其中該 連結基包括具有氫或鹵素的包括1至9個碳原子的直鏈、支鏈、環狀或非環狀脂肪族烴基。
  6. 一種半導體結構的形成方法,包括:形成一材料層於一基底上方;以及形成一下層於該材料層上方,其中該下層包括:一第一聚合物主鏈;一酸不穩定基(ALG),與該第一聚合物主鏈鍵結,其中該酸不穩定基包括一極性開關單元,且該極性開關單元包括縮醛、縮丙酮化合物(acetonide)或酸酐;一浮動基,與該第一聚合物主鏈鍵結,其中該浮動基包括氟化碳(CxFy),且該氟化碳(CxFy)包括取代或未取代的包括1至9個碳原子的直鏈、支鏈脂肪族烴基;形成一阻抗層於該下層上方,其中該阻抗層包括一第二聚合物以及與該第二聚合物鍵結的該酸不穩定基;以及藉由進行一曝光製程曝光該阻抗層,其中於進行該曝光製程之後,該第一聚合物與該第二聚合物反應形成該第一聚合物與該第二聚合物之間的分子間力。
  7. 如申請專利範圍第6項所述之半導體結構的形成方法,其中該下層更包括含矽無機聚合物或酚醛清漆樹脂。
  8. 一種半導體結構的形成方法,包括:形成一材料層於一基底上方;形成一底層於該材料層上方;以及形成一中間層於該底層上方,其中該中間層包括:一含矽無機聚合物; 一第一聚合物主鏈;一酸不穩定基(ALG),與該第一聚合物主鏈鍵結,其中該酸不穩定基包括一極性開關單元,且該極性開關單元包括縮醛、縮丙酮化合物(acetonide)或酸酐;一浮動基,與該第一聚合物主鏈鍵結,其中該浮動基包括氟化碳(CxFy),且該氟化碳(CxFy)包括取代或未取代的包括1至9個碳原子的直鏈、支鏈脂肪族烴基;形成一阻抗層於該中間層上方;以及圖案化該阻抗層。
TW107138172A 2017-11-17 2018-10-29 半導體結構的形成方法 TWI701280B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201762587871P 2017-11-17 2017-11-17
US62/587,871 2017-11-17
US16/021,665 US10684545B2 (en) 2017-11-17 2018-06-28 Method for forming semiconductor structure by patterning assist layer having polymer
US16/021,665 2018-06-28

Publications (2)

Publication Number Publication Date
TW201925354A TW201925354A (zh) 2019-07-01
TWI701280B true TWI701280B (zh) 2020-08-11

Family

ID=66534495

Family Applications (1)

Application Number Title Priority Date Filing Date
TW107138172A TWI701280B (zh) 2017-11-17 2018-10-29 半導體結構的形成方法

Country Status (3)

Country Link
US (2) US10684545B2 (zh)
CN (1) CN109801839B (zh)
TW (1) TWI701280B (zh)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN113097064B (zh) * 2020-01-08 2022-11-11 中芯国际集成电路制造(天津)有限公司 半导体结构及其形成方法
TWI777426B (zh) * 2020-02-27 2022-09-11 台灣積體電路製造股份有限公司 光阻底層組成物與製造半導體裝置的方法

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW536738B (en) * 2002-04-25 2003-06-11 Taiwan Semiconductor Mfg Multi-layer photoresist lithography and method for forming dual damascene openings by the same
TW201543165A (zh) * 2014-05-05 2015-11-16 Taiwan Semiconductor Mfg Co Ltd 製作半導體裝置的方法與光敏材料

Family Cites Families (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3683261B2 (ja) * 2003-03-03 2005-08-17 Hoya株式会社 擬似欠陥を有する反射型マスクブランクス及びその製造方法、擬似欠陥を有する反射型マスク及びその製造方法、並びに擬似欠陥を有する反射型マスクブランクス又は反射型マスクの製造用基板
US8003293B2 (en) * 2004-09-30 2011-08-23 Intel Corporation Pixelated photoresists
US7767570B2 (en) * 2006-03-22 2010-08-03 Taiwan Semiconductor Manufacturing Company, Ltd. Dummy vias for damascene process
WO2009072465A1 (ja) * 2007-12-07 2009-06-11 Mitsubishi Gas Chemical Company, Inc. リソグラフィー用下層膜形成組成物及び多層レジストパターン形成方法
US8764995B2 (en) 2010-08-17 2014-07-01 Taiwan Semiconductor Manufacturing Company, Ltd. Extreme ultraviolet light (EUV) photomasks, and fabrication methods thereof
US8841047B2 (en) 2012-04-02 2014-09-23 Taiwan Semiconductor Manufacturing Company, Ltd. Extreme ultraviolet lithography process and mask
US8877409B2 (en) 2012-04-20 2014-11-04 Taiwan Semiconductor Manufacturing Company, Ltd. Reflective mask and method of making same
US9851636B2 (en) * 2012-07-05 2017-12-26 Taiwan Semiconductor Manufacturing Company, Ltd. Materials and methods for improved photoresist performance
US8828625B2 (en) 2012-08-06 2014-09-09 Taiwan Semiconductor Manufacturing Company, Ltd. Extreme ultraviolet lithography mask and multilayer deposition method for fabricating same
US9093530B2 (en) 2012-12-28 2015-07-28 Taiwan Semiconductor Manufacturing Company, Ltd. Fin structure of FinFET
US9502231B2 (en) * 2013-03-12 2016-11-22 Taiwan Semiconductor Manufacturing Company, Ltd. Photoresist layer and method
US9146469B2 (en) * 2013-03-14 2015-09-29 Taiwan Semiconductor Manufacturing Company, Ltd. Middle layer composition for trilayer patterning stack
US8796666B1 (en) 2013-04-26 2014-08-05 Taiwan Semiconductor Manufacturing Company, Ltd. MOS devices with strain buffer layer and methods of forming the same
TWI576495B (zh) 2013-10-07 2017-04-01 昕麟庭園藝有限公司 模組化之綠牆圍籬
US9140987B2 (en) * 2014-02-21 2015-09-22 Taiwan Semiconductor Manufacturing Company, Ltd. Method for lithography patterning
US9548303B2 (en) 2014-03-13 2017-01-17 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET devices with unique fin shape and the fabrication thereof
US9529268B2 (en) 2014-04-03 2016-12-27 Taiwan Semiconductor Manufacturing Company, Ltd. Systems and methods for improving pattern transfer
US9256123B2 (en) 2014-04-23 2016-02-09 Taiwan Semiconductor Manufacturing Co., Ltd. Method of making an extreme ultraviolet pellicle
US9184054B1 (en) 2014-04-25 2015-11-10 Taiwan Semiconductor Manufacturing Company, Ltd. Method for integrated circuit patterning
US9448483B2 (en) * 2014-07-31 2016-09-20 Dow Global Technologies Llc Pattern shrink methods
US9678422B2 (en) * 2014-09-30 2017-06-13 Taiwan Semiconductor Manufacturing Company, Ltd. Photoacid generator bound to floating additive polymer
US10082734B2 (en) * 2015-02-13 2018-09-25 Taiwan Semiconductor Manufacturing Company, Ltd. Composition and method for lithography patterning
JP6531684B2 (ja) * 2015-04-13 2019-06-19 信越化学工業株式会社 新規オニウム塩化合物を用いた化学増幅型ネガ型レジスト組成物及びレジストパターン形成方法
TWI617900B (zh) * 2015-06-03 2018-03-11 羅門哈斯電子材料有限公司 圖案處理方法
US10162265B2 (en) * 2015-12-09 2018-12-25 Rohm And Haas Electronic Materials Llc Pattern treatment methods
US9921480B2 (en) * 2016-02-10 2018-03-20 Taiwan Semiconductor Manufacturing Co., Ltd Extreme ultraviolet photoresist

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW536738B (en) * 2002-04-25 2003-06-11 Taiwan Semiconductor Mfg Multi-layer photoresist lithography and method for forming dual damascene openings by the same
TW201543165A (zh) * 2014-05-05 2015-11-16 Taiwan Semiconductor Mfg Co Ltd 製作半導體裝置的方法與光敏材料

Also Published As

Publication number Publication date
TW201925354A (zh) 2019-07-01
US20190155156A1 (en) 2019-05-23
CN109801839B (zh) 2022-05-03
US10684545B2 (en) 2020-06-16
US20200301280A1 (en) 2020-09-24
CN109801839A (zh) 2019-05-24
US11036137B2 (en) 2021-06-15

Similar Documents

Publication Publication Date Title
US10503070B2 (en) Photosensitive material and method of lithography
US9857684B2 (en) Silicon-containing photoresist for lithography
US11003082B2 (en) Method for forming semiconductor structure
US20230251578A1 (en) Method for forming semiconductor structure
US20200013618A1 (en) Method for forming semiconductor structure
TW201937542A (zh) 半導體裝置結構的形成方法
US11036137B2 (en) Method for forming semiconductor structure
US20180149976A1 (en) Lithography Process With Enhanced Etch Selectivity
US11495460B2 (en) Method for forming semiconductor structure by patterning resist layer having inorganic material
US10796910B2 (en) Method for performing a photolithography process
US9704711B2 (en) Silicon-based middle layer composition
US10990013B2 (en) Method for forming semiconductor structure
TW201915609A (zh) 半導體結構的形成方法
TWI743720B (zh) 半導體結構的形成方法
US10866515B2 (en) Lithography process using photoresist material with photosensitive functional group