TWI700120B - Multi-tray ballast vapor draw systems - Google Patents

Multi-tray ballast vapor draw systems Download PDF

Info

Publication number
TWI700120B
TWI700120B TW107145291A TW107145291A TWI700120B TW I700120 B TWI700120 B TW I700120B TW 107145291 A TW107145291 A TW 107145291A TW 107145291 A TW107145291 A TW 107145291A TW I700120 B TWI700120 B TW I700120B
Authority
TW
Taiwan
Prior art keywords
trays
tray
precursors
conduit
item
Prior art date
Application number
TW107145291A
Other languages
Chinese (zh)
Other versions
TW201919755A (en
Inventor
謙德拉瑟哈蘭拉密許
魏曼戴維斯
Original Assignee
美商蘭姆研究公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商蘭姆研究公司 filed Critical 美商蘭姆研究公司
Publication of TW201919755A publication Critical patent/TW201919755A/en
Application granted granted Critical
Publication of TWI700120B publication Critical patent/TWI700120B/en

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/4481Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by evaporation using carrier gas in contact with the source material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45561Gas plumbing upstream of the reaction chamber

Landscapes

  • Chemical & Material Sciences (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Engineering & Computer Science (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

A system for supplying vaporized precursor includes an enclosure including an output. A plurality of trays is arranged in a stacked, spaced configuration inside the enclosure. The plurality of trays is configured to hold liquid precursor. A first conduit fluidly connects a carrier gas supply to the enclosure and includes a plurality of openings. A first valve is arranged along the first conduit and is configured to selectively control delivery of the carrier gas from the carrier gas supply through the first conduit to the plurality of openings in the first conduit. The plurality of openings is configured to direct the carrier gas across the liquid precursor in the plurality of trays, respectively. The output of the enclosure provides a mixture of the carrier gas and the vaporized precursor.

Description

多托盤壓載蒸氣吸引系統Multi-tray ballast steam suction system

本揭露內容係關於用以將氣化前驅物供應至基板處理工具的系統與方法。The present disclosure relates to systems and methods for supplying vaporized precursors to substrate processing tools.

在此所提供之先前技術說明內容係為了概括描述本揭露內容的背景之目的。在此先前技術段落中所述的發明人之工作以及在申請時於其他方面不具先前技術資格的說明內容之觀點,皆不明示或暗示地被承認為本揭露內容之先前技術。The previous technical description provided here is for the purpose of describing the background of the disclosure in general. The work of the inventor described in this paragraph of the prior art and the viewpoints of the explanations that do not have prior technical qualifications in other aspects at the time of application are not explicitly or implicitly recognized as the prior art of the disclosure.

基板處理工具係用以處理例如半導體晶圓的基板。此處理通常包含將處理腔室中的基板曝露至氣化前驅物。僅作為範例,在基板上沉積層時,例如化學氣相沉積(CVD,chemical vapor deposition)、電漿增強CVD(PECVD,plasma-enhanced CVD)、原子層沉積(ALD,atomic layer deposition)、電漿增強ALD(PEALD,plasma-enhanced ALD)以及無氟鎢(FFW,fluorine free tungsten)的程序係將基板曝露至一或多種氣化前驅物。Substrate processing tools are used to process substrates such as semiconductor wafers. This processing usually involves exposing the substrate in the processing chamber to a vaporized precursor. Just as an example, when depositing layers on a substrate, such as chemical vapor deposition (CVD, plasma-enhanced CVD), plasma-enhanced CVD (PECVD), atomic layer deposition (ALD), plasma Enhanced ALD (PEALD, plasma-enhanced ALD) and fluorine free tungsten (FFW) procedures involve exposing the substrate to one or more vaporized precursors.

一種用以產生氣化前驅物的方式係包含將液體前驅物氣化。要將具有低蒸氣壓(在室溫下一般小於1 Torr)以及高黏度(> 5 cP)的液體前驅物氣化係困難的。具有低蒸氣壓以及高黏度的液體前驅物容易發生再凝結現象,並且無法使用直接液體注入方式進行氣化(因為高黏度液體不易霧化)。又,在遠低於沸點之溫度下分解的液體前驅物不適合在霧化後被氣化。用以氣化具有低到中蒸氣壓之前驅物的系統與方法一般包含蒸氣吸引、起泡器或安瓿內部之液體單一表面上方的流動。其他選項係使用霧化器以及氣化器。然而,對於低到中流率的前驅物而言,氣化器並非理想。One way to generate vaporized precursors involves vaporizing liquid precursors. It is difficult to vaporize liquid precursors with low vapor pressure (generally less than 1 Torr at room temperature) and high viscosity (> 5 cP). Liquid precursors with low vapor pressure and high viscosity are prone to recondensation and cannot be vaporized by direct liquid injection (because high-viscosity liquids are not easy to atomize). In addition, liquid precursors that decompose at temperatures far below the boiling point are not suitable for vaporization after atomization. Systems and methods for vaporizing precursors with low to medium vapor pressure generally include vapor suction, bubbler, or flow over a single surface of liquid inside an ampoule. Other options are the use of atomizers and vaporizers. However, for precursors with low to medium flow rates, gasifiers are not ideal.

標準起泡器能夠使載氣飽含前驅物。然而,載氣流率通常會因為顧慮到飛濺(splashing)而被限制。單一表面上方流動(flow-over)系統(於此處載氣係流進安瓿內而不流進液體內)能夠增加安瓿內的總壓力,以使氣化前驅物可從安瓿流至處理腔室。然而,載氣並未飽含蒸氣,且可被運送到處理腔室之氣化前驅物的量相當地少。The standard bubbler can make the carrier gas saturated with precursors. However, the carrier gas flow rate is usually limited due to concerns about splashing. A single surface flow-over system (where the carrier gas flows into the ampoule instead of the liquid) can increase the total pressure in the ampoule so that the vaporized precursor can flow from the ampoule to the processing chamber . However, the carrier gas is not saturated with vapor, and the amount of vaporized precursor that can be transported to the processing chamber is relatively small.

一種供應氣化前驅物的系統包含一殼體,該殼體包含一輸出端。複數托盤在該殼體內部配置成堆疊、隔開的構造。該複數托盤用以容納液體前驅物。一第一導管使一載氣供應部與該殼體流體連接,並且包含複數開口。一第一閥沿著該第一導管配置,並且用以選擇性地控制從該載氣供應部通過該第一導管到該第一導管中之該複數開口的載氣輸送。該複數開口用以引導該載氣分別橫越該複數托盤內的該液體前驅物。該殼體的該輸出端提供該載氣與該氣化前驅物的一混合物。A system for supplying gasification precursors includes a housing, and the housing includes an output end. Plural trays are arranged in a stacked and spaced structure inside the casing. The plurality of trays are used to hold liquid precursors. A first conduit fluidly connects a carrier gas supply part with the housing, and includes a plurality of openings. A first valve is arranged along the first duct and used to selectively control the delivery of carrier gas from the carrier gas supply part through the first duct to the plurality of openings in the first duct. The plurality of openings are used for guiding the carrier gas to cross the liquid precursors in the plurality of trays respectively. The output end of the shell provides a mixture of the carrier gas and the gasification precursor.

一種供應氣化前驅物的方法,包含在一殼體內部將複數托盤配置成堆疊、隔開的構造;以液體前驅物至少部分地填充該複數托盤;使用一第一導管將一載氣供應部與該殼體流體連接;控制從該載氣供應部通過該第一導管到該第一導管中之複數開口的載氣輸送;配置該第一導管中的該複數開口,以引導該載氣分別橫越該複數托盤內的該液體前驅物;以及在該殼體的一輸出端提供該載氣與該氣化前驅物的一混合物。A method of supplying vaporized precursors includes arranging a plurality of trays into a stacked and spaced structure inside a housing; filling the plurality of trays at least partially with a liquid precursor; and using a first conduit to supply a carrier gas Fluidly connected with the housing; controlling the carrier gas delivery from the carrier gas supply part through the first conduit to the plurality of openings in the first conduit; configuring the plurality of openings in the first conduit to guide the carrier gas respectively Crossing the liquid precursor in the plurality of trays; and providing a mixture of the carrier gas and the vaporized precursor at an output end of the shell.

吾人可從詳細說明內容、請求項以及圖式明白本揭露內容的適用性範圍。此詳細說明內容與具體範例僅係為了例示之目的而準備,且不意指限制本揭露內容的範圍。We can understand the scope of applicability of this disclosure from the detailed description, request items and drawings. The detailed description and specific examples are prepared for illustrative purposes only, and are not intended to limit the scope of the disclosure.

本揭露內容係關於用以在上方流動、壓載或載氣型系統中藉由使用載氣與液體前驅物之間的增加表面界面面積來增加前驅物氣化的系統與方法。在一範例中,增加的表面面積係由容納液體前驅物的多托盤所提供。多氣體流出口增加載氣/前驅物交互作用。這些系統與方法亦提供從加熱器到液體/蒸氣界面的改良熱傳遞。例如,此加熱器可配置在腔室中的中央支撐構件內。The present disclosure relates to a system and method for increasing the vaporization of the precursor by using the increased surface interface area between the carrier gas and the liquid precursor in an upward flow, ballast or carrier gas type system. In one example, the increased surface area is provided by multiple trays containing liquid precursors. Multiple gas outlets increase the interaction of carrier gas/precursor. These systems and methods also provide improved heat transfer from the heater to the liquid/vapor interface. For example, this heater may be arranged in the central support member in the chamber.

這些系統與方法包含用以再填充多托盤的系統。僅作為範例,吾人可藉由使用一或多個液位感測器來使多托盤之每一者中的液體填充速率均等,以控制液體前驅物在多托盤中的液位。例如,在使用或不使用載氣的情況下,這些系統與方法可被用來作為針對中蒸氣壓前驅物提高蒸氣流率的高表面面積蒸氣吸引系統。These systems and methods include systems for refilling multiple trays. Merely as an example, we can use one or more liquid level sensors to equalize the liquid filling rate in each of the multi-trays to control the liquid level of the liquid precursor in the multi-trays. For example, with or without the use of carrier gas, these systems and methods can be used as high surface area vapor suction systems to increase vapor flow rate for intermediate vapor pressure precursors.

現在參考圖1A與1B,氣化前驅物輸送系統100將氣化前驅物供應到用以處理基板104(例如半導體晶圓)的處理腔室102。在若干範例中,例如閥、限制孔口或質量流量控制器的流量控制裝置106可用以控制對於處理腔室104之氣化前驅物的供應。Referring now to FIGS. 1A and 1B, the vaporized precursor delivery system 100 supplies the vaporized precursor to the processing chamber 102 for processing a substrate 104 (for example, a semiconductor wafer). In several examples, the flow control device 106 such as a valve, a restriction orifice, or a mass flow controller may be used to control the supply of vaporization precursor to the processing chamber 104.

氣化前驅物輸送系統100包含殼體108以及配置在殼體108內的托盤組件110。托盤組件110包含多托盤112-1、112-2…以及112-N(共同稱為托盤112)。每一個托盤112可包含開口114-1、114-2…以及114-N(共同稱為開口114),以提供與支撐構件120連接的安裝位置。或者,可省略此支撐構件並且使用替代的支撐機構。舉例來說,這些托盤可被此殼體的側邊所支撐(例如使用槽孔或凸部)或者可在托盤的邊緣之間使用間隔件。托盤112的側邊為開放以允許載氣在其間自由地流動。例如,托盤112可具有圓形、正方形、矩形、均勻、非均勻或其他形狀的橫剖面。托盤112可配置成堆疊、均勻隔開的配置方式,以允許載氣自由地流動橫越液體前驅物。每一個托盤112界定了用以容納與儲存液體前驅物的容積。在若干範例中,支撐構件120與托盤112可由導熱性材料所製成,例如不銹鋼、鋁、或允許熱傳遞的其他材料。The vaporized precursor delivery system 100 includes a housing 108 and a tray assembly 110 disposed in the housing 108. The tray assembly 110 includes multiple trays 112-1, 112-2... and 112-N (collectively referred to as tray 112). Each tray 112 may include openings 114-1, 114-2... And 114 -N (collectively referred to as the opening 114) to provide an installation position connected to the support member 120. Alternatively, this support member can be omitted and an alternative support mechanism can be used. For example, these trays can be supported by the sides of the housing (for example using slots or protrusions) or spacers can be used between the edges of the trays. The sides of the tray 112 are open to allow the carrier gas to flow freely therebetween. For example, the tray 112 may have a circular, square, rectangular, uniform, non-uniform, or other cross-section. The tray 112 may be configured in a stacked, evenly spaced configuration to allow the carrier gas to flow freely across the liquid precursor. Each tray 112 defines a volume for containing and storing liquid precursors. In some examples, the support member 120 and the tray 112 may be made of thermally conductive materials, such as stainless steel, aluminum, or other materials that allow heat transfer.

液體前驅物儲槽130經由閥134以及一或多個導管140而將液體前驅物供應至托盤112。重力、幫浦、或例如氦的惰性推動氣體可用以增加管線壓力。導管140可穿過每一個托盤112中的開口。配置導管140中的開口142-1、142-2…以及142-N,以將液體前驅物供應到每一個托盤112-1、112-2…以及112-N。The liquid precursor storage tank 130 supplies the liquid precursor to the tray 112 via the valve 134 and one or more conduits 140. Gravity, pumping, or inert propellant gas such as helium can be used to increase pipeline pressure. The duct 140 may pass through the opening in each tray 112. The openings 142-1, 142-2... and 142-N in the duct 140 are configured to supply liquid precursors to each of the trays 112-1, 112-2... and 112-N.

在其他範例中,如圖1B所示,導管140係沿著托盤112的一側配置,並且包含從導管140橫向延伸的伸出部分250-1、250-2…以及250-N(共同稱為伸出部分250)。圖1B中的伸出部分250向內(或向內且向下)延伸,以將液體前驅物輸送至托盤112。In other examples, as shown in FIG. 1B, the duct 140 is arranged along one side of the tray 112 and includes extensions 250-1, 250-2... and 250-N (collectively referred to as Extension 250). The protrusion 250 in FIG. 1B extends inward (or inward and downward) to deliver the liquid precursor to the tray 112.

吾人可使用閥152與導管154而藉由散裝(bulk)儲槽150來定期填充液體前驅物儲槽130。載氣162可藉由以164所標示的一或多個閥及/或質量流量控制器(MFC,mass flow controller)以及導管166加以供應。導管166包含配置來引導載氣橫越每一個托盤112的一或多個限制開口或限制開口組。每一個開口組可包含提供多方向之載氣流的多開口。導管166中的開口170-1、170-2…以及170-N在托盤112上方輸送載氣流。We can use the valve 152 and the conduit 154 to periodically fill the liquid precursor storage tank 130 through the bulk storage tank 150. The carrier gas 162 can be supplied by one or more valves and/or a mass flow controller (MFC) and the conduit 166 denoted by 164. The conduit 166 includes one or more restricted openings or groups of restricted openings configured to guide the carrier gas across each tray 112. Each opening group may include multiple openings that provide multi-directional carrier gas flow. The openings 170-1, 170-2... And 170 -N in the duct 166 convey the carrier gas above the tray 112.

在若干範例中,加熱器180可用來間接加熱支撐構件120,此支撐構件將熱傳遞至托盤112以及托盤112內的液體前驅物。或者,加熱器可配置在此支撐構件的內部。在若干範例中,一或多個振動裝置184可用以對支撐構件120(如圖所示)施予振動或者單獨對托盤112施予振動。In some examples, the heater 180 can be used to indirectly heat the support member 120, which transfers heat to the tray 112 and the liquid precursor within the tray 112. Alternatively, the heater may be arranged inside this supporting member. In several examples, one or more vibration devices 184 can be used to vibrate the support member 120 (as shown) or to vibrate the tray 112 alone.

控制器200可用以控制在氣化前驅物輸送系統100中的一或多個閥。例如,控制器200可控制流量控制裝置106,以調整輸送到處理腔室104之氣化前驅物的量。控制器200可與一或多個液位感測器204連接,以感測在其中一或多個托盤112內之液體前驅物的液位。基於在其中一或多個托盤112內之液體前驅物的感測液位,控制器200可用來控制閥134,以供應額外的液體前驅物。控制器200可用來控制閥164,以調整橫越托盤112的載氣流。控制器200可與一或多個液位感測器208連接,以感測在液體前驅物儲槽130中之液體前驅物的液位。基於液體前驅物儲槽130的感測液位,控制器200可用來控制閥134而供應額外的液體前驅物,以再填充液體前驅物儲槽130。The controller 200 can be used to control one or more valves in the gasification precursor delivery system 100. For example, the controller 200 can control the flow control device 106 to adjust the amount of vaporized precursor delivered to the processing chamber 104. The controller 200 can be connected to one or more liquid level sensors 204 to sense the liquid level of the liquid precursor in one or more of the trays 112. Based on the sensed liquid level of the liquid precursor in one or more of the trays 112, the controller 200 can be used to control the valve 134 to supply additional liquid precursor. The controller 200 can be used to control the valve 164 to adjust the flow of carrier gas across the tray 112. The controller 200 can be connected with one or more liquid level sensors 208 to sense the liquid level of the liquid precursor in the liquid precursor storage tank 130. Based on the sensing level of the liquid precursor storage tank 130, the controller 200 can be used to control the valve 134 to supply additional liquid precursor to refill the liquid precursor storage tank 130.

現在參考圖1B與1C,顯示各種控制方法的範例。在圖1B中,用251所標示的以壓力為基礎的質量流量控制器(MFC)或可變孔口可被設定到固定值並且用來提供浮動(floating)壓力。壓力感測器252提供回饋給控制器200,此控制器控制MFC 254。在圖1C中,顯示固定壓力方法,其包含以壓力為基礎的MFC 260以及可變限制孔口264。壓力感測器266提供壓力回饋給控制器200,此控制器控制可變限制孔口264以及MFC 254。或者,此壓力感測器可提供回饋給放置在此壓力感測器位置之下游的回壓(back pressure)控制器。此回壓控制器在本質上乃為一孔口,其被控制在某個開度直到達到其上游所需的壓力為止。此種控制方式係當希望安瓿內具有固定總壓力時而使用。Referring now to Figures 1B and 1C, examples of various control methods are shown. In FIG. 1B, a pressure-based mass flow controller (MFC) or variable orifice designated by 251 can be set to a fixed value and used to provide a floating pressure. The pressure sensor 252 provides feedback to the controller 200, which controls the MFC 254. In FIG. 1C, a fixed pressure method is shown, which includes a pressure-based MFC 260 and a variable restriction orifice 264. The pressure sensor 266 provides pressure feedback to the controller 200, which controls the variable restriction orifice 264 and the MFC 254. Alternatively, the pressure sensor may provide feedback to a back pressure controller placed downstream of the position of the pressure sensor. This back pressure controller is essentially an orifice, which is controlled at a certain opening until it reaches the required pressure upstream of it. This control method is used when a fixed total pressure in the ampoule is desired.

現在參考圖2A,多托盤壓載系統300包含殼體108以及配置在殼體108內的多托盤組件310。多托盤組件310包含多托盤312-1、312-2…以及312-N(共同稱為托盤312)。托盤312-1、312-2…以及312-N包含配置在其一端或兩端的液體開口320-1、320-2…以及320-N。液體開口320-1、320-2…以及320-N-1(或N,如果最底的托盤312包含液體開口的話)(共同稱為液體開口320)係配置在托盤312的部分,這些部分係位在托盤312之面朝上表面324的上方,以允許預定體積或預定表面面積的液體前驅物在流過液體開口320之前聚集在對應的托盤312內。Referring now to FIG. 2A, the multi-tray ballast system 300 includes a housing 108 and a multi-tray assembly 310 disposed in the housing 108. The multi-tray assembly 310 includes multi-trays 312-1, 312-2... and 312-N (collectively referred to as trays 312). The trays 312-1, 312-2... and 312-N include liquid openings 320-1, 320-2... and 320-N arranged at one or both ends thereof. Liquid openings 320-1, 320-2... and 320-N-1 (or N, if the bottom tray 312 contains liquid openings) (collectively referred to as liquid openings 320) are arranged in parts of the tray 312. These parts are It is located above the upper facing surface 324 of the tray 312 to allow a predetermined volume or predetermined surface area of the liquid precursor to accumulate in the corresponding tray 312 before flowing through the liquid opening 320.

現在參考圖2B與2C,吾人可以數種其他方式來輸送液體前驅物。例如,在圖2B中,係從側邊來輸送液體前驅物。當存在足夠體積的液體前驅物時,液體前驅物會流過托盤312-1中的一或多個液體開口320。其中某些液體前驅物將直接排出到緊鄰的較下方托盤(例如托盤312-2),以及某些液體前驅物將因為液體表面張力與吸引力而沿著托盤312-1的底表面流動。吾人可明白,液體前驅物的額外曝露表面面積係由沿著托盤312之底表面流動的液體前驅物所提供(相較於圖1B與1C中的系統)。在圖2C中,將液體前驅物輸送到頂托盤312-1,並且使用開口320將液體前驅物供給到較下方托盤312。以下參考圖3,顯示方法400。於404,將多托盤配置在該腔室內。於408,將液體前驅物輸送至該等托盤。於412,該方法判定在托盤中的液體前驅物之液位是否足夠。若為否,則該方法回到408。若412為正確,則於416可選地加熱或振動該等托盤。於420,可選地將載氣流供應橫越該等托盤並且供應於該等托盤之間。於424,將氣化前驅物輸送至該處理腔室。於430,該方法判定處理是否完成。若為否,則該方法回到412。不然,該方法則於440繼續,並停止將氣化前驅物輸送至該處理腔室。Now referring to Figures 2B and 2C, we can deliver liquid precursors in several other ways. For example, in Figure 2B, the liquid precursor is transported from the side. When there is a sufficient volume of liquid precursor, the liquid precursor will flow through one or more liquid openings 320 in the tray 312-1. Some of the liquid precursors will be directly discharged to the immediately lower tray (such as tray 312-2), and some of the liquid precursors will flow along the bottom surface of the tray 312-1 due to the liquid surface tension and attractive force. As we can understand, the additional exposed surface area of the liquid precursor is provided by the liquid precursor flowing along the bottom surface of the tray 312 (compared to the system in Figures 1B and 1C). In FIG. 2C, the liquid precursor is delivered to the top tray 312-1, and the opening 320 is used to supply the liquid precursor to the lower tray 312. Referring now to FIG. 3, a method 400 is shown. At 404, the multiple trays are arranged in the chamber. At 408, the liquid precursor is delivered to the trays. At 412, the method determines whether the level of the liquid precursor in the tray is sufficient. If not, the method returns to 408. If 412 is correct, then at 416 the trays are optionally heated or vibrated. At 420, the carrier air supply is optionally supplied across the trays and between the trays. At 424, the vaporized precursor is delivered to the processing chamber. At 430, the method determines whether the processing is complete. If not, the method returns to 412. Otherwise, the method continues at 440 and stops delivering the vaporized precursor to the processing chamber.

現在參考圖4,環500可配置在每一個托盤上方。環500包含凸部502-1、502-2…以及502-Z(共同稱為凸部502),其中Z為大於1的整數。凸部502被顯示大致在徑向上朝內突出。凸部502的端部包含開口或噴嘴504-1、504-2…以及504-Z(共同稱為開口或噴嘴504),以將載氣引導於托盤的表面上。噴嘴504可為了在出口的扼流(choked flow)與高速度而設計。凸部502可具有任何合適的構造。凸部502可為直線、曲線、彎曲(如圖4所示)或任何其他合適構造。凸部502可具有彎曲的構造,以增加紊流(turbulence)。凸部502可在圓周方向上彎曲以產生所顯示的螺旋流動圖案及/或向下朝托盤的表面彎曲。Referring now to FIG. 4, the ring 500 may be configured above each tray. The ring 500 includes protrusions 502-1, 502-2... and 502-Z (collectively referred to as protrusions 502), where Z is an integer greater than one. The convex portion 502 is shown to protrude inward substantially in the radial direction. The end of the convex portion 502 includes openings or nozzles 504-1, 504-2... and 504-Z (collectively referred to as openings or nozzles 504) to guide the carrier gas on the surface of the tray. The nozzle 504 may be designed for choked flow and high velocity at the outlet. The protrusion 502 may have any suitable configuration. The convex portion 502 may be straight, curved, curved (as shown in FIG. 4) or any other suitable configuration. The convex portion 502 may have a curved configuration to increase turbulence. The protrusion 502 may be curved in the circumferential direction to produce the displayed spiral flow pattern and/or bend downward toward the surface of the tray.

現在參考圖5A與5B,顯示另一環530。在圖5A中,環530包含橫桿534-1、534-2…以及534-F(共同稱為橫桿534),其中F為整數,這些橫桿相互隔開並且從環530的一側延伸至環530的相對側。橫桿534可如同顯示以平行方式加以配置或者以其他圖案加以配置。在圖5B中,開口540-1、540-2…以及540-A(共同稱為開口540),其中A為整數,這些開口被顯示配置在其中一橫桿534的一側上,以將流動導向托盤的表面。噴嘴可配置在開口540內。這些噴嘴可為了在出口的扼流與高速度而設計。Referring now to Figures 5A and 5B, another ring 530 is shown. In FIG. 5A, the ring 530 includes cross bars 534-1, 534-2... and 534-F (collectively referred to as cross bars 534), where F is an integer, and these cross bars are spaced apart from each other and extend from one side of the ring 530 To the opposite side of ring 530. The bars 534 can be arranged in a parallel manner as shown or arranged in other patterns. In Figure 5B, openings 540-1, 540-2... and 540-A (collectively referred to as openings 540), where A is an integer, these openings are shown to be arranged on one side of one of the crossbars 534 to allow flow Guide the surface of the tray. The nozzle may be arranged in the opening 540. These nozzles can be designed for choke and high velocity at the outlet.

吾人可明白,在若干範例中,開口540可配置在橫桿534的相反表面上,以在相反方向上引導載氣。此種配置方式可有助於增加紊流。當液體前驅物沿著托盤的底表面流動時,此種配置方式亦特別有幫助。As we can understand, in some examples, the opening 540 can be arranged on the opposite surface of the crossbar 534 to guide the carrier gas in the opposite direction. This configuration can help increase turbulence. This configuration is also particularly helpful when the liquid precursor flows along the bottom surface of the tray.

現在參考圖6,具有開口550-1、550-2…以及550-N的導管550可用以將氣化前驅物與載氣引導至處理腔室。Referring now to FIG. 6, a conduit 550 having openings 550-1, 550-2... and 550-N can be used to guide the vaporized precursor and carrier gas to the processing chamber.

現在參考圖7A與7B,可使用分離環600。分離環600可包含第一環部分608以及第二環部分620。第一環部分608係與載氣源連接,並且包含凸部612-1、612-2…以及612-S(共同稱為凸部612),這些凸部具有開口或噴嘴616-1、616-2…以及616-S(共同稱為開口或噴嘴616),以將載氣引導於托盤內所容納的液體上。在其他範例中,噴嘴616可直接配置在第一環部分608上而不使用凸部612。Referring now to Figures 7A and 7B, a separation ring 600 may be used. The separating ring 600 may include a first ring part 608 and a second ring part 620. The first ring portion 608 is connected to a carrier gas source and includes protrusions 612-1, 612-2... and 612-S (collectively referred to as protrusions 612). These protrusions have openings or nozzles 616-1, 616- 2... and 616-S (collectively referred to as an opening or nozzle 616) to guide the carrier gas on the liquid contained in the tray. In other examples, the nozzle 616 may be directly disposed on the first ring portion 608 without using the convex portion 612.

在圖7A中,第二環部分620包含開口622-1、622-2…以及622-T(其中T為大於1的整數)(共同稱為開口622),這些開口係位於第二環部分620的徑向內表面上,以回收氣化前驅物與載氣。開口622可被均勻地隔開,並且可與一歧管連接。選擇第二環部分620上之開口622的尺寸與數量以提供高傳導路徑,俾能使此蒸氣在不具有壓力降的情況下流動。In FIG. 7A, the second ring portion 620 includes openings 622-1, 622-2... and 622-T (where T is an integer greater than 1) (collectively referred to as openings 622), which are located in the second ring portion 620 On the inner surface of the radial direction to recover the gasification precursor and carrier gas. The openings 622 can be evenly spaced and can be connected to a manifold. The size and number of the openings 622 in the second ring portion 620 are selected to provide a high conduction path so that the vapor can flow without pressure drop.

在圖7B中,第二環部分620包含凸部634-1、634-2…以及634-R(共同稱為凸部634)(其中R為大於1的整數),這些凸部係從該環延伸,以回收氣化前驅物與載氣。在第二環部分620上之位於凸部634之端部的開口636可為高傳導開口,俾能使此蒸氣在不具有壓力降的情況下流動。In FIG. 7B, the second ring portion 620 includes protrusions 634-1, 634-2... and 634-R (collectively referred to as protrusions 634) (where R is an integer greater than 1), these protrusions are drawn from the ring Extend to recover gasification precursor and carrier gas. The opening 636 at the end of the convex portion 634 on the second ring portion 620 may be a high-conductivity opening, so as to enable the vapor to flow without pressure drop.

用以將氣流導向液體表面的導管可包含管或凸部中的開口,其係在托盤內之液體的表面增加紊流。紊流會增加熱與質量傳遞係數並且增加自每一個托盤的氣化速率。若再填充托盤的能力使托盤內的液位保持固定的話,此類型的引導凸部會變為可行(否則液體液位降低將會對表面動態造成改變的凸出情況)。同樣地,振動裝置可用以增加紊流。The ducts used to direct the airflow to the surface of the liquid may include openings in tubes or protrusions that are attached to the surface of the liquid in the tray to increase turbulence. Turbulence increases the heat and mass transfer coefficient and increases the rate of vaporization from each tray. If the ability to refill the tray keeps the liquid level in the tray fixed, this type of guide protrusion will become feasible (otherwise the drop in the liquid level will cause a change in the surface dynamics). Likewise, vibration devices can be used to increase turbulence.

以上說明在本質上僅為例示性,並且決非意指限制本揭露內容、其應用、或用途。吾人可以種種形式來實施本揭露內容之各種教示。因此,雖然本揭露內容包含特定的範例,但本揭露內容的真實範圍不應如此受到限制,因為吾人在研究圖式、說明書、以及下列請求項時將明白其他的變化。如在此所使用,「A、B、以及C至少其中一者」的用語應被解釋為使用非排他邏輯的「或」來表示邏輯的(A或B或C)之意。吾人應瞭解在不改變本揭露內容之原則的情況下,於一方法內的一或多個步驟可以不同的順序(或同時)被執行。The above description is merely illustrative in nature, and is in no way intended to limit the content of the disclosure, its application, or use. We can implement various teachings in this disclosure in various forms. Therefore, although the content of this disclosure contains specific examples, the true scope of the content of this disclosure should not be so limited, because we will understand other changes when we study the drawings, specifications, and the following claims. As used herein, the term "at least one of A, B, and C" should be interpreted as the use of non-exclusive logical "or" to express the logical (A or B or C) meaning. We should understand that one or more steps in a method can be executed in a different order (or at the same time) without changing the principles of this disclosure.

在本申請案中,包含以下定義,「控制器」一詞可以「電路」一詞加以取代。例如在系統單晶片(system-on-chip)中,「控制器」一詞可歸類於下者、下者之部分、或包含下者:特殊應用積體電路(ASIC,Application Specific Integrated Circuit);數位、類比、或混合類比/數位離散電路;數位、類比、或混合類比/數位積體電路;組合邏輯電路;場可程式閘陣列(FPGA,field programmable gate array);用以執行碼的(共用、專用、或集體)處理器;用以儲存由處理器所執行之碼的(共用、專用、或集體)記憶體;其他用以提供所述功能的合適硬體元件;或上述其中某些或全部之組合。In this application, the following definitions are included, and the term "controller" can be replaced by the term "circuit". For example, in system-on-chip (system-on-chip), the term "controller" can be classified as the following, part of the following, or including the following: Application Specific Integrated Circuit (ASIC) ; Digital, analog, or mixed analog/digital discrete circuit; digital, analog, or mixed analog/digital integrated circuit; combinational logic circuit; field programmable gate array (FPGA, field programmable gate array); for code execution ( Shared, dedicated, or collective) processor; (shared, dedicated, or collective) memory used to store the code executed by the processor; other suitable hardware components to provide the functions; or some of the above Or a combination of all.

如以上所使用,「碼」一詞可包含軟體、韌體、及/或微碼(microcode),以及可歸類於程式、常用程式(routines)、函數、類別(classes)、及/或物件(objects)。「共用處理器」一詞包含用以執行來自多控制器之某些或全部碼的單一處理器。「集體處理器」一詞包含與額外處理器結合而用以執行來自一或多個控制器之某些或全部碼的一處理器。「共用記憶體」一詞包含用以儲存來自多控制器之某些或全部碼的單一記憶體。「集體記憶體」一詞包含與額外記憶體結合而用以儲存來自一或多個控制器之某些或全部碼的一記憶體。「記憶體」一詞可為「電腦可讀取媒體」一詞的子集合。「電腦可讀取媒體」一詞不包含透過介質傳播的暫時性電信號與電磁信號,並因此可視為有形且非暫時性。非暫時性有形電腦可讀取媒體的非限制性範例包含非揮發性記憶體、揮發性記憶體、磁性儲存器、以及光學儲存器。As used above, the term "code" can include software, firmware, and/or microcode, and can be classified into programs, routines, functions, classes, and/or objects (objects). The term "shared processor" includes a single processor used to execute some or all code from multiple controllers. The term "collective processor" includes a processor that is combined with additional processors to execute some or all of the code from one or more controllers. The term "shared memory" includes a single memory used to store some or all codes from multiple controllers. The term "collective memory" includes a memory combined with additional memory to store some or all codes from one or more controllers. The term "memory" can be a subset of the term "computer readable media". The term "computer-readable media" does not include temporary electrical and electromagnetic signals that propagate through the media, and therefore can be regarded as tangible and non-temporary. Non-limiting examples of non-transitory tangible computer-readable media include non-volatile memory, volatile memory, magnetic storage, and optical storage.

吾人可藉由一或多個電腦程式來部分地或完全地實施本申請案中所述的設備與方法,該電腦程式係藉由一或多個處理器所執行。該電腦程式包含儲存在至少一非暫時性有形電腦可讀取媒體上的處理器可執行指令。該電腦程式亦可包含及/或依靠所儲存的資料。We can partially or completely implement the equipment and methods described in this application by one or more computer programs, which are executed by one or more processors. The computer program includes processor executable instructions stored on at least one non-transitory tangible computer readable medium. The computer program may also contain and/or rely on stored data.

100‧‧‧氣化前驅物輸送系統102‧‧‧處理腔室104‧‧‧基板106‧‧‧流量控制裝置108‧‧‧殼體110‧‧‧托盤組件112-1‧‧‧托盤112-2‧‧‧托盤112-N‧‧‧托盤114-1‧‧‧開口114-2‧‧‧開口114-N‧‧‧開口120‧‧‧支撐構件130‧‧‧液體前驅物儲槽134‧‧‧閥140‧‧‧導管142-1‧‧‧開口142-2‧‧‧開口142-N‧‧‧開口150‧‧‧散裝儲槽152‧‧‧閥154‧‧‧導管162‧‧‧載氣164‧‧‧閥及/或質量流量控制器166‧‧‧導管170-1‧‧‧開口170-2‧‧‧開口170-N‧‧‧開口180‧‧‧加熱器184‧‧‧振動裝置200‧‧‧控制器204‧‧‧液位感測器208‧‧‧液位感測器250-1‧‧‧伸出部分250-2‧‧‧伸出部分250-N‧‧‧伸出部分251‧‧‧以壓力為基礎的質量流量控制器或可變孔口252‧‧‧壓力感測器254‧‧‧MFC260‧‧‧以壓力為基礎的MFC264‧‧‧可變限制孔口266‧‧‧壓力感測器300‧‧‧多托盤壓載系統310‧‧‧多托盤組件312-1‧‧‧托盤312-2‧‧‧托盤312-N‧‧‧托盤320-1‧‧‧液體開口320-2‧‧‧液體開口320-3‧‧‧液體開口324‧‧‧面朝上表面400‧‧‧方法404‧‧‧將多托盤配置在腔室內408‧‧‧將液體前驅物輸送到托盤412‧‧‧液位是否足夠?416‧‧‧可選擇地加熱或振動托盤420‧‧‧可選擇地使載氣橫越托盤流動並在托盤之間流動424‧‧‧將氣化前驅物輸送到處理腔室430‧‧‧處理是否完成?440‧‧‧停止將氣化前驅物輸送到處理腔室500‧‧‧環502-1‧‧‧凸部502-2‧‧‧凸部502-Z‧‧‧凸部504-1‧‧‧開口或噴嘴504-2‧‧‧開口或噴嘴504-Z‧‧‧開口或噴嘴530‧‧‧環534‧‧‧橫桿534-1‧‧‧橫桿534-2‧‧‧橫桿534-F‧‧‧橫桿540-1‧‧‧開口540-2‧‧‧開口540-A‧‧‧開口550‧‧‧導管550-1‧‧‧開口550-2‧‧‧開口550-N‧‧‧開口600‧‧‧分離環608‧‧‧第一環部分612-1‧‧‧凸部612-2‧‧‧凸部612-S‧‧‧凸部616-1‧‧‧開口或噴嘴616-2‧‧‧開口或噴嘴616-S‧‧‧開口或噴嘴620‧‧‧第二環部分622-1‧‧‧開口622-2‧‧‧開口622-3‧‧‧開口622-T‧‧‧開口634-1‧‧‧凸部634-2‧‧‧凸部634-R‧‧‧凸部636‧‧‧開口100‧‧‧Gasification precursor conveying system 102‧‧‧Processing chamber 104‧‧‧Substrate 106‧‧‧Flow control device 108‧‧‧Shell 110‧‧‧Tray assembly 112-1‧‧‧Tray 112- 2‧‧‧Tray 112-N‧‧‧Tray 114-1‧‧‧ Opening 114-2‧‧‧ Opening 114-N‧‧‧ Opening 120‧‧‧Supporting member 130‧‧‧Liquid precursor storage tank 134‧ ‧‧Valve 140‧‧‧Conduit 142-1‧‧‧Opening 142-2‧‧‧Opening 142-N‧‧‧Opening 150‧‧‧Bulk storage tank 152‧‧‧Valve 154‧‧‧Conduit 162‧‧‧ Carrier gas 164‧‧‧Valve and/or mass flow controller 166‧‧‧Conduit 170-1‧‧‧Opening 170-2‧‧‧Opening 170-N‧‧‧Opening 180‧‧‧Heater 184‧‧‧ Vibration device 200‧‧‧Controller 204‧‧‧Liquid level sensor 208‧‧‧Liquid level sensor 250-1‧‧‧Extended part 250-2‧‧‧Extended part 250-N‧‧‧ Extension 251‧‧‧Pressure-based mass flow controller or variable orifice 252‧‧‧Pressure sensor 254‧‧‧MFC260‧‧‧Pressure-based MFC264‧‧‧Variable restriction hole Port 266‧‧‧Pressure sensor 300‧‧‧Multi-tray ballast system 310‧‧‧Multi-tray assembly 312-1‧‧‧Tray 312-2‧‧‧Tray 312-N‧‧‧Tray 320-1‧ ‧‧Liquid opening 320-2‧‧‧Liquid opening 320-3‧‧‧Liquid opening 324‧‧‧Upper surface 400‧‧‧Method 404‧‧‧Arrangement of multiple trays in the chamber 408‧‧‧Place the liquid Is the precursor transported to the tray 412‧‧‧ sufficient? 416‧‧‧ can optionally heat or vibrate the tray 420‧‧‧ can optionally make the carrier gas flow across the trays and between the trays 424‧‧‧will The vaporization precursor is transported to the processing chamber 430‧‧‧Is the processing completed? 440‧‧‧Stop transporting the vaporization precursor to the processing chamber 500‧‧‧ Ring 502-1‧‧‧Protrusion 502-2‧‧ ‧Protrusion 502-Z‧‧‧Protrusion 504-1‧‧ Opening or nozzle 504-2‧‧‧ Opening or nozzle 504-Z‧‧‧ Opening or nozzle 530‧‧‧Ring 534‧‧‧Cross bar 534 -1‧‧‧Cross bar 534-2‧‧‧Cross bar 534-F‧‧‧Cross bar 540-1‧‧ Opening 540-2‧‧‧ Opening 540-A‧‧‧ Opening 550 -1‧‧‧Aperture 550-2‧‧‧Aperture 550-N‧‧‧Aperture 600‧‧‧Separation ring 608‧‧‧First ring part 612-1‧‧‧Protrusion 612-2‧‧‧Protrusion 612-S‧‧‧Protrusion 616-1‧‧ Opening or nozzle 616-2‧‧‧ Opening or nozzle 616-S‧‧‧ Opening or nozzle 620‧‧‧Second ring part 622-1‧‧‧ Opening 622-2‧‧‧ opening 622-3‧‧‧ opening 622-T‧‧‧ opening 634-1‧‧‧ convex 634-2‧‧‧ convex 634-R‧‧‧ convex 636‧‧‧ opening

吾人可從詳細說明內容與隨附圖式來更加徹底瞭解本揭露內容,其中:We can get a more thorough understanding of the content of this disclosure from the detailed description and accompanying drawings. Among them:

依照本揭露內容,圖1A顯示多托盤壓載蒸氣吸引系統的一範例;According to the present disclosure, FIG. 1A shows an example of a multi-tray ballast vapor suction system;

依照本揭露內容,圖1B顯示多托盤壓載蒸氣吸引系統之部分的一範例;According to the disclosure, FIG. 1B shows an example of part of a multi-tray ballast vapor suction system;

依照本揭露內容,圖1C顯示多托盤壓載蒸氣吸引系統之部分的另一範例;According to the present disclosure, FIG. 1C shows another example of part of a multi-tray ballast steam suction system;

依照本揭露內容,圖2A顯示多托盤壓載蒸氣吸引系統的另一範例;According to the present disclosure, FIG. 2A shows another example of a multi-tray ballast vapor suction system;

依照本揭露內容,圖2B與2C顯示用於多托盤壓載蒸氣吸引系統之替代液體輸送系統的範例;According to the present disclosure, Figures 2B and 2C show an example of an alternative liquid delivery system for a multi-tray ballast vapor suction system;

依照本揭露內容,圖3顯示用以將氣化前驅物輸送至基板處理系統的方法;According to the present disclosure, FIG. 3 shows a method for transporting the vaporized precursor to the substrate processing system;

依照本揭露內容,圖4顯示包含噴嘴之環的一範例,其中這些噴嘴係朝內突出,以將載氣引導於托盤上;According to the present disclosure, FIG. 4 shows an example of a ring containing nozzles, where the nozzles protrude inward to guide the carrier gas on the tray;

依照本揭露內容,圖5A顯示包含噴嘴之環的另一範例,其中這些噴嘴係配置在橫桿上,以將載氣引導於托盤上;According to the present disclosure, FIG. 5A shows another example of a ring containing nozzles, where the nozzles are arranged on the cross bar to guide the carrier gas on the tray;

圖5B為在圖5A之其中一橫桿上之噴嘴的放大底視圖;Figure 5B is an enlarged bottom view of the nozzle on one of the bars of Figure 5A;

圖6顯示具有開口的導管,此導管用以將氣化前驅物以及載氣引導至處理腔室;及Figure 6 shows a catheter with an opening for guiding the vaporized precursor and carrier gas to the processing chamber; and

依照本揭露內容,圖7A與7B顯示分離環的範例。According to the present disclosure, FIGS. 7A and 7B show examples of the split ring.

在這些圖式中,參考符號可被重複使用,以標示相似及/或相同的元件。In these drawings, reference symbols may be used repeatedly to indicate similar and/or identical elements.

100‧‧‧氣化前驅物輸送系統 100‧‧‧Gasification precursor delivery system

102‧‧‧處理腔室 102‧‧‧Processing chamber

104‧‧‧基板 104‧‧‧Substrate

106‧‧‧流量控制裝置 106‧‧‧Flow control device

108‧‧‧殼體 108‧‧‧Shell

110‧‧‧托盤組件 110‧‧‧Tray assembly

112-1‧‧‧托盤 112-1‧‧‧Tray

112-2‧‧‧托盤 112-2‧‧‧Tray

112-N‧‧‧托盤 112-N‧‧‧Tray

114-1‧‧‧開口 114-1‧‧‧Opening

114-2‧‧‧開口 114-2‧‧‧Opening

114-N‧‧‧開口 114-N‧‧‧ Opening

120‧‧‧支撐構件 120‧‧‧Supporting member

130‧‧‧液體前驅物儲槽 130‧‧‧Liquid precursor storage tank

134‧‧‧閥 134‧‧‧valve

140‧‧‧導管 140‧‧‧Conduit

142-1‧‧‧開口 142-1‧‧‧Opening

142-2‧‧‧開口 142-2‧‧‧Opening

142-N‧‧‧開口 142-N‧‧‧Opening

150‧‧‧散裝儲槽 150‧‧‧Bulk storage tank

152‧‧‧閥 152‧‧‧valve

154‧‧‧導管 154‧‧‧Conduit

162‧‧‧載氣 162‧‧‧Carrier Gas

164‧‧‧閥及/或質量流量控制器 164‧‧‧Valve and/or mass flow controller

166‧‧‧導管 166‧‧‧Conduit

170-1‧‧‧開口 170-1‧‧‧Opening

170-2‧‧‧開口 170-2‧‧‧Opening

170-N‧‧‧開口 170-N‧‧‧Opening

180‧‧‧加熱器 180‧‧‧Heater

184‧‧‧振動裝置 184‧‧‧Vibration device

200‧‧‧控制器 200‧‧‧Controller

204‧‧‧液位感測器 204‧‧‧Liquid level sensor

208‧‧‧液位感測器 208‧‧‧Liquid level sensor

Claims (23)

一種供應氣化前驅物的系統,該系統包含:一殼體,包含一輸出端;複數托盤,在該殼體內部配置成堆疊、隔開的構造;一第一導管,將一載氣流體地供應至該殼體;一第二導管,包含至少一開口,並且將一液體前驅物供應至該複數托盤之其中一或多者,其中該複數托盤用以容納該液體前驅物,其中該複數托盤中之每一個托盤的端部包含一或多個孔,一部分的該液體前驅物透過該一或多個孔排出到一緊鄰的較下方托盤;一第一閥,沿著該第一導管配置,並且用以選擇性地控制該載氣從一載氣供應部通過該第一導管的輸送;及一第二閥,沿著該第二導管配置,並且用以選擇性地控制該液體前驅物從一液體前驅物源通過該第二導管中之該至少一開口到該複數托盤之該至少一者的輸送,其中該殼體的該輸出端提供該載氣與該氣化前驅物的一混合物。 A system for supplying gasification precursors, the system comprising: a housing including an output end; a plurality of trays arranged in a stacked and spaced structure inside the housing; a first conduit for fluidly connecting a carrier gas Is supplied to the housing; a second conduit includes at least one opening, and supplies a liquid precursor to one or more of the plurality of trays, wherein the plurality of trays are used to contain the liquid precursor, wherein the plurality of trays The end of each tray includes one or more holes, and a part of the liquid precursor is discharged through the one or more holes to an immediately lower tray; a first valve is arranged along the first duct, And used to selectively control the transport of the carrier gas from a carrier gas supply part through the first conduit; and a second valve arranged along the second conduit and used to selectively control the liquid precursor from A liquid precursor source is transported through the at least one opening in the second conduit to the at least one of the plurality of trays, wherein the output end of the housing provides a mixture of the carrier gas and the vaporized precursor. 一種供應氣化前驅物的系統,該系統包含:一殼體,包含一輸出端;複數托盤,在該殼體內部配置成堆疊、隔開的構造;一第一導管,將一載氣流體地供應至該殼體;一第二導管,包含至少一開口,並且將一液體前驅物供應至該複數托盤之其中一或多者,其中該複數托盤用以容納該液體前驅物, 其中該第二導管包含第一複數開口,該第一複數開口係配置成將部分的該液體前驅物分別供應至該複數托盤,且其中該第一複數開口包含該至少一開口;一第一閥,沿著該第一導管配置,並且用以選擇性地控制該載氣從一載氣供應部通過該第一導管的輸送;及一第二閥,沿著該第二導管配置,並且用以選擇性地控制該液體前驅物從一液體前驅物源通過該第二導管中之該至少一開口到該複數托盤之該至少一者的輸送,其中該殼體的該輸出端提供該載氣與該氣化前驅物的一混合物。 A system for supplying gasification precursors, the system comprising: a housing including an output end; a plurality of trays arranged in a stacked and spaced structure inside the housing; a first conduit for fluidly connecting a carrier gas Is supplied to the housing; a second conduit includes at least one opening, and supplies a liquid precursor to one or more of the plurality of trays, wherein the plurality of trays are used to contain the liquid precursor, Wherein the second conduit includes a first plurality of openings, the first plurality of openings are configured to supply part of the liquid precursor to the plurality of trays respectively, and wherein the first plurality of openings includes the at least one opening; a first valve , Arranged along the first conduit, and used to selectively control the transport of the carrier gas from a carrier gas supply part through the first conduit; and a second valve arranged along the second conduit, and used to Selectively controlling the transport of the liquid precursor from a liquid precursor source through the at least one opening in the second conduit to the at least one of the plurality of trays, wherein the output end of the housing provides the carrier gas and A mixture of the gasification precursors. 如申請專利範圍第2項所述之供應氣化前驅物的系統,其中:該第二閥用以選擇性地控制該液體前驅物從該液體前驅物源通過該第二導管中之該第一複數開口到該複數托盤的輸送。 The system for supplying vaporized precursors according to the second item of the patent application, wherein: the second valve is used to selectively control the liquid precursor from the liquid precursor source through the first in the second conduit The conveyance of plural openings to the plural pallets. 如申請專利範圍第1或2項所述之供應氣化前驅物的系統,其中:該第二導管將該液體前驅物引導至該複數托盤的一最頂托盤;及該液體前驅物從該最頂托盤向下排出到該複數托盤的其他托盤。 The system for supplying vaporized precursors as described in item 1 or 2 of the scope of patent application, wherein: the second conduit guides the liquid precursor to a top tray of the plurality of trays; and the liquid precursor from the bottom The top tray is discharged downward to the other trays of the plural trays. 如申請專利範圍第1或2項所述之供應氣化前驅物的系統,其中:在該複數托盤之每一個托盤內的若干該液體前驅物排出到一緊鄰的較下方托盤;及 在該複數托盤之每一個托盤內的若干該液體前驅物因液體表面張力與吸引力而沿著該複數托盤中之一對應托盤的底表面流動。 The system for supplying vaporized precursors as described in item 1 or 2 of the scope of patent application, wherein: a plurality of the liquid precursors in each tray of the plurality of trays are discharged to an adjacent lower tray; and A number of the liquid precursors in each tray of the plurality of trays flow along the bottom surface of the corresponding tray of one of the plurality of trays due to liquid surface tension and attractive force. 如申請專利範圍第1或2項所述之供應氣化前驅物的系統,其中:該複數托盤具有不同的尺寸,以容納不同量的該液體前驅物;及該複數托盤中之每一個托盤的排出係進入到一緊鄰的較下方托盤中,且該複數托盤中之每一個托盤的尺寸係小於該緊鄰的較下方托盤。 The system for supplying vaporized precursors as described in item 1 or 2 of the scope of the patent application, wherein: the plurality of trays have different sizes to accommodate different amounts of the liquid precursor; and each tray of the plurality of trays The discharge system enters an adjacent lower tray, and the size of each tray in the plurality of trays is smaller than the adjacent lower tray. 如申請專利範圍第2項所述之供應氣化前驅物的系統,其中:該複數托盤中之每一個托盤的端部包含一或多個孔,一部分的該液體前驅物透過該一或多個孔排出到一緊鄰的較下方托盤。 The system for supplying vaporized precursors as described in item 2 of the scope of patent application, wherein: the end of each tray in the plurality of trays includes one or more holes, and a part of the liquid precursor passes through the one or more The holes are discharged to an immediately lower tray. 如申請專利範圍第1項所述之供應氣化前驅物的系統,更包含一底部托盤,其中該底部托盤不包含在該複數托盤之中並且不具有排出孔。 The system for supplying gasification precursors as described in item 1 of the scope of the patent application further includes a bottom tray, wherein the bottom tray is not included in the plurality of trays and does not have a discharge hole. 如申請專利範圍第1或2項所述之供應氣化前驅物的系統,其中該第一導管包含第二複數開口,其中該第二複數開口之每一者係配置成將一部分的該載氣供應朝向或橫越該複數托盤之各者內的該液體前驅物。 The system for supplying a gasification precursor as described in item 1 or 2 of the scope of patent application, wherein the first conduit includes a second plurality of openings, wherein each of the second plurality of openings is configured to distribute a part of the carrier gas Supply the liquid precursor toward or across each of the plurality of trays. 如申請專利範圍第1或2項所述之供應氣化前驅物的系統,其中該第一導管僅將該液體前驅物引導至該複數托盤之一者內。 The system for supplying vaporized precursors as described in item 1 or 2 of the scope of patent application, wherein the first conduit only guides the liquid precursor to one of the plurality of trays. 如申請專利範圍第1或2項所述之供應氣化前驅物的系統,更包含:一液位感測器,用以感測該複數托盤之至少一者內之該液體前驅物的液位;及一控制器,基於該液位而選擇性地控制該第二閥。 The system for supplying vaporized precursor as described in item 1 or 2 of the scope of the patent application further includes: a liquid level sensor for sensing the liquid level of the liquid precursor in at least one of the plurality of trays And a controller that selectively controls the second valve based on the liquid level. 如申請專利範圍第1或2項所述之供應氣化前驅物的系統,更包含配置在該殼體內的一支撐構件,其中:該複數托盤係與該支撐構件連接;及該複數托盤界定一開口,以及其中該支撐構件穿過該複數托盤的該開口。 The system for supplying gasification precursors as described in item 1 or 2 of the scope of patent application further includes a supporting member arranged in the shell, wherein: the plurality of trays are connected with the supporting member; and the plurality of trays define a An opening, and the opening in which the support member passes through the plurality of trays. 如申請專利範圍第12項所述之供應氣化前驅物的系統,其中該支撐構件與該複數托盤係由導熱性材料所製成。 The system for supplying gasification precursors as described in item 12 of the scope of patent application, wherein the supporting member and the plurality of trays are made of thermally conductive materials. 如申請專利範圍第12項所述之供應氣化前驅物的系統,其中:該複數托盤包含各自界定一開口的N個托盤,其中N為大於1的整數;該支撐構件穿過該N個托盤的該開口;該N個托盤中的至少N-1個托盤包含至少一開口,該至少一開口係位在該N個托盤中之該至少N-1個托盤的端部;及當該至少N-1個托盤之其中一托盤內之該液體前驅物的液位大於一預定液位時,該液體前驅物通過該N-1個托盤的該至少一開口而到達該N個托盤中之一鄰接的較下方托盤。 The system for supplying gasification precursors according to item 12 of the scope of patent application, wherein: the plurality of trays include N trays each defining an opening, wherein N is an integer greater than 1; the support member passes through the N trays At least N-1 of the N trays include at least one opening, the at least one opening is located at the end of the at least N-1 trays of the N trays; and when the at least N -1 When the liquid level of the liquid precursor in one of the trays is greater than a predetermined liquid level, the liquid precursor passes through the at least one opening of the N-1 trays to reach the adjacent one of the N trays The lower tray. 如申請專利範圍第1或2項所述之供應氣化前驅物的系統,其中該複數托盤中的每一個托盤係與該複數托盤中之至少一鄰接的托盤隔開一預定距離。 The system for supplying gasification precursors as described in item 1 or 2 of the scope of patent application, wherein each tray of the plurality of trays is spaced apart from at least one adjacent tray of the plurality of trays by a predetermined distance. 如申請專利範圍第1或2項所述之供應氣化前驅物的系統,更包含:複數環,各自配置在該複數托盤中的一對應托盤上方,其中該複數環中的每一個環包含複數橫桿,該橫桿包含噴嘴,該噴嘴用以將該載氣導向該複數托盤之至少一者內的該液體前驅物。 As described in item 1 or 2 of the scope of patent application, the system for supplying gasification precursors further includes: a plurality of rings, each of which is arranged above a corresponding tray in the plurality of trays, wherein each ring of the plurality of rings contains a plurality of The crossbar includes a nozzle for guiding the carrier gas to the liquid precursor in at least one of the plurality of trays. 如申請專利範圍第1或2項所述之供應氣化前驅物的系統,更包含各自配置在該複數托盤中之一對應托盤上方的複數分離環,其中該複數分離環中的每一個分離環包含:一第一環部分,包含用以將該載氣引導到該複數托盤內之該液體前驅物上的凸部與噴嘴;及一第二環部分,包含用以收集該氣化前驅物的開口。 As described in item 1 or 2 of the scope of patent application, the system for supplying gasification precursors further comprises a plurality of separation rings respectively arranged on one of the plurality of trays corresponding to the tray, wherein each separation ring of the plurality of separation rings Contains: a first ring part, including protrusions and nozzles for guiding the carrier gas to the liquid precursor in the plurality of trays; and a second ring part, including a part for collecting the vaporized precursor Open up. 如申請專利範圍第1或2項所述之供應氣化前驅物的系統,更包含一第三導管,該第三導管包含分別配置鄰接至該複數托盤的複數開口,以收集該氣化前驅物,其中該殼體的該輸出端係與該第二導管連接。 As described in item 1 or 2 of the scope of patent application, the system for supplying gasification precursors further includes a third conduit, and the third conduit includes a plurality of openings respectively arranged adjacent to the plurality of trays to collect the gasification precursors , Wherein the output end of the housing is connected with the second conduit. 如申請專利範圍第1或2項所述之供應氣化前驅物的系統,其中:該第二導管包含伸出部分;及該等伸出部分中的每一個伸出部分係向內延伸,並且引導在該複數托盤之各者內的一部分之該液體前驅物。 The system for supplying a gasification precursor as described in item 1 or 2 of the scope of the patent application, wherein: the second conduit includes a protruding part; and each of the protruding parts extends inward, and Guide a portion of the liquid precursor in each of the plurality of trays. 如申請專利範圍第1或2項所述之供應氣化前驅物的系統,更包含:一電漿腔室;及一流量控制裝置,控制該載氣與該氣化前驅物之該混合物從該殼體之該輸出端到該電漿腔室的流動。 The system for supplying a gasification precursor as described in item 1 or 2 of the scope of the patent application further comprises: a plasma chamber; and a flow control device for controlling the mixture of the carrier gas and the gasification precursor from the The flow from the output end of the housing to the plasma chamber. 如申請專利範圍第1或2項所述之供應氣化前驅物的系統,更包含:一支撐構件,配置在該殼體內,其中該複數托盤係與該支撐構件連接;及至少一加熱器,其與該支撐構件連接或配置在該支撐構件內部,其中該加熱器係專用於加熱該支撐構件,且其中熱能係從該支撐構件傳遞至該複數托盤與該液體前驅物。 The system for supplying gasification precursors as described in item 1 or 2 of the scope of the patent application further comprises: a supporting member arranged in the housing, wherein the plurality of trays are connected to the supporting member; and at least one heater, It is connected with the supporting member or arranged inside the supporting member, wherein the heater is dedicated to heating the supporting member, and wherein heat energy is transferred from the supporting member to the plurality of trays and the liquid precursor. 如申請專利範圍第1或2項所述之供應氣化前驅物的系統,更包含: 一支撐構件,配置在該殼體內,其中該複數托盤係與該支撐構件連接;及一振動裝置,用以振動該支撐構件以及該複數托盤之其中至少一者。 The system for supplying gasification precursors as described in item 1 or 2 of the scope of patent application further includes: A supporting member arranged in the housing, wherein the plurality of trays are connected with the supporting member; and a vibration device for vibrating at least one of the supporting member and the plurality of trays. 如申請專利範圍第1或2項所述之供應氣化前驅物的系統,更包含複數環,各自配置在該複數托盤中的一對應托盤上方,其中該複數環中的每一個環包含(i)凸部與一或多個噴嘴、或(ii)複數橫桿與噴嘴。 As described in item 1 or 2 of the scope of the patent application, the system for supplying gasification precursors further includes a plurality of rings, each of which is arranged above a corresponding tray in the plurality of trays, wherein each ring in the plurality of rings contains (i ) A convex portion and one or more nozzles, or (ii) a plurality of cross bars and nozzles.
TW107145291A 2013-11-25 2014-11-24 Multi-tray ballast vapor draw systems TWI700120B (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14/089,009 2013-11-25
US14/089,009 US9334566B2 (en) 2013-11-25 2013-11-25 Multi-tray ballast vapor draw systems

Publications (2)

Publication Number Publication Date
TW201919755A TW201919755A (en) 2019-06-01
TWI700120B true TWI700120B (en) 2020-08-01

Family

ID=53181978

Family Applications (2)

Application Number Title Priority Date Filing Date
TW103140649A TWI654025B (en) 2013-11-25 2014-11-24 System and method for supplying vaporized precursors via multiple trays in a stacked configuration
TW107145291A TWI700120B (en) 2013-11-25 2014-11-24 Multi-tray ballast vapor draw systems

Family Applications Before (1)

Application Number Title Priority Date Filing Date
TW103140649A TWI654025B (en) 2013-11-25 2014-11-24 System and method for supplying vaporized precursors via multiple trays in a stacked configuration

Country Status (5)

Country Link
US (1) US9334566B2 (en)
JP (1) JP6945269B2 (en)
KR (1) KR102369254B1 (en)
CN (2) CN109536923B (en)
TW (2) TWI654025B (en)

Families Citing this family (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10876205B2 (en) 2016-09-30 2020-12-29 Asm Ip Holding B.V. Reactant vaporizer and related systems and methods
US11926894B2 (en) * 2016-09-30 2024-03-12 Asm Ip Holding B.V. Reactant vaporizer and related systems and methods
WO2020010153A1 (en) 2018-07-05 2020-01-09 Lam Research Corporation Dynamic temperature control of substrate support in substrate processing system
KR20200020608A (en) 2018-08-16 2020-02-26 에이에스엠 아이피 홀딩 비.브이. Solid source sublimator
JP7240993B2 (en) * 2019-08-27 2023-03-16 東京エレクトロン株式会社 Source gas supply system and source gas supply method
US11624113B2 (en) 2019-09-13 2023-04-11 Asm Ip Holding B.V. Heating zone separation for reactant evaporation system
KR20220152274A (en) * 2020-03-17 2022-11-15 도쿄엘렉트론가부시키가이샤 raw material supply system
CN116324026A (en) * 2020-10-09 2023-06-23 朗姆研究公司 Vapor delivery apparatus
CN114277358B (en) * 2021-11-12 2023-10-27 北京北方华创微电子装备有限公司 Liquid source bottle and semiconductor process equipment

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007211346A (en) * 2006-02-10 2007-08-23 Tokyo Electron Ltd Film precursor tray for use in film precursor evaporation system and method of using the same
TW200746303A (en) * 2006-02-10 2007-12-16 Tokyo Electron Ltd Film precursor evaporation system and method of using
JP2010502833A (en) * 2006-08-31 2010-01-28 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド Fluid delivery based on solid precursors utilizing controlled solid morphology
CN101911281A (en) * 2008-01-21 2010-12-08 应用材料股份有限公司 Apparatus and method for supporting, positioning and rotating a substrate in a processing chamber
TWI375275B (en) * 2005-03-28 2012-10-21 Tokyo Electron Ltd Formation of silicon nitride film
TW201310504A (en) * 2011-08-22 2013-03-01 Soitec Silicon On Insulator Direct liquid injection for halide vapor phase epitaxy systems and methods

Family Cites Families (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US55950A (en) * 1866-06-26 Improved apparatus for carbureting air
US24200A (en) * 1859-05-31 hall covel
US245443A (en) * 1881-08-09 Carburetor
US409570A (en) * 1889-08-20 Carburetor
US366168A (en) * 1887-07-05 Gas-generating machine
US550317A (en) * 1895-11-26 Carburetor
US1301610A (en) * 1917-02-15 1919-04-22 Oliver L Scott Carbureter.
US1545755A (en) * 1922-12-21 1925-07-14 Kent Ltd G Apparatus for making illuminating gas
US1583255A (en) * 1924-05-21 1926-05-04 Moore Willis Luther Humidifying radiator
US1985689A (en) * 1931-03-06 1934-12-25 Emerson Electric Mfg Co Humidifier
US4286577A (en) * 1978-11-30 1981-09-01 The University Of Iowa Research Foundation Apparatus for containing liquid
JPH08279497A (en) * 1995-04-07 1996-10-22 Hitachi Ltd Semiconductor and production system thereof
US6358323B1 (en) * 1998-07-21 2002-03-19 Applied Materials, Inc. Method and apparatus for improved control of process and purge material in a substrate processing system
KR20010047128A (en) 1999-11-18 2001-06-15 이경수 Method of vaporizing a liquid source and apparatus used therefor
FR2829037B1 (en) * 2001-08-28 2003-12-19 Joint Industrial Processors For Electronics MULTI-SPEAKER DEVICE FOR FRACTIONAL EVAPORATION AND SEPARATION OF A SOLUTION
US6921062B2 (en) 2002-07-23 2005-07-26 Advanced Technology Materials, Inc. Vaporizer delivery ampoule
US7300038B2 (en) 2002-07-23 2007-11-27 Advanced Technology Materials, Inc. Method and apparatus to help promote contact of gas with vaporized material
US7484315B2 (en) * 2004-11-29 2009-02-03 Tokyo Electron Limited Replaceable precursor tray for use in a multi-tray solid precursor delivery system
US20070234956A1 (en) * 2006-04-05 2007-10-11 Dalton Jeremie J Method and apparatus for providing uniform gas delivery to a reactor
JP5933372B2 (en) * 2012-07-02 2016-06-08 東京エレクトロン株式会社 Raw material container and method of using the raw material container

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI375275B (en) * 2005-03-28 2012-10-21 Tokyo Electron Ltd Formation of silicon nitride film
JP2007211346A (en) * 2006-02-10 2007-08-23 Tokyo Electron Ltd Film precursor tray for use in film precursor evaporation system and method of using the same
TW200746303A (en) * 2006-02-10 2007-12-16 Tokyo Electron Ltd Film precursor evaporation system and method of using
JP2010502833A (en) * 2006-08-31 2010-01-28 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド Fluid delivery based on solid precursors utilizing controlled solid morphology
CN101911281A (en) * 2008-01-21 2010-12-08 应用材料股份有限公司 Apparatus and method for supporting, positioning and rotating a substrate in a processing chamber
TW201310504A (en) * 2011-08-22 2013-03-01 Soitec Silicon On Insulator Direct liquid injection for halide vapor phase epitaxy systems and methods

Also Published As

Publication number Publication date
KR102369254B1 (en) 2022-02-28
TW201919755A (en) 2019-06-01
KR20150060566A (en) 2015-06-03
JP6945269B2 (en) 2021-10-06
CN104651806A (en) 2015-05-27
CN109536923B (en) 2021-08-06
TWI654025B (en) 2019-03-21
US9334566B2 (en) 2016-05-10
US20150145154A1 (en) 2015-05-28
CN104651806B (en) 2018-11-02
TW201532665A (en) 2015-09-01
CN109536923A (en) 2019-03-29
JP2015110837A (en) 2015-06-18

Similar Documents

Publication Publication Date Title
TWI700120B (en) Multi-tray ballast vapor draw systems
JP6868951B2 (en) Systems and methods for steam supply
KR102060931B1 (en) Process gas management for an inductively-coupled plasma deposition reactor
CN102348832B (en) Bubbling supply system for stable precursor supply
US8925588B2 (en) Flow balancing in gas distribution networks
CN103518005A (en) Method and apparatus for gas delivery
KR102563448B1 (en) systems and methods for bulk vaporization of precursor
KR101591487B1 (en) Vaporizer for precusors
KR101753758B1 (en) Vaporizer and substrate disposition apparatus including the same
WO2016043033A1 (en) Shower head and deposition system
CN100567563C (en) Chemical evaporation plating device
CN101298666A (en) Gas source supply device and method
KR100455224B1 (en) Vaporizer
KR101351438B1 (en) Apparatus for supplying source and system for deopsing thin film having the same
KR102235339B1 (en) A Linear Type Evaporator for Large Area Substrates
KR20180124338A (en) Vaporizer
CN103591458B (en) Flow balancing in gas distribution networks
KR101328589B1 (en) Multi-source and apparatus for depositing thin films using the same
US20150184292A1 (en) Systems and methods for preventing mixing of two gas streams in a processing chamber
KR20140056704A (en) Flow distributing apparatus of fluid for cvd