TWI654715B - 電遷移簽核方法與系統 - Google Patents

電遷移簽核方法與系統

Info

Publication number
TWI654715B
TWI654715B TW105137502A TW105137502A TWI654715B TW I654715 B TWI654715 B TW I654715B TW 105137502 A TW105137502 A TW 105137502A TW 105137502 A TW105137502 A TW 105137502A TW I654715 B TWI654715 B TW I654715B
Authority
TW
Taiwan
Prior art keywords
electromigration
components
electrical network
temperature change
temperature
Prior art date
Application number
TW105137502A
Other languages
English (en)
Other versions
TW201719812A (zh
Inventor
盂曾賢
林晉申
楊清舜
管瑞豐
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW201719812A publication Critical patent/TW201719812A/zh
Application granted granted Critical
Publication of TWI654715B publication Critical patent/TWI654715B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/58Structural electrical arrangements for semiconductor devices not otherwise provided for, e.g. in combination with batteries
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/14Measuring as part of the manufacturing process for electrical parameters, e.g. resistance, deep-levels, CV, diffusions by electrical means
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/392Floor-planning or layout, e.g. partitioning or placement
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/36Circuit design at the analogue level
    • G06F30/367Design verification, e.g. using simulation, simulation program with integrated circuit emphasis [SPICE], direct methods or relaxation methods
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/398Design verification or optimisation, e.g. using design rule check [DRC], layout versus schematics [LVS] or finite element methods [FEM]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/34Arrangements for cooling, heating, ventilating or temperature compensation ; Temperature sensing arrangements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/482Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body
    • H01L23/485Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body consisting of layered constructions comprising conductive layers and insulating layers, e.g. planar contacts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/2851Testing of integrated circuits [IC]
    • G01R31/2855Environmental, reliability or burn-in testing
    • G01R31/2856Internal circuit aspects, e.g. built-in test features; Test chips; Measuring material aspects, e.g. electro migration [EM]
    • G01R31/2858Measuring of material aspects, e.g. electro-migration [EM], hot carrier injection

Landscapes

  • Engineering & Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Theoretical Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Engineering & Computer Science (AREA)
  • Geometry (AREA)
  • Evolutionary Computation (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Architecture (AREA)
  • Environmental & Geological Engineering (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Semiconductor Integrated Circuits (AREA)

Abstract

本揭露係關於一種電遷移(EM)簽核方法,其使用單獨溫度來判定一積體晶片設計之不同電網路上之組件之EM違規。在一些實施例中,該方法判定分別對應於一積體晶片設計內之複數個電網路之一者內之一或多個組件的複數個實際溫度。判定該複數個電網路之一選定電網路內之一組件之一電遷移邊限。在對應於該選定電網路內之該一或多個組件的該複數個實際溫度之一者處判定該電遷移邊限。比較該電遷移邊限與一電遷移度量以判定該選定電網路內之該一或多個組件是否存在一電遷移違規。將單獨實際溫度用於不同電網路上之組件減輕錯誤EM違規,藉此減少設計耗用之損失。

Description

電遷移簽核方法與系統
本發明實施例係有關積體電路設計方法及其相關系統,特別是有關積體晶片電遷移之設計方法及其相關系統。
電遷移係一導電材料內之原子之傳送,其由使動量轉移於該導電材料之導電電子與原子之間之碰撞引起。現代積體晶片通常在金屬互連層中經歷電遷移。例如,當電子將電流載送至一半導體裝置時,電子與金屬互連層中之金屬原子碰撞。碰撞引起金屬互連層內之金屬原子移動(即,經歷電遷移)以導致可導致積體晶片失效之金屬互連層中之空洞。
在本揭露一實施例中,揭露關於一種執行電遷移簽核之方法。該方法包括:判定分別對應於一積體晶片設計內之複數個電網路之一者內之一或多個元件的複數個實際溫度。該方法進一步包括:判定該複數個電網路之一選定電網路內之一組件之一電遷移邊限,其中在對應於該選定電網路內之該一或多個組件的該複數個實際溫度之一者處判定該電遷移邊限。該方法進一步包括:若該選定電網路內之該一或多個組件存在一電遷移違規,則比較該電遷移邊限與一電遷移度量。
在其他實施例中,本揭露係關於一種執行電遷移簽核之方法。該方 法包括:判定對應於一積體晶片設計之一第一電網路內之一第一金屬互連導線的一第一實際溫度;及判定對應於該積體晶片設計之一第二電網路內之一第二金屬互連導線的一第二實際溫度。該方法進一步包括:使用該第一實際溫度來判定該第一金屬互連導線之一第一平均電流限制;及使用該第二實際溫度來判定該第二金屬互連導線之一第二平均溫度限制。該方法進一步包括:比較該第一金屬互連導線上之一第一平均電流與該第一平均電流限制以判定該第一金屬互連導線內之一電遷移違規;及比較該第二金屬互連導線上之一第二平均電流與該第二平均電流限制以判定該第二金屬互連導線內之一電遷移違規。
在其他實施例中,本揭露係關於一種用於執行電遷移簽核之系統。該系統包括一記憶體元件,其經組態以儲存包括複數個電網路之一積體晶片設計。該系統進一步包括一電遷移邊限判定元件,其經組態以判定分別對應於該積體晶片設計內之該複數個電網路之一者內之一或多個組件的複數個實際溫度且判定該複數個電網路之一選定電網路內之一組件之一電遷移邊限,其中在對應於該選定電網路內之該一或多個組件的該複數個實際溫度之一者處判定該電遷移邊限。該系統進一步包括一比較元件,其經組態以比較該電遷移邊限與一電遷移度量來判定該選定電網路內之該一或多個組件是否存在一電遷移違規。
100‧‧‧方法
102‧‧‧動作
104‧‧‧動作
106‧‧‧動作
108‧‧‧動作
110‧‧‧動作
112‧‧‧動作
114‧‧‧動作
116‧‧‧動作
200‧‧‧積體晶片
201a‧‧‧第一電網路
201b‧‧‧第二電網路
201c‧‧‧第三電網路
202‧‧‧基板
203a‧‧‧源極區域
203b‧‧‧汲極區域
204‧‧‧電晶體裝置
204a‧‧‧第一電晶體裝置
204b‧‧‧第二電晶體裝置
205‧‧‧閘極介電質
206‧‧‧通道區域
207‧‧‧閘極電極
208‧‧‧井區域
210‧‧‧介電質
212‧‧‧後段製程(BEOL)金屬化堆疊
214a至214e‧‧‧介電層
216‧‧‧導電接點
218a‧‧‧第一金屬互連導線
218a'‧‧‧第一金屬互連導線
218b‧‧‧第二金屬互連導線
218b'‧‧‧第二金屬互連導線
218c‧‧‧第三金屬互連導線
218c'‧‧‧第三金屬互連導線
220‧‧‧金屬通孔
222a‧‧‧第一電力匯流線
222b‧‧‧第二電力匯流線
224‧‧‧積體晶片設計之俯視圖
226‧‧‧積體晶片設計
226'‧‧‧經調整積體晶片設計
300‧‧‧圖式
302‧‧‧圖式
304‧‧‧圖式
400‧‧‧俯視圖
500‧‧‧方法
502‧‧‧動作
504‧‧‧動作
506‧‧‧動作
508‧‧‧動作
510‧‧‧動作
512‧‧‧動作
514‧‧‧動作
516‧‧‧動作
518‧‧‧動作
600‧‧‧積體晶片之剖面圖
602a‧‧‧第一電網路
602b‧‧‧第二電網路
604a‧‧‧第一金屬互連導線
604b‧‧‧第二金屬互連導線
606a‧‧‧第一金屬互連導線
606b‧‧‧第二金屬互連導線
608‧‧‧第一曲線圖
610‧‧‧電流
612‧‧‧均方根(RMS)電流
614‧‧‧第二曲線圖
616‧‧‧電流
700‧‧‧系統
702‧‧‧第一記憶體元件
704‧‧‧積體晶片設計
704a至704n‧‧‧電網路
705‧‧‧平均電流邊限/限制判定元件
706‧‧‧電流量測元件
708‧‧‧即時溫度變化計算元件
710‧‧‧求和元件
712‧‧‧第二記憶體元件
714‧‧‧平均電流邊限/限制計算元件
716‧‧‧設計規則資料庫
718‧‧‧模擬工具
720‧‧‧比較元件
722‧‧‧設計佈局工具
△Tchannel1‧‧‧第一溫度變化
△Tchannel2‧‧‧溫度變化
Inet1‧‧‧第一電流
Inet2‧‧‧第二電流
Im‧‧‧最小值
Ip‧‧‧峰值
w1‧‧‧第一寬度
w1'‧‧‧經調整第一寬度
w2‧‧‧第二寬度
w2'‧‧‧經調整第二寬度
w3‧‧‧第三寬度
w3'‧‧‧經調整第三寬度
w4‧‧‧第四寬度
自結合附圖來閱讀之[實施方式]最佳理解本揭露之態樣。應注意,根據行業中之標準實踐,各種構件未必按比例繪製。事實上,為使討論清楚,可任意增大或減小各種構件之尺寸。
圖1繪示執行電遷移(EM)簽核之一方法之一些實施例之一流程圖,該 方法使用單獨溫度來判定不同電網路內之組件之EM違規。
圖2A至圖2B繪示包括複數個不同電網路之一積體晶片之一些實施例。
圖3A至圖3C繪示圖式之一些實施例,該等圖式展示使用圖2A至圖2B之不同電網路內之組件之不同實際溫度藉由一平均EM電流來判定EM違規之實例。
圖4繪示一些實施例之一俯視圖,其展示基於圖3A至圖3C中所識別之EM違規來調整電網路之一設計層。
圖5繪示執行解釋裝置自加熱及電阻加熱之EM簽核之一方法之一些額外實施例之一流程圖。
圖6A至圖6B繪示經歷裝置自加熱及電阻加熱之一積體晶片之一些實施例之剖面圖。
圖7繪示用於執行電遷移(EM)簽核之一系統之一些實施例之一方塊圖。
以下揭露提供用於實施所提供標的之不同構件之諸多不同實施例或實例。下文將描述組件及配置之特定實例以簡化本揭露。當然,此等僅為實例且不意在限制。例如,在以下描述中,使一第一構件形成於一第二構件上方或形成於一第二構件上可包含其中形成直接接觸之該第一構件及該第二構件之實施例,且亦可包含其中額外構件可形成於該第一構件與該第二構件之間使得該第一構件及該第二構件可不直接接觸之實施例。另外,本揭露可在各種實例中重複元件符號及/或字母。此重複係為了簡化及清楚且其本身不指示所討論之各種實施例及/或組態之間之一關係。
此外,為便於描述,空間相對術語(諸如「底下」、「下方」、「下」、「上方」、「上」及其類似者)可在本文中用於描述一元件或構件與另外(若干)元件或(若干)構件之關係,如圖中所繪示。空間相對術語除涵蓋圖中所描繪之定向之外,亦意欲涵蓋裝置在使用或操作中之不同定向。可依其他方式定向設備(旋轉90度或依其他定向),且亦可相應地解譯本文中所使用之空間相對描述詞。
隨著金屬互連層之大小歸因於按比例調整而減小,電遷移已日益變成積體晶片之一可靠性顧慮。此係因為金屬互連層之較小大小增大由金屬互連層輸送之信號之一電流密度。由於電遷移與電流密度成比例,所以增大電流密度亦增加電遷移。
積體晶片經歷電遷移(Electromigration,EM)簽核(Sign Off)以確保積體晶片滿足一最小可靠性標準。通常,在兩個階段中執行EM簽核。EM簽核之一第一階段比較一全域均方根(RMS)溫度變化(自一積體晶片之多個電網路之一RMS電流判定)與一預定溫度限制以識別EM違規(以確保自RMS電流產生之溫度低於一選定量)。該預定溫度限制之違規指示存在一EM違規,此係因為較高溫度藉由提供增大金屬互連層內之電子與金屬原子之間之碰撞頻率之熱能來增加電遷移。EM簽核之第二階段比較一平均電流與一預定平均電流限制以識別歸因於電流密度(由於EM與電流密度成比例)之EM違規。
EM簽核之兩個階段在一積體晶片之複數個電網路上執行且取決於全域RMS溫度變化。例如,若一環境溫度係110℃且一全域RMS溫度變化係10℃,則可在120℃之一高溫處計算該複數個電網路之平均電流限制。然而,應瞭解,將一相同高溫用於該複數個電網路對某些電網路而言太過悲 觀,且因此可藉由識別錯誤EM違規而誘發額外設計面積耗用。再者,EM簽核之單獨階段難以使面積最佳化,此係因為單獨階段會產生促成額外耗用之不同結果。此外,兩個階段無法解釋來自電晶體裝置之自加熱。
本揭露係關於一種電遷移(EM)簽核方法,其藉由使用單獨溫度對單獨組件執行一單一EM檢查來判定一積體晶片設計之不同電網路上之組件(例如金屬互連導線)之EM違規。在一些實施例中,該方法判定分別對應於一積體晶片設計內之複數個電網路之一者內之一或多個組件的複數個實際溫度。判定該複數個電網路之一選定電網路內之一組件之一電遷移邊限。在對應於該選定電網路內之該一或多個組件之該複數個實際溫度之一者處判定該電遷移邊限。比較該電遷移邊限與一電遷移度量以判定該選定電網路內之該一或多個組件是否存在一電遷移違規。將單獨實際溫度用於不同電網路之組件減輕錯誤EM違規,藉此減小設計耗用之損失。此外,在一組件上使用一單一EM檢查消除單獨EM簽核方法之間之偏差。
圖1繪示執行電遷移(EM)簽核之一方法100之一些實施例之一流程圖,方法100使用不同溫度來判定不同電網路內之EM違規。
在102中,接收具有複數個電網路(即,「網」)之一積體晶片設計(即,佈局)。該複數個電網路分別包括電連接或電耦合在一起之該積體晶片設計內之一或多個組件。例如,該複數個電網路可分別包括單獨群組之金屬互連層(例如金屬互連導線及金屬通孔),其等電連接或電耦合至經組態以將電力供應至電路元件之單獨電力匯流線(例如保持於VSS或VDD處之一導線)。在一些實施例中,可使單獨電網路上之組件彼此電隔離。
在104中,判定該複數個電網路之一選定者內之一或多個組件(例如金屬互連導線)之一即時溫度變化(△Treal)。該即時溫度變化(△Treal)包括歸 因於該選定電網路內所產生之熱量之該選定電網路內之該一或多個組件之一溫度變化。例如,在各種實施例中,該即時溫度變化(△Treal)可歸因於該選定電網路內之一金屬互連導線之焦耳加熱及/或自該選定電網路內之一或多個電晶體裝置產生之熱量(即,自加熱)(即,歸因於一電晶體裝置之一通道區域內之電荷載子與半導體分子之碰撞之熱量)。在一些實施例中,該即時溫度變化(△Treal)可因該複數個電網路之不同者而不同及/或因一相同電網路內之不同組件而不同。
在106中,將該即時溫度變化(△Treal)與一環境溫度(TE)相加以得到該選定電網路內之該一或多個組件之一實際溫度(TACT)。該環境溫度(TE)可經設定以具有用於該積體晶片設計之不同電網路之一相同值。在一些實施例中,該環境溫度(TE)可具有經選擇以大於一基板或金屬互連導線之值的一值以加速EM測試且導致EM在一各自短時間段內失效(此係因為此領域中之一積體晶片之實際壽命大於分配給EM簽核之一時間)。例如,該環境溫度可具有經選擇以導致積體晶片在一預定時間段內失效之一值。在一些實施例中,該環境溫度(TE)可為由一製程工程師設定之一變數(例如,基於晶片上資料)。
在108中,在該實際溫度(TACT)處判定一選定電網路內之該一或多個組件之一電遷移(EM)邊限/限制。該電遷移邊限/限制係一電網路內之該一或多個組件之一電遷移度量之一值之一可接受上限。若一電遷移度量之值超過該電遷移邊限/限制,則該電網路內之該一或多個組件中存在一電遷移顧慮且識別一EM違規。在一些實施例中,該EM邊限/限制可包括在該實際溫度處判定之一平均電流限制。在其他實施例中,該EM邊限/限制可包括在該實際溫度處判定之一平均失效時間(MTTF)限制。
在110中,判定該選定電網路內之該一或多個組件之一電遷移度量。在一些實施例中,該電遷移度量可為該選定電網路內之該一或多個組件上之一平均電流。在其他實施例中,該電遷移度量可為一MTTF。可自該積體晶片設計之一模擬判定該電遷移度量。
在112中,比較該EM度量與該EM邊限/限制以判定該一或多個組件中是否存在一EM違規。
在114中,若識別一EM違規,則調整對應於該選定電網路內之該一或多個組件之一或多個設計層。例如,若一電遷移平均電流違反一電流邊限/限制,則調整對應於該積體晶片設計之該選定電網路內之該一或多個組件的一或多個設計層以減輕該選定電網路上之EM違規。
應瞭解,可反覆重複動作102至114以判定且消除一電網路上之不同組件及/或該複數個電網路之不同者內之組件之EM違規。例如,可第一次執行動作102至114以判定一第一電網路內之一或多個組件上之EM違規,第二次執行動作102至114以判定一第二電網路內之一或多個組件上之EM違規,等等。由於各電網路上之組件可具有不同溫度,所以各電網路上之組件之EM邊限/限制可為不同的。因此,可逐網判定EM違規以減少無EM違規之電網路之不必要重新設計。
一旦已判定複數個電網路內之EM違規且已完成EM簽核,則可在116中自一經調整積體晶片設計製造一半導體基板上之積體晶片設計。
圖2A至圖2B繪示具有複數個電網路之一積體電路之一些實施例。
圖2A繪示具有複數個電網路201a至201c之一積體晶片200之一些實施例之一剖面圖。積體晶片200包括配置於一基板202內之複數個電晶體裝置204。在各種實施例中,基板202可包括諸如一半導體晶圓及/或一晶 圓上之一或多個晶粒之任何類型之半導體主體(例如矽、SiGe、SOI等等)。
複數個電晶體裝置204分別包括由一通道區域206分離之一源極區域203a及一汲極區域203b。源極區域203a及汲極區域203b包括高度摻雜區域(例如,具有大於周圍基板202之摻雜濃度的一摻雜濃度)。在一些實施例中,源極區域203a及汲極區域203b可配置於具有不同於基板202之摻雜類型之一摻雜類型之一井區域208內(例如,一n型井區域可配置於一p型基板內)。一閘極結構配置於通道區域206上方。該閘極區域經組態以在一電晶體裝置204之操作期間控制通道區域206內之電荷載子(例如電洞或電子)之一流動。該閘極結構包括藉由一閘極介電質205來與通道區域206分離之一閘極電極207。在一些實施例中,該閘極結構由一介電層210(例如磷矽酸鹽玻璃)包圍。
一後段製程(BEOL)金屬化堆疊212配置於基板202上方。BEOL金屬化堆疊212包括配置於具有一或多個介電層214a至214e之一介電結構內之複數個金屬互連層。在各種實施例中,一或多個介電層214a至214e可包括氧化物、一超低介電係數材料及/或一低介電係數材料(例如SiCO)。在一些實施例中,複數個金屬互連層可包括導電接點216、金屬互連導線218a至218c及/或金屬通孔220。導電接點216將電晶體裝置204電耦合至由金屬通孔220分離之金屬互連導線218a至218c。
複數個電網路201a至201c分別包括電耦合在一起之複數個金屬互連層。例如,在一些實施例中,一第一電網路201a可包括複數個金屬互連層,其等耦合至經組態以將電力(例如,保持為VSS)提供至第一電網路201a內之電晶體裝置之一第一電力匯流線222a,而一第二電網路201b可 包括複數個金屬互連層,其等耦合至經組態以將電力(例如,保持為VSS)提供至第二電網路201b內之電晶體裝置之一第二電力匯流線222b。在一些實施例中,不同電網路內之金屬互連層彼此電隔離。
不同電網路201a至201c內之金屬互連導線具有歸因於不同即時溫度變化(△Treal)(其歸因於電阻加熱及/或裝置自加熱)之不同實際溫度。例如,在一些實施例中,一選定電網路中之金屬互連導線之即時溫度變化(△Treal)可取決於該選定電網路中之該等金屬互連導線上之一RMS電流。由於不同電網路201a至201c內之金屬互連導線具有不同RMS電流,所以不同電網路201a至201c內之金屬互連導線具有不同即時溫度變化。在一些實施例中,一相同電網路201a至201c可在該電網路之不同分段中具有不同即時溫度變化(△Treal),此係因為該電網路可具有載送不同RMS電流之若干金屬互連導線分支。
在一些實施例中,第一電網路201a可具有載送對應於一第一即時溫度變化(△Treal_1)之一第一RMS電流之一第一金屬互連導線,第二電網路201b可具有載送對應於一第二即時溫度變化(△Treal_2)之一第二RMS電流之一第二金屬互連導線,且第三電網路201c可具有載送對應於一第三即時溫度變化(△Treal_3)之一第三RMS電流之一第三金屬互連導線。在各種實施例中,第一即時溫度變化(△Treal_1)、第二即時溫度變化(△Treal_2)及第三即時溫度變化(△Treal_3)之兩者或兩者以上可為不同的。
圖2B繪示與積體晶片200相關聯之一積體晶片設計226之一俯視圖224。如俯視圖224中所展示,第一電網路201a包括具有一第一寬度w1之一第一金屬導線218a,第二電網路201b包括具有一第二寬度w2之一第二金屬導線218b,且第三電網路201c包括具有一第三寬度w3之一第三金屬 導線218c。在一些實施例中,第一寬度w1可相同於第二寬度w2及第三寬度w3。在其他實施例中,第一寬度w1、第二寬度w2及/或第三寬度w3可為不同的。
圖3A至圖3C繪示圖式300至304之一些實施例,圖式302至304展示使用圖2A至圖2B之不同電網路(例如201a至201c)上之金屬互連導線之單獨即時溫度變化(△Treal_x)來判定EM違規之一揭露EM簽核製程之實例。該EM簽核製程經組態以在單獨實際溫度(TACT_x)處判定各自電網路上之金屬互連導線之一平均EM電流(IAVG_x)。一對應EM電流限制(IEM_LIMx)隨著一實際溫度(TACT_x)升高而減小,此係因為較高溫度增加電遷移。因此,將單獨實際溫度用於不同電網路上之金屬互連導線允許不同地處理不同電網路上之金屬互連導線,藉此防止需要調整不可能引起EM問題之一積體晶片設計之電網路上之金屬互連導線。
如圖3A之圖式300中所展示,一第一電網路(例如圖2A之201a)上之一第一金屬互連導線具有等於一環境溫度(TE)與該第一電網路上之該第一金屬互連導線之一即時溫度變化(△Treal_1)之一總和的一第一實際溫度(TACT_1)(即,TACT_1=TE+△Treal_1)。例如,若該環境溫度(TE)等於110℃且該第一網路之該即時溫度變化(△Treal_1)等於10℃,則該第一實際溫度(TACT_1)等於120℃。在該第一實際溫度(TACT_1)處計算該第一電網路上之該第一金屬互連導線之一平均EM電流限制(IEM_LIM1)且比較該平均EM電流限制(IEM_LIM1)與該第一電網路上之該第一金屬互連導線之一平均電流(IAVG_1)。由於該第一網路上之該第一金屬互連導線之該平均電流(IAVG_1)大於該第一電網路上之該第一金屬互連導線之該平均EM電流限制(IEM_LIM1),所以該第一電網路上之該第一金屬互連導線經重新設計以減 少電遷移(例如,該第一電網路上之該第一金屬互連導線之一寬度經增大以減小電流密度)。
如圖3B之圖式302中所展示,一第二電網路(例如圖2A之201b)上之一第二金屬互連導線具有等於環境溫度(TE)與該第二電網路上之該第二金屬互連導線之一即時溫度變化(△Treal_2)之一總和的一第二實際溫度(TACT_2)(即,TACT_2=TE+△Treal_2)。例如,若該環境溫度(TE)等於110℃且該第二網路上之該第二金屬互連導線之該即時溫度變化(△Treal_2)等於3℃,則該第二實際溫度(TACT_2)等於113℃。在該第二實際溫度(TACT_2)處計算該第二電網路上之該第二金屬互連導線之一平均EM電流限制(IEM_LIM2)且比較該平均EM電流限制(IEM_LIM2)與該第二電網路上之該第二金屬互連導線之一平均電流(IAVG_2)。由於該第二電網路上之該第二金屬互連導線之該平均電流(IAVG_2)小於該第二電網路上之該第二金屬互連導線之該平均EM電流限制(IEM_LIM2),所以無需重新設計該第二電網路上之該第二金屬互連導線來減少電遷移(例如,無需增大該第二電網路上之該第二金屬互連導線之寬度)。確切而言,該第二電網路上之該第二金屬互連導線可保持不變或經重新設計以使積體電路設計更緊湊。
如圖3C之圖式304中所展示,一第三電網路(例如圖2A之201c)上之一第三金屬互連導線具有等於環境溫度(TE)與該第三電網路上之該第三金屬互連導線之一即時溫度變化(△Treal_3)之一總和的一第三實際溫度(TACT_3)(即,TACT_3=TE+△Treal_3)。例如,若該環境溫度(TE)等於110℃且該第三電網路上之該第三金屬互連導線之該即時溫度變化(△Treal_3)等於5℃,則該第三實際溫度(TACT_3)等於115℃。在該第三實際溫度(TACT_3)處計算該第三電網路上之該第三金屬互連導線之一平均EM電流限制 (IEM_LIM3)且比較該平均EM電流限制(IEM_LIM3)與該第三電網路上之該第三金屬互連導線之一平均電流(IAVG_3)。由於該第三電網路上之該第三金屬互連導線之該平均電流(IAVG_3)小於該第三電網路上之該第三金屬互連導線之該平均EM電流限制(IEM_LIM3),所以無需重新設計該第三網路上之該第三金屬互連導線來減少電遷移。確切而言,該第三電網路上之該第三金屬互連導線可保持不變或其寬度經重新設計以使積體電路設計更緊湊。
圖4繪示一些實施例之一俯視圖400,其展示逐網調整一積體晶片設計以解釋圖3A至圖3C之EM邊限之違規。由於逐網調整該積體晶片設計,所以可減輕設計耗用之不必要增加。
俯視圖400繪示一經調整積體晶片設計226'。在經調整積體晶片設計226'中,已藉由將第一金屬互連導線218a'之一寬度自一第一寬度w1增大至大於第一寬度w1之一經調整第一寬度w1'來調整與第一電網路201a相關聯之第一金屬互連導線218a'以解釋EM違規。與第一電網路201a相關聯之第一金屬互連導線218a'之寬度增大原因係:第一網路上之第一金屬互連導線之平均電流(IAVG_1)大於第一電網路上之第一金屬互連導線之平均EM電流限制(IEM_LIM1)。第一金屬互連導線218a'之寬度增大使第一電網路201a上之EM違規減小,此係因為其增大第一電網路201a上之第一金屬互連導線之一平均EM電流限制。
在經調整積體晶片設計226'中,已藉由將第二金屬互連導線218b'之一寬度自一第二寬度w2減小至小於第二寬度w2之一經調整第二寬度w2'來調整與第二電網路201b相關聯之第二金屬互連導線218b'。由於第二電網路上之第二金屬互連導線之平均電流(IAVG_2)小於第二電網路上之第二金屬互連導線之平均EM電流限制(IEM_LIM2),所以可在不引起EM違規之情 況下將與第二電網路201b相關聯之第二金屬互連導線218b'之一寬度減小至經調整第二寬度w2'。在一些替代實施例中,可不調整第二金屬互連導線218b'之第二寬度w2
在經調整積體晶片設計226'中,已藉由將第三金屬互連導線218c'之一寬度自一第三寬度w3減小至小於第三寬度w3之一經調整第三寬度w3'來調整與第三電網路201c相關聯之第三金屬互連導線218c'。由於第三電網路上之第三金屬互連導線之平均電流(IAVG_3)小於第三電網路上之第三金屬互連導線之平均EM電流限制(IEM_LIM3),所以可在不引起EM違規之情況下將與第三電網路201c相關聯之第三金屬互連導線218c'之一寬度減小至經調整第三寬度w3'。在一些替代實施例中,可不調整第三金屬互連導線218c'之第三寬度w3
圖5繪示執行解釋裝置自加熱及電阻加熱之電遷移(EM)簽核之一方法500之一更詳細實施例之一流程圖。
雖然本文中將所揭露之方法(例如方法100及500)繪示及描述成一系列動作或事件,但應瞭解,此等動作或事件之繪示順序不應被解譯為意在限制。例如,一些動作可依不同順序發生及/或與除本文中所繪示及/或描述之動作或事件之外之其他動作或事件同時發生。另外,可不需要全部繪示動作來實施本文中之描述之一或多個態樣或實施例。此外,可在一或多個單獨動作及/或階段中實施本文中所描繪之動作之一或多者。
在502中,接收具有複數個電網路之一積體晶片設計(即,佈局)。
在504中,判定一選定電網路上之一金屬互連導線之一即時溫度變化(△Treal)。在一些實施例中,即時溫度變化可包括如由動作506至510所判定之歸因於裝置自加熱及焦耳加熱之一即時溫度變化。
在506中,判定一選定電網路上之一金屬互連導線之歸因於焦耳加熱之一溫度變化(△Tjoule)。歸因於焦耳加熱(即,電阻加熱)之溫度變化(△Tjoule)與選定電網路上之金屬互連導線之RMS電流(IRMS)成比例。例如,5mA之一RMS電流可導致歸因於焦耳加熱之一5℃溫度變化。在一些實施例中,歸因於焦耳加熱之溫度變化(△Tjoule)可取決於一製程及/或一技術節點內之構件之一大小。在此等實施例中,可自一設計規則手冊中所表示之一公式判定歸因於焦耳加熱之溫度變化(△Tjoule)。在其他實施例中,可基於在積體晶片設計上運行之一模擬來判定歸因於焦耳加熱之溫度變化(△Tjoule)。
在508中,判定選定電網路之金屬互連導線之歸因於裝置自加熱之一溫度變化(△Tchannel)。在一些實施例中,可藉由自一單獨模擬(例如一spice模擬)判定一裝置之一自加熱溫度且接著判定該裝置自加熱對金屬互連導線之影響來計算歸因於裝置自加熱之溫度變化(△Tchannel)。
在510中,基於歸因於焦耳加熱之溫度變化(△Tjoule)及歸因於裝置自加熱之溫度變化(△Tchannel)來判定金屬互連導線之一即時溫度變化(△Treal)。在一些實施例中,可藉由將歸因於焦耳加熱之溫度變化(△Tjoule)與歸因於裝置加熱之溫度變化(△Tchannel)相加來判定即時溫度變化(△Treal)(即,△Treal=△Tjoule+coefficient*△Tchannel)。在一些實施例中,一第一電網路之裝置自加熱(△Tchannel)會影響一相鄰電網路內之一或多個金屬互連導線之一實際溫度,使得可藉由將歸因於焦耳加熱之溫度變化(△Tjoule)與歸因於多個通道之裝置加熱之溫度變化相加來判定即時溫度變化(△Treal)(即,△Treal=△Tjoule+coefficient_1*△Tchannel_1+coefficient_2*△Tchannel_2)。
在512中,在等於一環境溫度(TE)與即時溫度變化(△Treal)之一總和的一實際溫度(TACT)處計算選定電網路之金屬互連導線之一平均電流邊限/限制(IAVG_LIM)。在一些實施例中,一金屬互連導線之平均電流邊限/限制(IAVG_LIM)可依據電網路之實際溫度(TACT)及金屬互連導線之一寬度而變化(即,IAVG_LIM=f(△TACT,寬度))。
在514中,比較一金屬互連導線上之一平均電流(IAVG)與該金屬互連導線之平均電流邊限/限制(IAVG_LIM)。若平均電流(IAVG)大於平均電流邊限/限制,則該金屬互連導線上存在一EM違規(在516中)且方法開始調整積體晶片設計(例如該金屬互連導線之一寬度)以減小選定電網路之平均電流(在518中)。
可對一電網路內之複數個金屬互連導線反覆執行方法500之動作512至518。例如,可對一第一電網路內之一第一金屬互連導線第一次執行動作512至518,對該第一電網路內之一第二金屬互連導線第二次執行動作512至518,等等。此外,可對積體晶片設計上之複數個電網路反覆執行動作502至518以單獨判定單獨電網路上之EM違規。
圖6A至圖6B繪示判定複數個電網路之歸因於裝置自加熱及電阻加熱之一即時溫度變化(△Treal)之一些實施例。
圖6A繪示具有一第一電網路602a及一第二電網路602b之一積體晶片之一剖面圖600。第一電網路602a包括配置於一第一電晶體裝置204a上方之複數個第一金屬互連導線604a及606a。第一電網路602a中提供通過複數個第一金屬互連導線604a及606a之一第一電流Inet1。第二電網路602b包括配置於一第二電晶體裝置204b上方之複數個第二金屬互連導線604b及606b。第二電網路602b中提供通過複數個第二金屬互連導線604b及606b 之一第二電流Inet2
圖6B繪示一第一曲線圖608及一第二曲線圖614之一些例示性實施例,第一曲線圖608展示第一電網路602a之依據時間(y軸)而變化之電流(x軸)且第二曲線圖614展示第二電網路602b之依據時間(y軸)而變化之電流(x軸)。
在第一電網路602a內,歸因於自加熱之一金屬互連導線604a或606a之即時溫度變化取決於歸因於該金屬互連導線之焦耳加熱(△Tjoule1)之一第一溫度變化及/或誘發於來自下伏第一電晶體裝置204a之金屬互連導線上(例如,歸因於第一電晶體裝置204a之一通道內之半導體分子內之電荷載子之碰撞)之歸因於裝置加熱之一第一溫度變化(△Tchannel1)。在一些實施例中,可自第一電網路602a之一RMS電流計算歸因於焦耳加熱之第一溫度變化(△Tjoule1),此係因為歸因於焦耳加熱之第一溫度變化(△Tjoule1)係通過一導體之一電流之一放熱製程。如第一曲線圖608中所展示,第一電網路602a上之電流610具有在一峰值Ip與一最小值Im之間變動之一交流電以導致具有一第一值(例如,約等於Ip/√2)之一RMS電流612。
可基於歸因於自加熱之一第一即時溫度變化(△Treal_1)及金屬互連導線604a之一第一寬度w1來判定金屬互連導線604a之一第一平均EM電流限制(IAVG_LIM1)(即,IAVG_LIM1=f(TE+△Treal_1,w1))。接著,判定金屬互連導線604a中之一平均電流且比較該平均電流與第一平均EM電流限制(IAVG_LIM1)以判定金屬互連導線604a之EM違規。若存在一EM違規,則將金屬互連導線604a之一寬度自w1增大至一更大寬度。金屬互連導線604a之寬度增大可使依據寬度而變化之第一電流邊限/限制(IAVG_LIM1)增大,且藉此消除EM違規。可基於歸因於自加熱之第一即時溫度變化(△Treal_1)及 金屬互連導線606a之一第二寬度w2來判定金屬互連導線606a之一第二平均EM電流限制(IAVG_LIM2)(即,IAVG_LIM2=f(TE+△Treal_1,w2))。接著,判定金屬互連導線606a中之一平均電流且比較該平均電流與第二平均EM電流限制(IAVG_LIM2)以判定金屬互連導線606a之EM違規。若存在一EM違規,則將金屬互連導線606a之一寬度自w2增大至一更大寬度。
在一些實施例中,第二電網路(例如602b)之自加熱(△Tchannel)會影響第一電網路(例如602a)內之一或多個金屬互連導線之一實際溫度。例如,在圖6A中,若金屬互連導線604a在第二電晶體裝置204b上方延伸(但未連接至第二電網路602b),則金屬互連導線604a會受第一電晶體裝置204a之自加熱影響且亦會受來自第二電晶體裝置204b之自加熱影響。
一旦已對第一電網路602a內之金屬互連導線604a及606a完成EM檢查,則可對第二電網路602b內之金屬互連導線604b及606b執行EM檢查。在第二電網路602b內,歸因於自加熱之一金屬互連導線604b或606b之一第二即時溫度變化(△Treal_2)係歸因於:歸因於該金屬互連導線之焦耳加熱之一第二溫度變化(△Tjoule2)及/或歸因於自下伏第二電晶體裝置204b誘發於該金屬互連導線上之裝置加熱之一溫度變化(△Tchannel2)。在一些實施例中,可自第二電網路602b之一RMS電流計算歸因於焦耳加熱之第二溫度變化(△Tjoule2)。如第二曲線圖614中所展示,第二電網路602b上之電流616係導致一RMS電流之一直流電,該RMS電流具有等於直流電值且小於RMS電流612之第一值的一第二值。該第二值引起第二電網路602b上之金屬互連導線604b及606b經歷比第一電網路602a中之金屬互連導線604a及606a少之自加熱以導致較高EM邊限/限制。
可基於歸因於自加熱之第二即時溫度變化(△Treal_2)及第三金屬互連 導線604b之一第三寬度w3來判定第三金屬互連導線604b之一第三平均EM電流限制(IAVG_LIM3)(即,IAVG_LIM3=f(TE+△Treal_2,w3))。接著,判定第三金屬互連導線604b中之一平均電流且比較該平均電流與第三平均EM電流限制(IAVG_LIM3)以判定第三金屬互連導線604b之EM違規。若存在一EM違規,則將第三金屬互連導線604b之一寬度自w3增大至一更大寬度。可基於歸因於自加熱之第二即時溫度變化(△Treal_2)及第四金屬互連導線606b之一第四寬度w4來判定第四金屬互連導線606b之一第四平均EM電流限制(IAVG_LIM4)(即,IAVG_LIM4=f(TE+△Treal_2,w4))。接著,判定第四金屬互連導線606b中之一平均電流且比較該平均電流與第四平均EM電流限制(IAVG_LIM4)以判定第四金屬互連導線606b之EM違規。若存在一EM違規,則將第四金屬互連導線606b之一寬度自w4增大至一更大寬度。
在一些實施例中,第一電網路(例如602a)之自加熱(△Tchannel)會影響第二電網路(例如602b)內之一或多個金屬互連導線之一實際溫度。例如,在圖6A中,若金屬互連導線604b在第一電晶體裝置204a上方延伸(但未連接至第一電網路602a),則金屬互連導線604b會受第二電晶體裝置204b之自加熱影響且亦會受來自第一電晶體裝置204a之自加熱影響。
圖7繪示展示用於執行一電遷移簽核之一系統700之一些實施例之一方塊圖的一些實施例。在一些實施例中,系統700之一或多個組件可包括於一EDA(電子設計自動化)工具內。
系統700包括經組態以儲存一積體晶片設計704(即,佈局)之一第一記憶體元件702。第一記憶體元件702包括經組態以儲存數位資料之一電子記憶體(例如RAM、固體記憶體等等)。積體晶片設計704包括配置於複數個電網路704a至704n內之複數個不同設計層(例如金屬互連導線層、金 屬通孔層等等)。
一平均電流邊限/限制判定元件705經組態以判定複數個電網路704a至704n內之一或多個組件之電遷移邊限/限制。在一些實施例中,電遷移邊限/限制判定元件705包括一電流量測元件706,其經組態以量測複數個電網路704a至704n之各者內之一或多個組件上之一電流(In)且判定積體晶片設計704中之複數個電網路704a至704n內之該一或多個組件之複數個RMS電流(IRMS_x,其中x=1至n)。複數個RMS電流(IRMS_x)之各者對應於積體晶片設計704中之複數個電網路704a至704n之一者內之一或多個組件。例如,一第一RMS電流IRMS_1對應於一第一電網路704a內之一或多個組件,一第二RMS電流IRMS_2對應於一第二電網路704b內之一或多個組件,等等。在一些實施例中,電流量測元件706可經組態以自一設計規則資料庫716接收用於計算複數個RMS電流(IRMS_x)之一第一公式(f1)。在一些實施例中,第一公式(f1)取決於積體晶片設計704之一技術節點及/或製程。
一即時溫度變化計算元件708經組態以基於複數個RMS電流(IRMS_x)來判定複數個電網路704a至704n內之一或多個組件之一即時溫度變化(△Treal_x)。由一求和元件710將即時溫度變化(△Treal_x)與一環境溫度(TE)相加以判定複數個電網路704a至704n之各者內之一或多個組件之實際溫度(TACT_x)。在一些實施例中,環境溫度(TE)可儲存於一第二記憶體元件712中。在各種實施例中,第二記憶體元件712可為相同於第一記憶體元件702之一實體記憶體或為不同於第一記憶體元件702之一實體記憶體。
將複數個電網路704a至704n內之一或多個組件之實際溫度(TACT_x)提供至一平均電流邊限/限制計算元件714,平均電流邊限/限制計算元件714 經組態以在對應於一選定電網路704a至704n之一或多個組件之一實際溫度(TACT_x)處計算複數個電網路704a至704n內之一或多個組件之一平均電流邊限/限制(IAVG_LIMx)。例如,平均電流邊限/限制計算元件714可在一第一實際溫度(TACT_1)處計算一第一電網路704a內之一第一金屬互連導線之一第一平均電流邊限/限制(IAVG_LIM1),在一第二實際溫度(TACT_2)處計算一第二電網路704b內之一第二金屬互連導線之一第二平均電流邊限/限制(IAVG_LIM2),等等。在一些實施例中,平均電流邊限/限制計算元件714可經組態以自設計規則資料庫716接收用於計算平均電流邊限/限制(IAVG_LIMx)之一第二公式(f2)。第二公式(f2)可取決於積體晶片設計704之一技術節點及/或製程。
一模擬工具718經組態以判定來自積體晶片設計704之複數個電網路704a至704n內之一或多個組件之平均電流(IAVGx)。將平均電流(IAVGx)及平均電流邊限/限制(IAVG_LIMx)提供至一比較元件720,比較元件720經組態以藉由比較平均電流(IAVGx)與平均電流邊限/限制(IAVG_LIMx)來識別電遷移違規。例如,若一電網路內之一金屬互連導線之平均電流(IAVGx)違反該電網路之一平均電流邊限/限制(IAVG_LIMx),則識別一電遷移違規。在一些實施例中,模擬工具可包括一積體電路重要性模擬程式(SPICE)模擬器。
一設計佈局工具722經組態以基於比較元件720之一輸出來調整對應於複數個電網路704a至704n之一者內之一或多個組件之一或多個設計層。若判定該電網路內存在一電遷移違規,則該一或多個設計層可經調整以增大一金屬互連導線之一寬度。替代地,若判定平均電流低於平均電流邊限/限制,則該一或多個設計層可經調整以減小一金屬互連導線之一寬度。
因此,本揭露係關於一種電遷移(EM)簽核方法,其藉由對各網路執行一單一EM檢查來判定不同電網路上之EM違規。藉由比較一電遷移度量(例如平均電流)與使用單獨溫度來判定之不同電網路之一電遷移邊限/限制來執行該等單一EM檢查。
在一些實施例中,本揭露係關於一種執行電遷移簽核之方法。該方法包括:判定分別對應於一積體晶片設計內之複數個電網路之一者內之一或多個元件的複數個實際溫度。該方法進一步包括:判定該複數個電網路之一選定電網路內之一組件之一電遷移邊限,其中在對應於該選定電網路內之該一或多個組件的該複數個實際溫度之一者處判定該電遷移邊限。該方法進一步包括:若該選定電網路內之該一或多個組件存在一電遷移違規,則比較該電遷移邊限與一電遷移度量。
在其他實施例中,本揭露係關於一種執行電遷移簽核之方法。該方法包括:判定對應於一積體晶片設計之一第一電網路內之一第一金屬互連導線的一第一實際溫度;及判定對應於該積體晶片設計之一第二電網路內之一第二金屬互連導線的一第二實際溫度。該方法進一步包括:使用該第一實際溫度來判定該第一金屬互連導線之一第一平均電流限制;及使用該第二實際溫度來判定該第二金屬互連導線之一第二平均溫度限制。該方法進一步包括:比較該第一金屬互連導線上之一第一平均電流與該第一平均電流限制以判定該第一金屬互連導線內之一電遷移違規;及比較該第二金屬互連導線上之一第二平均電流與該第二平均電流限制以判定該第二金屬互連導線內之一電遷移違規。
在其他實施例中,本揭露係關於一種用於執行電遷移簽核之系統。該系統包括一記憶體元件,其經組態以儲存包括複數個電網路之一積體晶 片設計。該系統進一步包括一電遷移邊限判定元件,其經組態以判定分別對應於該積體晶片設計內之該複數個電網路之一者內之一或多個組件的複數個實際溫度且判定該複數個電網路之一選定電網路內之一組件之一電遷移邊限,其中在對應於該選定電網路內之該一或多個組件的該複數個實際溫度之一者處判定該電遷移邊限。該系統進一步包括一比較元件,其經組態以比較該電遷移邊限與一電遷移度量來判定該選定電網路內之該一或多個組件是否存在一電遷移違規。
上文概述若干實施例之特徵,使得熟悉技術者可較佳理解本揭露之態樣。熟悉技術者應瞭解,其可易於將本揭露用作用於設計或修改用於實施相同目的及/或達成本文中所引入之實施例之相同優點之其他製程及結構的一基礎。熟悉技術者亦應認知,此等等效建構不應背離本揭露之精神及範疇,且其可在不背離本揭露之精神及範疇之情況下對本文作出各種改變、替換及更改。

Claims (10)

  1. 一種執行電遷移簽核之方法,其包括:判定分別對應於一積體晶片設計內之複數個電網路之一者內之一或多個組件的複數個即時溫度變化;將該複數個即時溫度變化之一者與同樣適用於該複數個電網路之一環境溫度相加以判定對應於該複數個電網路之一者內之該一或多個組件之複數個實際溫度之一者;基於該複數個實際溫度之一者及該一或多個組件之一寬度來計算該一或多個組件之一平均電流限制;及比較該平均電流限制與一電遷移度量以判定該選定電網路內之該一或多個組件是否存在一電遷移違規。
  2. 如請求項1之方法,其進一步包括:若判定存在該電遷移違規,則調整該選定電網路內之一或多個設計層。
  3. 如請求項2之方法,其中該一或多個組件包括一金屬互連導線且該一或多個設計層包括一金屬互連導線設計層。
  4. 如請求項1之方法,其中該電遷移度量係該選定電網路內之該一或多個組件內之一平均電流。
  5. 如請求項1之方法,其中判定該複數個即時溫度變化包括:自該選定電網路上之該一或多個組件內之一均方根電流判定歸因於焦耳加熱之一溫度變化;及將歸因於焦耳加熱之該溫度變化與歸因於裝置自加熱之一溫度變化相加以判定該複數個即時溫度變化之一者,其中由該選定電網路內之一電晶體裝置之一通道區域內之電荷載子產生歸因於裝置自加熱之該溫度變化。
  6. 如請求項1之方法,其進一步包括:在一第一實際溫度處判定一第一電網路內之一第一金屬互連導線之一第一電遷移邊限;及比較該第一電遷移邊限與針對該第一金屬互連導線所計算之一第一電遷移度量。
  7. 一種執行電遷移簽核之方法,其包括:判定對應於一積體晶片設計之一第一電網路內之一第一金屬互連導線的一第一即時溫度變化;將該第一即時溫度變化與一環境溫度相加以判定對應於該第一金屬互連導線之一第一實際溫度;判定對應於該積體晶片設計之一第二電網路內之一第二金屬互連導線的一第二即時溫度變化;將該第二即時溫度變化與同樣適用於該第二電網路之該環境溫度相加以判定對應於該第二金屬互連導線之一第二實際溫度;使用該第一實際溫度來判定該第一金屬互連導線之一第一平均電流限制;使用該第二實際溫度來判定該第二金屬互連導線之一第二平均電流限制;比較該第一金屬互連導線上之一第一平均電流與該第一平均電流限制以判定該第一金屬互連導線內之一電遷移違規;及比較該第二金屬互連導線上之一第二平均電流與該第二平均電流限制以判定該第二金屬互連導線內之一電遷移違規。
  8. 如請求項7之方法,其進一步包括:若判定該第一金屬互連導線內存在該電遷移違規,則調整該第一金屬互連導線之一寬度。
  9. 如請求項7之方法,其中判定該第一即時溫度變化包括:自該第一電網路內之該第一金屬互連導線所載送之一第一均方根電流判定歸因於焦耳加熱之一溫度變化;及將歸因於焦耳加熱之該溫度變化與歸因於裝置自加熱之一溫度變化相加以判定該第一即時溫度變化。
  10. 一種用於執行電遷移簽核之系統,其包括:一記憶體元件,其經組態以儲存包括複數個電網路之一積體晶片設計;一電遷移邊限判定元件,其經組態以:判定分別對應於該積體晶片設計內之該複數個電網路之一者內之一或多個組件的複數個即時溫度變化;將該複數個即時溫度變化之一者與同樣適用於該複數個電網路之一環境溫度相加以判定對應於該複數個電網路之一者內之該一或多個組件之複數個實際溫度之一者;基於該複數個實際溫度之一者及該一或多個組件之一寬度來計算該一或多個組件之一平均電流限制;及一比較元件,其經組態以比較該平均電流限制與一電遷移度量以判定該選定電網路內之該一或多個組件是否存在一電遷移違規。
TW105137502A 2015-11-16 2016-11-16 電遷移簽核方法與系統 TWI654715B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201562255747P 2015-11-16 2015-11-16
US62/255,747 2015-11-16
US15/271,301 US10042967B2 (en) 2015-11-16 2016-09-21 Electromigration sign-off methodology
US15/271,301 2016-09-21

Publications (2)

Publication Number Publication Date
TW201719812A TW201719812A (zh) 2017-06-01
TWI654715B true TWI654715B (zh) 2019-03-21

Family

ID=58691357

Family Applications (1)

Application Number Title Priority Date Filing Date
TW105137502A TWI654715B (zh) 2015-11-16 2016-11-16 電遷移簽核方法與系統

Country Status (4)

Country Link
US (4) US10042967B2 (zh)
KR (1) KR101922303B1 (zh)
CN (1) CN106935527B (zh)
TW (1) TWI654715B (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI779662B (zh) * 2021-01-04 2022-10-01 台灣積體電路製造股份有限公司 用於電子遷移評估之方法及設備

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10042967B2 (en) * 2015-11-16 2018-08-07 Taiwan Semiconductor Manufacturing Co., Ltd. Electromigration sign-off methodology
US10903239B2 (en) 2017-07-28 2021-01-26 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit device with improved layout
DE102018118053A1 (de) 2017-07-28 2019-01-31 Taiwan Semiconductor Manufacturing Co., Ltd. Integrierte Schaltungsvorrichtung mit verbessertem Layout
CN110287639A (zh) * 2019-07-04 2019-09-27 北京航空航天大学 一种无铅元器件互连焊点热电耦合仿真方法

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7096450B2 (en) * 2003-06-28 2006-08-22 International Business Machines Corporation Enhancement of performance of a conductive wire in a multilayered substrate
US7155686B2 (en) * 2004-03-09 2006-12-26 Taiwan Semiconductor Manufacturing Co., Ltd. Placement and routing method to reduce Joule heating
US7061264B2 (en) * 2004-09-29 2006-06-13 Agere Systems, Inc. Test semiconductor device and method for determining Joule heating effects in such a device
US7971171B2 (en) * 2007-07-03 2011-06-28 International Business Machines Corporation Method and system for electromigration analysis on signal wiring
US7840916B2 (en) * 2007-11-19 2010-11-23 International Business Machines Corporation Structure for on-chip electromigration monitoring system
US8671367B2 (en) * 2008-06-25 2014-03-11 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit design in optical shrink technology node
US8273617B2 (en) * 2009-09-30 2012-09-25 Suvolta, Inc. Electronic devices and systems, and methods for making and using the same
KR101746887B1 (ko) * 2009-11-17 2017-06-27 엠아이이 후지쯔 세미컨덕터 리미티드 전자 장치 및 시스템과, 그 제조 및 사용 방법
US8631372B2 (en) * 2012-02-10 2014-01-14 Taiwan Semiconductor Manufacturing Co., Ltd. System and method of electromigration mitigation in stacked IC designs
US9323870B2 (en) * 2012-05-01 2016-04-26 Advanced Micro Devices, Inc. Method and apparatus for improved integrated circuit temperature evaluation and IC design
US10042967B2 (en) * 2015-11-16 2018-08-07 Taiwan Semiconductor Manufacturing Co., Ltd. Electromigration sign-off methodology

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI779662B (zh) * 2021-01-04 2022-10-01 台灣積體電路製造股份有限公司 用於電子遷移評估之方法及設備
US11675950B2 (en) 2021-01-04 2023-06-13 Taiwan Semiconductor Manufacturing Company Ltd. Method and apparatus for electromigration evaluation

Also Published As

Publication number Publication date
US20170141003A1 (en) 2017-05-18
CN106935527B (zh) 2020-07-24
US10719652B2 (en) 2020-07-21
KR101922303B1 (ko) 2018-11-26
TW201719812A (zh) 2017-06-01
US20200342156A1 (en) 2020-10-29
US20190325105A1 (en) 2019-10-24
US11182528B2 (en) 2021-11-23
US10346576B2 (en) 2019-07-09
KR20170057147A (ko) 2017-05-24
US10042967B2 (en) 2018-08-07
CN106935527A (zh) 2017-07-07
US20180330036A1 (en) 2018-11-15

Similar Documents

Publication Publication Date Title
TWI654715B (zh) 電遷移簽核方法與系統
JP3926148B2 (ja) 電子回路設計シミュレータ
Todri et al. A study of tapered 3-D TSVs for power and thermal integrity
Sylvester et al. Investigation of interconnect capacitance characterization using charge-based capacitance measurement (CBCM) technique and three-dimensional simulation
TWI825322B (zh) 分析積體電路中電遷移的方法以及電腦可讀取儲存媒體
Katti et al. Temperature-dependent modeling and characterization of through-silicon via capacitance
US11170150B2 (en) Method for making a semiconductor device
US8633482B2 (en) Semiconductor device test structures and methods
CN203631539U (zh) 硅通孔测试结构
He et al. Electromigration reliability of interconnections in RF low noise amplifier circuit
Pan et al. System-level variation analysis for interconnection networks at sub-10-nm technology nodes using multiple patterning techniques
Sapatnekar Electromigration-aware interconnect design
US9678141B2 (en) Measurement for transistor output characteristics with and without self heating
Zhao et al. Self-heating and thermal network model for complementary FET
Shimozato et al. Analysis of Thermal Concentration Failure in Unclamped Inductive Switching Based on Three-Dimensional Electro-Thermal Simulation With On-Chip Variation
Vaisband et al. 3-D floorplanning algorithm to minimize thermal interactions
Zhang et al. Novel crack sensor for tsv-based 3d integrated circuits: design and deployment perspectives
Yang et al. Optimal accelerated test regions for time-dependent dielectric breakdown lifetime parameters estimation in FinFET technology
TWI779662B (zh) 用於電子遷移評估之方法及設備
US10371583B1 (en) Systems and methods for estimating temperatures of wires in an integrated circuit chip
Nunes et al. Numerical Simulation of the Temperature on the Metallization of an Integrated Circuit and its Impact on Interconnect Lifetime
DE102016118063B4 (de) Verfahren zur elektromigrations-abnahme
Hein et al. Layout optimization of CMOS Interconnects for Heating, Cooling and Improved Stress Distribution
Hua et al. An analysis method of electromigration in integrate circuit based on simulation technology
Tan et al. 3D Circuit Model Construction and Simulation