TWI648806B - Substrate processing device, gas nozzle and manufacturing method of semiconductor device - Google Patents

Substrate processing device, gas nozzle and manufacturing method of semiconductor device Download PDF

Info

Publication number
TWI648806B
TWI648806B TW106119665A TW106119665A TWI648806B TW I648806 B TWI648806 B TW I648806B TW 106119665 A TW106119665 A TW 106119665A TW 106119665 A TW106119665 A TW 106119665A TW I648806 B TWI648806 B TW I648806B
Authority
TW
Taiwan
Prior art keywords
nozzle
wafer
gas
slit
upstream
Prior art date
Application number
TW106119665A
Other languages
Chinese (zh)
Other versions
TW201804551A (en
Inventor
西堂周平
花島建夫
吉田秀成
Original Assignee
日商國際電氣股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 日商國際電氣股份有限公司 filed Critical 日商國際電氣股份有限公司
Publication of TW201804551A publication Critical patent/TW201804551A/en
Application granted granted Critical
Publication of TWI648806B publication Critical patent/TWI648806B/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers

Abstract

本發明的課題是可使基板的面間均一性提升。 The subject of the present invention is to improve the uniformity between the surfaces of the substrate.

其解決手段是具備:處理複數片的基板的處理室,及對處理室內供給氣體的噴嘴,噴嘴是具有開口於縱方向的縫隙,縫隙是被形成至噴嘴的前端部的頂點。 The solution is to include a processing chamber for processing a plurality of substrates and a nozzle for supplying gas to the processing chamber. The nozzle has a slit opening in the longitudinal direction, and the slit is formed at the vertex of the tip of the nozzle.

Description

基板處理裝置,氣體噴嘴及半導體裝置的製造方法 Substrate processing device, gas nozzle and manufacturing method of semiconductor device

本發明是有關基板處理裝置,氣體噴嘴及半導體裝置的製造方法。 The invention relates to a substrate processing device, a gas nozzle and a method of manufacturing a semiconductor device.

半導體裝置(device)的製造工程的基板處理是例如使用一次處理複數片的基板之縱型基板處理裝置。縱型基板處理裝置是有使用多孔噴嘴來對基板供給氣體的情形(例如專利文獻1)。 The substrate processing in the manufacturing process of a semiconductor device is, for example, a vertical substrate processing device that processes a plurality of substrates at a time. The vertical substrate processing apparatus may use a multi-hole nozzle to supply gas to the substrate (for example, Patent Document 1).

先行技術文獻 Advanced technical literature 專利文獻 Patent Literature

專利文獻1:日本特開2004-6551號公報 Patent Document 1: Japanese Patent Laid-Open No. 2004-6551

然而,依多孔噴嘴的形狀及氣體的種類,在多孔噴嘴內,氣體會過度地分解,有對基板的面間均一性造成不良影響的情形。本發明是有鑑於如此的情事而研發者,其目的是在於提供一種可使基板的面間均一性提升的 技術。 However, depending on the shape of the porous nozzle and the type of gas, the gas will be excessively decomposed in the porous nozzle, which may adversely affect the uniformity between the surfaces of the substrate. The present invention was developed in view of such circumstances, and its purpose is to provide a method for improving the uniformity between the surfaces of the substrate technology.

若根據本發明的一形態,則可提供一種具備:處理複數片的基板的處理室;及對前述處理室內供給氣體的噴嘴,前述噴嘴是具有開口於縱方向的縫隙,前述縫隙是被形成至前述氣體噴嘴的前端部的頂點之技術。 According to an aspect of the present invention, there can be provided a processing chamber for processing a plurality of substrates; and a nozzle for supplying gas to the processing chamber, the nozzle having a slit opening in a longitudinal direction, the slit being formed to The technique of the apex of the front end of the aforementioned gas nozzle.

若根據本發明,則可使基板的面間均一性提升。 According to the present invention, the uniformity between the surfaces of the substrate can be improved.

14‧‧‧處理室 14‧‧‧ processing room

44a‧‧‧噴嘴 44a‧‧‧Nozzle

45a‧‧‧縫隙 45a‧‧‧Gap

圖1是概略性表示在本發明的實施形態所被適用的基板處理裝置之一例的縱剖面圖。 FIG. 1 is a longitudinal cross-sectional view schematically showing an example of a substrate processing apparatus to which an embodiment of the present invention is applied.

圖2是概略性表示在本發明的實施形態所被適用的處理爐之一例的平面圖。 Fig. 2 is a plan view schematically showing an example of a processing furnace to which the embodiment of the present invention is applied.

圖3是概略性表示在本發明的實施形態所被適用的噴嘴之一例的斜視圖。 3 is a perspective view schematically showing an example of a nozzle to which the embodiment of the present invention is applied.

圖4是表示各噴嘴形狀的氣體流量及噴嘴內壓的模擬 結果的圖。 4 is a simulation showing the gas flow rate and nozzle internal pressure of each nozzle shape Graph of results.

圖5是表示各噴嘴形狀的晶圓中央的氣體流速的模擬結果的圖。 FIG. 5 is a diagram showing a simulation result of the gas flow rate in the center of the wafer in each nozzle shape.

圖6是表示各噴嘴形狀的晶圓中央的氣體流速的模擬結果的圖。 FIG. 6 is a diagram showing a simulation result of the gas flow rate in the center of the wafer in each nozzle shape.

圖7(A)是表示本發明之一實施形態的噴嘴的變形例,(B)是表示本發明之一實施形態的其他的噴嘴的變形例,(C)是表示本發明之一實施形態的噴嘴的另外其他的變形例,(D)是表示本發明之一實施形態的噴嘴的另外其他的變形例的圖。 7 (A) shows a modification of the nozzle according to one embodiment of the present invention, (B) shows another modification of the nozzle according to one embodiment of the present invention, and (C) shows an embodiment of the present invention. In another modification of the nozzle, (D) is a diagram showing still another modification of the nozzle in one embodiment of the present invention.

圖8是概略性表示在第2實施形態所被適用的噴嘴之一例的斜視圖。 Fig. 8 is a perspective view schematically showing an example of a nozzle to which the second embodiment is applied.

圖9是概略性表示在第2實施形態所被適用的處理爐之一例的平面圖。 FIG. 9 is a plan view schematically showing an example of a processing furnace applied in the second embodiment.

圖10是表示在第2實施形態所被適用的噴嘴的Si自由基濃度分布的模擬結果的圖。 FIG. 10 is a diagram showing a simulation result of the Si radical concentration distribution of the nozzle to which the second embodiment is applied.

圖11是表示在第2實施形態所被適用的噴嘴的Si自由基濃度分布的模擬結果的圖。 FIG. 11 is a diagram showing a simulation result of the Si radical concentration distribution of the nozzle to which the second embodiment is applied.

圖12(A)是表示本發明的第2實施形態的噴嘴的變形例,(B)是表示本發明的第2實施形態的其他的噴嘴的變形例的圖。 12 (A) is a diagram showing a modification of the nozzle according to the second embodiment of the present invention, and (B) is a diagram showing another modification of the nozzle according to the second embodiment of the present invention.

以下,一邊參照圖面,一邊說明有關本發明 之非限定性例示的實施形態。全圖面中,有關同一或對應的構成是附上同一或對應的參照符號,省略重複的說明。 Hereinafter, referring to the drawings, the present invention will be described. Non-limiting exemplary embodiment. Throughout the drawings, the same or corresponding structures are affixed with the same or corresponding reference symbols, and redundant descriptions are omitted.

在本實施形態中,基板處理裝置是構成為縱型基板處理裝置(以下稱為處理裝置)2,其係實施熱處理等的基板處理工程,作為半導體裝置(device)的製造方法的製造工程之一工程。如圖1所示般,處理裝置2是具備圓筒形狀的反應管10,及設於反應管10的外周之作為加熱手段(加熱機構)的加熱器12。反應管是例如藉由石英或SiC所形成。在反應管10的內部是形成有處理作為基板的晶圓W之處理室14。 In the present embodiment, the substrate processing apparatus is configured as a vertical substrate processing apparatus (hereinafter referred to as a processing apparatus) 2, which performs a substrate processing process such as heat treatment, and is one of the manufacturing processes as a method of manufacturing a semiconductor device engineering. As shown in FIG. 1, the processing device 2 includes a cylindrical reaction tube 10 and a heater 12 as a heating means (heating mechanism) provided on the outer periphery of the reaction tube 10. The reaction tube is formed of, for example, quartz or SiC. Inside the reaction tube 10 is a processing chamber 14 in which a wafer W as a substrate is processed.

如圖2所示般,在反應管10中,以突出至外方的方式,作為氣體供給室的供給緩衝室10A與排氣緩衝室10B會對向形成。供給緩衝室10A內及排氣緩衝室10B內是藉由隔壁10C來區劃成複數的空間。在供給緩衝室10A內的各區劃是分別設置有後述的噴嘴44a、44b。在供給緩衝室10A及排氣緩衝室10B的內壁側(處理室14側)是分別形成有複數的橫長形狀的縫隙10D。在反應管10是設有作為溫度檢測器的溫度檢測部16。溫度檢測部16是沿著反應管10的外壁來立設。 As shown in FIG. 2, in the reaction tube 10, the supply buffer chamber 10A and the exhaust buffer chamber 10B are formed to face each other so as to protrude outward. The supply buffer chamber 10A and the exhaust buffer chamber 10B are partitioned into a plurality of spaces by the partition wall 10C. In each division in the supply buffer chamber 10A, nozzles 44a and 44b described later are provided. A plurality of horizontally long slits 10D are formed on the inner wall side (processing chamber 14 side) of the supply buffer chamber 10A and the exhaust buffer chamber 10B, respectively. The reaction tube 10 is provided with a temperature detector 16 as a temperature detector. The temperature detection unit 16 is erected along the outer wall of the reaction tube 10.

如圖1所示般,在反應管10的下端開口部是圓筒形的岐管(Manifold)18會經由O型環等的密封構件20來連結,支撐反應管10的下端。岐管18是例如藉由不鏽鋼等的金屬所形成。岐管18的下端開口部是藉由圓盤狀的蓋部22來開閉。蓋部22是例如藉由金屬所形成。在蓋 部22的上面是設置有O型環等的密封構件20,藉此氣密地密封反應管10內與外氣。在蓋部22上,於中央載置有上下形成有孔的隔熱部24。隔熱部24是例如藉由石英所形成。 As shown in FIG. 1, a manifold 18 having a cylindrical shape at the lower end opening of the reaction tube 10 is connected via a sealing member 20 such as an O-ring to support the lower end of the reaction tube 10. The manifold 18 is formed of metal such as stainless steel. The lower end opening of the manifold 18 is opened and closed by a disc-shaped cover 22. The cover portion 22 is formed of metal, for example. In cover The upper surface of the portion 22 is a sealing member 20 provided with an O-ring or the like, whereby the inside of the reaction tube 10 and the outside air are hermetically sealed. On the lid portion 22, a heat insulating portion 24 with holes vertically formed is placed in the center. The heat insulating portion 24 is formed of, for example, quartz.

處理室14是在內部收納作為基板保持具的晶舟26,該基板保持具是垂直棚架狀地支撐複數片例如25~150片的晶圓W。晶舟26是例如藉由石英或SiC所形成。晶舟26是藉由貫通蓋部22及隔熱部24的轉軸28來被支撐於隔熱部24的上方。在蓋部22的轉軸28所貫通的部分是例如設有磁性流體密封構件,轉軸28是被連接至被設置於蓋部22的下方的旋轉機構30。藉此,轉軸28是在氣密地密封反應管10的內部的狀態下構成可旋轉。蓋部22是藉由作為昇降機構的晶舟昇降機32來驅動於上下方向。藉此,晶舟26及蓋部22會一體地昇降,對於反應管10搬出入晶舟26。 The processing chamber 14 houses a wafer boat 26 as a substrate holder that supports a plurality of wafers W, for example, 25 to 150 pieces, in a vertical shelf shape. The crystal boat 26 is formed of, for example, quartz or SiC. The crystal boat 26 is supported above the heat insulating portion 24 by a rotating shaft 28 penetrating the cover portion 22 and the heat insulating portion 24. The portion through which the rotating shaft 28 of the cover 22 penetrates is provided with, for example, a magnetic fluid sealing member, and the rotating shaft 28 is connected to the rotating mechanism 30 provided below the cover 22. Thereby, the rotary shaft 28 is configured to be rotatable in a state where the inside of the reaction tube 10 is hermetically sealed. The lid portion 22 is driven in the up-down direction by the boat elevator 32 as a lifting mechanism. As a result, the crystal boat 26 and the lid portion 22 rise and fall integrally, and the crystal boat 26 is carried in and out of the reaction tube 10.

處理裝置10是具備將被使用於基板處理的氣體供給至處理室14內的氣體供給機構34。氣體供給機構34所供給的氣體是按照所被成膜的膜的種類來更換。在此,氣體供給機構34是包含原料氣體供給部、反應氣體供給部及惰性氣體供給部。 The processing apparatus 10 is provided with a gas supply mechanism 34 that supplies gas used for substrate processing into the processing chamber 14. The gas supplied by the gas supply mechanism 34 is replaced according to the type of film to be formed. Here, the gas supply mechanism 34 includes a source gas supply unit, a reaction gas supply unit, and an inert gas supply unit.

原料氣體供給部是具備氣體供給管36a,在氣體供給管36a中,從上游方向依序設有流量控制器(流量控制部)的質量流控制器(MFC)38a及開閉閥的閥40a。氣體供給管36a是被連接至貫通岐管18的側壁的噴嘴44a。 噴嘴44a是在供給緩衝室10A內沿著上下方向而立設,形成有朝被保持於晶舟26的晶圓W開口之作為氣體供給口的縱長形狀的縫隙45a。原料氣體會通過噴嘴44a的縫隙45a來擴散至供給緩衝室10A內,經由供給緩衝室10A的縫隙10D來對晶圓W供給原料氣體。有關噴嘴44a的詳細後述。 The raw material gas supply unit is provided with a gas supply pipe 36a in which a mass flow controller (MFC) 38a of a flow controller (flow control unit) and an on-off valve 40a are sequentially provided from the upstream direction. The gas supply pipe 36 a is a nozzle 44 a connected to the side wall of the manifold 18. The nozzle 44a is provided upright in the supply buffer chamber 10A in the up-down direction, and a vertically-shaped slit 45a serving as a gas supply port opening to the wafer W held by the wafer boat 26 is formed. The raw material gas diffuses into the supply buffer chamber 10A through the slit 45a of the nozzle 44a, and the raw material gas is supplied to the wafer W through the slit 10D of the supply buffer chamber 10A. The nozzle 44a will be described in detail later.

以下,以同樣的構成,從反應氣體供給部經由供給管36b、MFC38b、閥40b、噴嘴44b及縫隙10D來對晶圓W供給反應氣體。在噴嘴44b是形成有朝被保持於晶舟26的晶圓W開口之複數的氣體供給孔45b。從惰性氣體供給部經由供給管36c、36d、MFC38c、38d、閥40c、40d、噴嘴44a、44b及縫隙10D來對晶圓W供給惰性氣體。 Hereinafter, with the same configuration, the reaction gas is supplied from the reaction gas supply unit to the wafer W via the supply tube 36b, the MFC 38b, the valve 40b, the nozzle 44b, and the slit 10D. The nozzle 44b is formed with a plurality of gas supply holes 45b opening toward the wafer W held by the wafer boat 26. The inert gas is supplied to the wafer W from the inert gas supply unit via the supply pipes 36c, 36d, MFCs 38c, 38d, valves 40c, 40d, nozzles 44a, 44b, and the slit 10D.

在反應管10中,以連通至排氣緩衝室10B的方式,安裝有排氣管46。排氣管46是經由作為檢測出處理室14內的壓力的壓力檢測器(壓力檢測部)之壓力感測器48及作為壓力調整器(壓力調整部)的APC(Auto Pressure Controller)閥50來連接作為真空排氣裝置的真空泵52。藉由如此的構成,可將處理室14內的壓力設為對應於處理的處理壓力。 In the reaction tube 10, an exhaust pipe 46 is attached so as to communicate with the exhaust buffer chamber 10B. The exhaust pipe 46 comes via a pressure sensor 48 as a pressure detector (pressure detection unit) that detects the pressure in the processing chamber 14 and an APC (Auto Pressure Controller) valve 50 as a pressure regulator (pressure adjustment unit) A vacuum pump 52 as a vacuum exhaust device is connected. With such a configuration, the pressure in the processing chamber 14 can be set to the processing pressure corresponding to the processing.

旋轉機構30、晶舟昇降機32、氣體供給機構34的MFC38a~d及閥40a~d、APC閥50是電性連接控制該等的控制器100。控制器100是例如由具備CPU的微處理器(電腦)所成,構成可控制處理裝置2的動作。控制 器100是連接例如構成為觸控面板等的輸出入裝置102。 The rotating mechanism 30, the boat lift 32, the MFC 38a to d of the gas supply mechanism 34, the valves 40a to d, and the APC valve 50 are electrically connected to the controller 100 to control these. The controller 100 is made of, for example, a microprocessor (computer) equipped with a CPU, and is configured to control the operation of the processing device 2. control The device 100 is connected to an input / output device 102 configured as, for example, a touch panel.

控制器100是連接作為記憶媒體的記憶部104。在記憶部104中,可讀出地儲存有控制處理裝置10的動作的控制程式或用以按照處理條件來使處理實行於處理裝置2的各構成部的程式(亦稱為處方)。 The controller 100 is connected to a storage unit 104 as a storage medium. In the memory unit 104, a control program for controlling the operation of the processing device 10 or a program (also referred to as a prescription) for executing processing on each component of the processing device 2 according to processing conditions are readable and stored.

記憶部104是亦可為被內藏於控制器100的記憶裝置(硬碟或快閃記憶體),或可搬性的外部記錄裝置(磁帶、軟碟或硬碟等的磁碟、CD或DVD等的光碟、MO等的光磁碟、USB記憶體或記憶卡等的半導體記憶體)。並且,朝電腦之程式的提供是亦可利用網際網路或專線等的通訊手段來進行。程式是因應所需,以來自輸出入裝置102的指示等,從記憶部104讀出,控制器100實行按照所被讀出的處方之處理,藉此,處理裝置2是根據控制器100的控制,實行所望的處理。 The memory section 104 may also be a memory device (hard disk or flash memory) built into the controller 100, or a portable external recording device (magnetic tape, floppy disk, or hard disk, CD, or DVD) Optical disks such as optical disks, optical disks such as MO, USB memory or semiconductor memory such as memory cards). In addition, the provision of programs to computers can also be performed by means of communication such as the Internet or dedicated lines. The program is read from the memory unit 104 with instructions from the input / output device 102, etc. as required, and the controller 100 executes the processing according to the read prescription, whereby the processing device 2 is controlled by the controller 100 , Implement the desired treatment.

其次,說明有關使用上述的處理裝置2,在基板上形成膜的處理(成膜處理)。在此,說明有關對於晶圓W供給作為原料氣體的HCDS(Si2Cl6:六氯矽烷)氣體,及作為反應氣體的NH3(氨)氣體,藉此在晶圓W上形成矽氮化(SiN)膜的例子。另外,在以下的說明中,構成處理裝置2的各部的動作是藉由控制器100來控制。 Next, a description will be given of a process of forming a film on a substrate using the above-described processing apparatus 2 (film forming process). Here, a description will be given regarding the supply of HCDS (Si 2 Cl 6 : hexachlorosilane) gas as a raw material gas and NH 3 (ammonia) gas as a reaction gas to the wafer W, thereby forming silicon nitride on the wafer W Examples of (SiN) films. In addition, in the following description, the operation of each part constituting the processing device 2 is controlled by the controller 100.

(晶圓裝入及晶舟載入) (Wafer loading and wafer boat loading)

一旦複數片的晶圓W被裝填(晶圓裝入)至晶舟26,則晶舟26藉由晶舟昇降機32來搬入(晶舟載入)至處理室 14內,反應管10的下部開口是藉由蓋部22來成為被氣密地閉塞(密封)的狀態。 Once a plurality of wafers W are loaded (wafer loading) to the wafer boat 26, the wafer boat 26 is carried (wafer loading) to the processing chamber by the wafer boat lift 32 Inside 14, the lower opening of the reaction tube 10 is in a state of being hermetically closed (sealed) by the lid portion 22.

(壓力調整及溫度調整) (Pressure adjustment and temperature adjustment)

以處理室14內能夠成為預定的壓力(真空度)之方式,藉由真空泵52來真空排氣(減壓排氣)。處理室14內的壓力是以壓力感測器48來測定,根據此被測定的壓力資訊來反餽控制APC閥50。並且,以處理室14內的晶圓W能夠成為預定的溫度之方式,藉由加熱器12來加熱。 此時,以處理室14能夠成為預定的溫度分布之方式,根據溫度檢測部16所檢測出的溫度資訊來反餽控制往加熱器12的通電情況。並且,開始旋轉機構30之晶舟26及晶圓W的旋轉。 The vacuum pump 52 is used to evacuate (depressurize and evacuate) the vacuum in the processing chamber 14 so that a predetermined pressure (vacuum degree) can be achieved. The pressure in the processing chamber 14 is measured by the pressure sensor 48, and the APC valve 50 is feedback-controlled based on the measured pressure information. In addition, the heater 12 heats the wafer W so that the wafer W in the processing chamber 14 can reach a predetermined temperature. At this time, based on the temperature information detected by the temperature detection unit 16, the power supply to the heater 12 is fed back and controlled so that the processing chamber 14 can have a predetermined temperature distribution. Then, the rotation of the wafer boat 26 and the wafer W of the rotation mechanism 30 is started.

(成膜處理) (Film-forming process) [原料氣體供給工程] [Raw material gas supply project]

一旦處理室14內的溫度安定成預先被設定的處理溫度,則對處理室14內的晶圓W供給HCDS氣體。HCDS氣體是以MFC38a來控制成所望的流量,經由氣體供給管36a、噴嘴44a及縫隙10D來供給至處理室14內。 Once the temperature in the processing chamber 14 is stabilized at a predetermined processing temperature, HCDS gas is supplied to the wafer W in the processing chamber 14. The HCDS gas is controlled to a desired flow rate by the MFC 38a, and is supplied into the processing chamber 14 through the gas supply pipe 36a, the nozzle 44a, and the slit 10D.

[原料氣體排氣工程] [Raw material gas exhaust project]

其次,停止HCDS氣體的供給,藉由真空泵52來將處理室14內真空排氣。此時,亦可從惰性氣體供給部供 給N2氣體作為惰性氣體至處理室14內(惰性氣體淨化)。 Next, the supply of HCDS gas is stopped, and the inside of the processing chamber 14 is evacuated by the vacuum pump 52. At this time, N 2 gas may be supplied from the inert gas supply unit as an inert gas into the processing chamber 14 (inert gas purification).

[反應氣體供給工程] [Reaction gas supply project]

其次,對處理室14內的晶圓W供給NH3氣體。NH3氣體是以MFC38b來控制成所望的流量,經由氣體供給管36b、噴嘴44b及縫隙10D來供給至處理室14內。 Next, NH 3 gas is supplied to the wafer W in the processing chamber 14. The NH 3 gas is controlled to the desired flow rate by the MFC 38b, and is supplied into the processing chamber 14 through the gas supply pipe 36b, the nozzle 44b, and the slit 10D.

[反應氣體排氣工程] [Reaction gas exhaust project]

其次,停止NH3氣體的供給,藉由真空泵52來將處理室14內真空排氣。此時,亦可從惰性氣體供給部供給N2氣體至處理室14內(惰性氣體淨化)。 Next, the supply of NH 3 gas is stopped, and the inside of the processing chamber 14 is evacuated by the vacuum pump 52. At this time, N 2 gas may be supplied from the inert gas supply unit into the processing chamber 14 (inert gas purification).

藉由將進行上述4個工程的循環進行預定次數(1次以上),可在晶圓W上形成預定組成及預定膜厚的SiN膜。 By performing a predetermined number of cycles (one or more times) in which the above four processes are performed, a SiN film with a predetermined composition and a predetermined film thickness can be formed on the wafer W.

(晶舟卸載及晶圓卸裝) (Crystal boat unloading and wafer unloading)

形成預定膜厚的膜之後,從惰性氣體供給部供給N2氣體,處理室14內的環境會被置換成N2氣體,且處理室14的壓力會恢復成常壓。然後,蓋部22會藉由晶舟昇降機32來降下而晶舟26從反應管10搬出(晶舟卸載)。然後,處理完了晶圓W從晶舟26取出(晶圓卸裝)。 After a film with a predetermined film thickness is formed, N 2 gas is supplied from the inert gas supply part, the environment in the processing chamber 14 is replaced with N 2 gas, and the pressure of the processing chamber 14 returns to normal pressure. Then, the lid 22 is lowered by the boat lift 32 and the boat 26 is carried out of the reaction tube 10 (the boat is unloaded). Then, the processed wafer W is taken out from the wafer boat 26 (wafer unloading).

作為在晶圓W形成SiN膜時的處理條件,例如下記所例示。 The processing conditions when forming the SiN film on the wafer W are exemplified as follows, for example.

處理溫度(晶圓溫度):300℃~700℃、 處理壓力(處理室內壓力):1Pa~4000Pa、 HCDS氣體:100sccm~10000sccm、 NH3氣體:100sccm~10000sccm、 N2氣體:100sccm~10000sccm、 藉由將各個的處理條件設定成各個的範圍內的值,可使成膜處理適當地進行。另外,原料氣體是在噴嘴44a內或供給緩衝室10A內,被形成與處理溫度大致相同的溫度。HCDS氣體是以350℃程度來如下述般開始熱分解:2Si2Cl6 → Si+3SiCl4Process temperature (wafer temperature): 300 ℃ ~ 700 ℃, process pressure (chamber pressure process): 1Pa ~ 4000Pa, HCDS gas: 100sccm ~ 10000sccm, NH 3 gas: 100sccm ~ 10000sccm, N 2 gas: 100sccm ~ 10000sccm, by By setting each processing condition to a value within each range, the film forming process can be appropriately performed. In addition, the raw material gas is formed in the nozzle 44a or the supply buffer chamber 10A, and is formed at a temperature substantially the same as the processing temperature. The HCDS gas begins to thermally decompose at 350 ° C as follows: 2Si 2 Cl 6 → Si + 3SiCl 4 .

一般,單分子反應的速度是與其濃度成比例(亦即1次反應),但只將HCDS氣體供給至噴嘴時,可想像藉由HCDS分子彼此間的衝突而產生熱分解,因此分解速度是與壓力的2次方成比例。而且,若往處理室的供給量為一定,則噴嘴內的平均滯留時間是與氣體壓力成比例。因此,在噴嘴內的分解量或分解率是最大形成與壓力的3次方成比例,可理解壓力的抑制為重要。其次,說明有關第1實施形態的噴嘴44a的形狀。 Generally, the rate of single-molecule reaction is proportional to its concentration (that is, one reaction), but when only HCDS gas is supplied to the nozzle, it is conceivable that thermal decomposition occurs due to the collision of HCDS molecules, so the decomposition rate is The pressure is proportional to the second power. Furthermore, if the supply volume to the processing chamber is constant, the average residence time in the nozzle is proportional to the gas pressure. Therefore, the maximum amount of decomposition or decomposition rate in the nozzle is proportional to the third power of pressure, and it is understood that suppression of pressure is important. Next, the shape of the nozzle 44a according to the first embodiment will be described.

如圖3所示般,噴嘴44a是前端為形成圓頂狀的長噴嘴,在噴嘴44a的側面(晶圓W側)是沿著晶圓配列方向來形成有細長形狀的縫隙45a作為氣體供給口。縫隙45a的長度是最好比晶圓W的配列長更長為佳。例如,在晶圓W的配列長上下加上晶圓W間(間距間)部分的長度之長度為理想。亦即,以縫隙45a的上端的位置能夠比被保持於晶舟26的最上段的晶圓W的高度位置高, 且縫隙45a的下端的位置能夠比被保持於晶舟26的最下段的晶圓W的高度位置低之方式形成為理想。藉由如此的構成,在晶圓W的配列方向,可以均等的量來供給氣體。 As shown in FIG. 3, the nozzle 44a is a long nozzle with a dome-shaped tip, and an elongated slit 45a is formed in the side of the nozzle 44a (wafer W side) along the wafer arrangement direction as a gas supply port . The length of the slit 45a is preferably longer than the arrangement length of the wafer W. For example, it is desirable to add the length of the portion between the wafers W (between pitches) above and below the arrangement length of the wafer W. That is, the position of the upper end of the slit 45a can be higher than the height position of the wafer W held on the uppermost stage of the wafer boat 26, In addition, the position of the lower end of the slit 45a can be formed so as to be lower than the height position of the wafer W held at the lowermost stage of the wafer boat 26. With such a configuration, the gas can be supplied in an equal amount in the arrangement direction of the wafer W.

縫隙的寬最好是0.5mm以上3mm以下(0.5mm~3mm),更佳是1~2mm。換言之,縫隙的寬最好是噴嘴44a的內徑的0.02倍以上0.2倍以下(0.02~0.2倍),更佳是0.04~0.13倍。縫隙是作為孔(orifice)作用,在此流動氣體時,產生比專利文獻1的針孔噴嘴少的壓力差(損失)。此壓力差為有效從縫隙均等地噴射氣體。當縫隙寬為比0.5mm還窄時(未滿噴嘴44a的內徑的0.02倍時),噴嘴內壓會上昇。又,當縫隙寬為比3mm還寬時(比噴嘴44a的內徑的0.2倍大時),晶圓W的成膜均一性會惡化。因此,藉由將縫隙的寬設為0.5mm~3mm(噴嘴44a的內徑的0.02~0.2倍),可抑制噴嘴內壓的過度的上昇,可使成膜均一性提升。又,藉由將縫隙的寬設為1~2mm(噴嘴44a的內徑的0.04~0.13倍),可更使成膜均一性提升。 The width of the slit is preferably 0.5 mm or more and 3 mm or less (0.5 mm to 3 mm), more preferably 1 to 2 mm. In other words, the width of the slit is preferably 0.02 times or more and 0.2 times or less (0.02 to 0.2 times) the inner diameter of the nozzle 44a, more preferably 0.04 to 0.13 times. The gap functions as an orifice, and when gas flows here, a smaller pressure difference (loss) than the pinhole nozzle of Patent Document 1 occurs. This pressure difference is effective to inject gas equally from the gap. When the slit width is narrower than 0.5 mm (less than 0.02 times the inner diameter of the nozzle 44a), the nozzle internal pressure increases. In addition, when the slit width is wider than 3 mm (when it is larger than 0.2 times the inner diameter of the nozzle 44a), the uniformity of film formation of the wafer W deteriorates. Therefore, by setting the width of the slit to 0.5 mm to 3 mm (0.02 to 0.2 times the inner diameter of the nozzle 44a), an excessive increase in the internal pressure of the nozzle can be suppressed, and the uniformity of film formation can be improved. In addition, by setting the width of the slit to 1 to 2 mm (0.04 to 0.13 times the inner diameter of the nozzle 44a), the uniformity of film formation can be further improved.

噴嘴44a的縫隙45a是被形成至前端部(圓頂狀的頂部)的頂點。藉由如此的構成,可抑制噴嘴44a內前端部的氣體滯留。並且,在原料氣體排氣工程中可有效率地淨化噴嘴44a內的殘留氣體,可使生產性(循環率)提升。並且,藉由朝供給緩衝室10A內的上部供給氣體,可抑制供給緩衝室10A的上部之氣體的滯留。而且,在供給 緩衝室10A內,可在上下方向使氣體擴散均一化。 The slit 45a of the nozzle 44a is formed to the apex of the front end portion (top of the dome shape). With such a configuration, the gas stagnation at the front end portion of the nozzle 44a can be suppressed. Moreover, in the raw gas exhaust process, the residual gas in the nozzle 44a can be efficiently purified, and the productivity (cycle rate) can be improved. In addition, by supplying gas to the upper portion of the supply buffer chamber 10A, the stagnation of the gas supplied to the upper portion of the buffer chamber 10A can be suppressed. Moreover, in the supply In the buffer chamber 10A, gas diffusion can be made uniform in the vertical direction.

其次,說明有關多孔噴嘴及前端開放噴嘴與第1實施形態的噴嘴(縫隙噴嘴)的比較結果。在此,將處理室溫度設為650℃,將處理室壓力設為5Pa,藉由各噴嘴來流動HCDS氣體,進行模擬。 Next, the comparison results between the multi-hole nozzle and the open-end nozzle and the nozzle (slot nozzle) of the first embodiment will be described. Here, the process chamber temperature was set to 650 ° C., the process chamber pressure was set to 5 Pa, and HCDS gas was flowed through each nozzle to perform simulation.

首先,利用圖4來說明有關噴嘴內壓的模擬結果。如圖4所示般,縫隙噴嘴是比多孔噴嘴更可大幅度降低噴嘴內壓。並且,多孔噴嘴是若將氣體流量形成2倍,則噴嘴內壓也成為約2倍。相對於此,縫隙噴嘴是即使將氣體流量形成2倍,噴嘴內壓也維持低。亦即,可知即使在縫隙噴嘴中令氣體流量增加,還是可將噴嘴的內壓維持於比氣體在噴嘴內分解的預定的壓力更低的壓力。並且,縫隙噴嘴的縫隙寬越寬,越可使噴嘴內壓降低。對對應於半導體裝置的標準的成膜速度之氣體供給量,可藉由1mm的寬的縫隙來將噴嘴的內壓形成200Pa以下。 First, the simulation result of the nozzle internal pressure will be described using FIG. 4. As shown in Fig. 4, slit nozzles can reduce nozzle internal pressure more than porous nozzles. In addition, if the gas flow rate of the multi-hole nozzle is doubled, the internal pressure of the nozzle will also be doubled. On the other hand, even if the slit nozzle doubles the gas flow rate, the nozzle internal pressure is kept low. That is, it can be seen that even if the gas flow rate is increased in the slit nozzle, the internal pressure of the nozzle can be maintained at a pressure lower than the predetermined pressure at which the gas decomposes in the nozzle. In addition, the wider the slit width of the slit nozzle, the lower the nozzle internal pressure. For the gas supply amount corresponding to the standard film forming speed of the semiconductor device, the internal pressure of the nozzle can be made 200 Pa or less with a gap of 1 mm wide.

其次,利用圖5及圖6來說明有關在晶圓中央部的氣體流速的模擬結果。如圖5所示般,在多孔噴嘴與縫隙噴嘴之間,流速的面間均一性無大的差異。亦即,在縫隙噴嘴中,可一面確保流速的面間均一性,一面使噴嘴內壓減低。 Next, the simulation results of the gas flow rate at the center of the wafer will be described using FIGS. 5 and 6. As shown in FIG. 5, there is no big difference in the uniformity of the flow velocity between the porous nozzle and the slit nozzle. That is, in the slit nozzle, the internal pressure of the nozzle can be reduced while ensuring the uniformity of the flow velocity between the surfaces.

如圖6所示般,前端開放噴嘴是若將氣體流量設為2倍,則面間的流速分布會大幅度變化。亦即,一旦增加氣體流量,則下部的晶圓的流速是幾乎不變化,另一方面,上部的晶圓的流速會變快。前端開放噴嘴是藉由 增加氣體流量,氣體的噴起的高度會變高,因此上部的晶圓是氣體會大量地流入,氣體流速會變快。另一方面,下部的晶圓是氣體的流入量無變化,因此氣體的流速是幾乎不變。相對於此,縫隙噴嘴是面間的流速分布的形狀幾乎不變化,全體流速變快。亦即,藉由設為縫隙噴嘴,可維持確保面間的流速分布,使氣體流量變化。 As shown in FIG. 6, if the gas flow rate at the tip opening nozzle is doubled, the flow velocity distribution between the surfaces greatly changes. That is, once the gas flow rate is increased, the flow rate of the lower wafer hardly changes, and on the other hand, the flow rate of the upper wafer becomes faster. The front opening nozzle is Increasing the gas flow rate will increase the height of the gas jet. Therefore, a large amount of gas will flow into the upper wafer, and the gas flow rate will become faster. On the other hand, there is no change in the amount of gas flowing into the lower wafer, so the gas flow rate is almost unchanged. On the other hand, the shape of the flow velocity distribution between the surfaces of the slit nozzle hardly changes, and the overall flow velocity becomes faster. In other words, by using a slit nozzle, the flow velocity distribution between the surfaces can be maintained and the gas flow rate can be changed.

<本實施形態的效果> <Effect of this embodiment>

若根據本實施形態,則可取得以下所示的1個或複數的效果。 According to this embodiment, one or a plurality of effects shown below can be obtained.

(1)藉由將縫隙形成至頂部的頂上,可抑制噴嘴內的氣體滯留。一旦有氣體的滯留部,則於該部分氣體的分解會進展,因此會有氣體的濃度在面間形成不均一的情形。並且,藉由抑制氣體滯留,可縮短藉由惰性氣體來淨化留在噴嘴內的原料氣體的時間,可使生產性提升。 (1) By forming the gap to the top of the top, gas stagnation in the nozzle can be suppressed. Once there is a gas stagnation part, the decomposition of the gas will progress in this part, so that the concentration of the gas may become uneven between the surfaces. In addition, by suppressing the gas stagnation, the time for purifying the raw material gas remaining in the nozzle by the inert gas can be shortened, and the productivity can be improved.

(2)藉由將氣體供給口設為縫隙形狀,即使令氣體流量增加,還是可抑制噴嘴內壓的上昇,且可使氣體流量增加,因此可擴大操作範圍(processwindow),可使成膜的品質提升。又,一旦噴嘴內壓上昇,則在噴嘴內氣體會成膜,會有成為微粒的發生源的情形。若根據本發明的噴嘴,則可抑制噴嘴內壓的上昇,可抑制微粒的發生。 (2) By making the gas supply port into a slit shape, even if the gas flow rate is increased, the increase in the internal pressure of the nozzle can be suppressed, and the gas flow rate can be increased. Therefore, the process window can be expanded and the film formation Quality improvement. In addition, if the internal pressure of the nozzle rises, the gas in the nozzle will form a film, which may become a source of generation of fine particles. According to the nozzle of the present invention, an increase in the internal pressure of the nozzle can be suppressed, and generation of fine particles can be suppressed.

(3)藉由使氣體以2階段整流,可使面間的均一性提升。從噴嘴供給的氣體是以噴嘴縫隙來整流而均一地流動,且以供給緩衝室的縫隙來再一階段整流,藉此可在上 下方向以均一的濃度供給至晶圓。 (3) By rectifying the gas in two stages, the uniformity between the surfaces can be improved. The gas supplied from the nozzle is rectified by the nozzle gap to flow uniformly, and the gap supplied to the buffer chamber is rectified in another stage, whereby the The lower direction is supplied to the wafer at a uniform concentration.

(變形例) (Modification)

本實施形態的噴嘴是不限於上述的形態,可變更成以下所示的變形例般的形態。 The nozzle of the present embodiment is not limited to the above-mentioned form, and can be changed to a form like the modification shown below.

(變形例1) (Modification 1)

如圖7(A)所示般,縫隙45a是亦可不是形成至前端部的頂點,而是超過頂點來形成至後側(相反側)。藉由如此的構成,往氣體容易滯留的緩衝室10A的上部的角部也可直接供給氣體,因此可抑制角部的氣體的滯留,可使膜的品質提升。 As shown in FIG. 7 (A), the slit 45a may not be formed to the vertex of the front end portion, but may be formed to the rear side (opposite side) beyond the vertex. With such a configuration, the gas can be directly supplied to the corner of the upper portion of the buffer chamber 10A where the gas is likely to accumulate. Therefore, the accumulation of gas at the corner can be suppressed, and the quality of the film can be improved.

(變形例2) (Modification 2)

如圖7(B)所示般,亦可將縫隙45a的上方(例如,縫隙45a上部的1/3程度)的寬形成比下方的寬更大。藉由如此的構成,可使上部的氣體流量增加,可使面間均一性提升。 As shown in FIG. 7 (B), the width above the slit 45a (for example, about 1/3 of the upper part of the slit 45a) may be larger than the width below. With such a configuration, the gas flow rate at the upper part can be increased, and the uniformity between the surfaces can be improved.

(變形例3) (Modification 3)

如圖7(C)所示般,縫隙45a的上端是亦可被開放。此時,縫隙45a是亦可未被形成至上端。藉由如此的構成,可使上部的氣體流量增加,可使面間均一性提升。並且,可抑制噴嘴內的氣體滯留,可使膜的特性提升。 As shown in FIG. 7 (C), the upper end of the slit 45a may be opened. At this time, the slit 45a may not be formed to the upper end. With such a configuration, the gas flow rate at the upper part can be increased, and the uniformity between the surfaces can be improved. In addition, gas stagnation in the nozzle can be suppressed, and the characteristics of the film can be improved.

(變形例4) (Modification 4)

如圖7(D)所示般,縫隙是亦可分割成複數。藉由如此的構成,可使噴嘴的強度提升。 As shown in FIG. 7 (D), the slit can also be divided into plural numbers. With such a configuration, the strength of the nozzle can be improved.

其次,說明有關第2實施形態的噴嘴44a。在此,縫隙45a的形狀是與第1實施形態同樣構成。 Next, the nozzle 44a according to the second embodiment will be described. Here, the shape of the slit 45a is the same as that of the first embodiment.

如圖8所示般,噴嘴44a是形成上升至上方,在折返部70折返至下方的倒U字形狀。在比折返部70還下游側的下游部72是形成有作為氣體供給口的縫隙45a。藉由如此的構成,可在比折返部70還上游側的上游部74,使氣體藉由加熱器12來加熱。由於可在上游部74有效率地加熱氣體,因此可在所望的分解狀態下將氣體供給至晶圓W。例如,氣體的分解狀態是可在上下間設為莫耳分率10%以下。當氣體的分解狀態在上下間比莫耳分率10%更大時,對面間均一性造成不良影響。 As shown in FIG. 8, the nozzle 44 a is formed in an inverted U shape that rises upward and folds back downward at the turn-back portion 70. The downstream portion 72 downstream of the turn-back portion 70 is formed with a slit 45a as a gas supply port. With such a configuration, the gas can be heated by the heater 12 in the upstream portion 74 on the upstream side of the turn-back portion 70. Since the gas can be efficiently heated in the upstream portion 74, the gas can be supplied to the wafer W in a desired decomposition state. For example, the decomposition state of the gas can be set to a molar fraction of 10% or less between upper and lower. When the decomposition state of the gas is greater than the Mohr fraction of 10% between the upper and lower sides, it will adversely affect the uniformity between the surfaces.

在上游部74的下方是形成有與氣體供給管連接的基部78。並且,以能夠連接基部78與上游部74的方式形成有傾斜部76。上游部74、下游部72及基部78是彼此平行形成。噴嘴44a是構成正面視,基部78的中心線C1會位於上游部74的中心線C2與下游部72的中心線C3之間。在此,例如構成基部78的中心線C1會位於上游部74的內側的外壁,上游部74的中心線C2會位於基部78的外側的外壁。亦可構成基部78的中心線C1會位於上游部74的中心線C2與下游部72的中心線C3之中 間。藉由如此的構成,可安定支撐噴嘴44a,可使噴嘴44a內的氣體流動形成順暢。 Below the upstream portion 74 is a base portion 78 connected to the gas supply pipe. In addition, an inclined portion 76 is formed so as to be able to connect the base portion 78 and the upstream portion 74. The upstream portion 74, the downstream portion 72, and the base portion 78 are formed parallel to each other. 44a is a front view of the nozzle configuration, the center line C of the base 78. 1 C 3 will be positioned between the center line C of the center line of the upstream portion 74 and downstream portion 72 2. Here, for example, the center line C 1 constituting the base portion 78 will be located on the outer wall inside the upstream portion 74, and the center line C 2 of the upstream portion 74 will be located on the outer wall outside the base portion 78. May constitute the center line C of the base 78. 1 will be the center line C of the upstream portion 74 of the downstream portion 2 and the center line 72 of the intermediate C 3. With such a configuration, the nozzle 44a can be stably supported, and the gas flow in the nozzle 44a can be formed smoothly.

正面視,第2實施形態的噴嘴44a的縫隙45a的形成位置是比第1實施形態的噴嘴的縫隙的形成位置更偏離於水平方向。亦即,第1實施形態是在第2實施形態的基部78的中心線C1上形成有縫隙。相對的,在第2實施形態中,縫隙45a是被形成於下游部72的中心線C3上。下游部72是於下方延伸而形成至可覆蓋晶圓領域的位置為止。例如,下游部72的前端部是被形成為與晶舟26的下板同高度位置以下。並且,折返部70是被形成為與晶舟26的上板同高度位置以上。藉由如此的構成,可將縫隙45a形成比晶圓W的配列長更長。 From the front, the position of forming the slit 45a of the nozzle 44a of the second embodiment is more deviated from the horizontal direction than that of the nozzle of the first embodiment. That is, the first embodiment is formed with a slit on a base of the second embodiment of the centerline C 78 1. In contrast, in the second embodiment, the slit 45a is formed on the center line C 3 of the downstream portion 72. The downstream portion 72 extends downward and is formed to a position that can cover the wafer area. For example, the front end portion of the downstream portion 72 is formed at the same height position as the lower plate of the wafer boat 26 or less. Furthermore, the turn-back portion 70 is formed at a position equal to or higher than the upper plate of the wafer boat 26. With such a configuration, the slit 45a can be formed longer than the arrangement length of the wafer W.

如圖9所示般,以縫隙45a能夠朝向晶圓W的中心之方式,噴嘴44a在供給緩衝室10A內斜斜地設置。亦即,噴嘴44a是被配置成上游部74的中心與下游部72的中心會位於以連結鄰接的噴嘴44b的中心與晶圓W的中心之線作為半徑r的假想圓R上。最好是平面視配置成連結上游部74的中心與下游部72的中心之線L1和連結基部78的中心與晶圓W的中心之線L2所成的角(逆時針從L1往L2的角)會成為0度~90度。當線L1與線L2所成的角比0度更小時或比90度更大時,對於供給緩衝室10A的壁面供給的氣體會變多,會有氣體的流速或流量被抑制的情形。因此,最好噴嘴44a是被配置成線L1與線L2所成的角會成為0度~90度。換言之,亦可被設置 成上游部74會比下游部72更接近加熱器12,下游部72會比上游部74更接近晶圓W。更佳是被配置成線L1與線L2所成的角會成為直角。藉由如此的構成,可將氣體朝晶圓W中央供給。並且,可將晶圓W與各噴嘴的氣體供給孔的距離設為相同。 As shown in FIG. 9, the nozzle 44 a is provided obliquely in the supply buffer chamber 10A so that the slit 45 a can face the center of the wafer W. That is, the nozzle 44a is arranged such that the center of the upstream portion 74 and the center of the downstream portion 72 will be located on an imaginary circle R whose radius r is a line connecting the center of the adjacent nozzle 44b and the center of the wafer W. Is a plan view preferably arranged L 2 connecting angle formed by the center line between the center and the downstream portion of the upstream portion 74 of the base portion 72 of the connecting line L between the center and the center of the wafer W 1 and 78 (counterclockwise from L to 1 The angle of L 2 ) will become 0 degrees to 90 degrees. When the angle formed by the line L 1 and the line L 2 is smaller than 0 degrees or larger than 90 degrees, more gas is supplied to the wall surface of the buffer chamber 10A, and the flow velocity or flow rate of the gas may be suppressed . Therefore, it is preferable that the nozzle 44a is arranged so that the angle formed by the line L 1 and the line L 2 becomes 0 degrees to 90 degrees. In other words, the upstream portion 74 may be closer to the heater 12 than the downstream portion 72, and the downstream portion 72 may be closer to the wafer W than the upstream portion 74. More preferably, the angle formed by the line L 1 and the line L 2 becomes a right angle. With such a configuration, gas can be supplied toward the center of the wafer W. In addition, the distance between the wafer W and the gas supply holes of each nozzle can be made the same.

縫隙45a最好是平面視被形成於比線L1更晶圓W側的領域。換言之,縫隙45a最好是平面視以線L1作為基準,形成於逆時針0度~180度的範圍(半圓上)。亦即,亦可正面視不是下游部72的中心線C3上,而是形成比中心線C3更偏向上游部74側(內側)或外側。藉由如此的構成,如上述般,即使將噴嘴44a設置成線L1與線L2所成的角為0度~90度時,還是可朝晶圓W中央供給氣體。 Slit 45a is preferably L 1 is more than the wafer W side of the field lines is formed in plan view. In other words, the slit 45a is preferably formed in the range of 0 degrees to 180 degrees counterclockwise (on a semicircle) with the line L 1 as a reference in plan view. That is, the front view may not be on the center line C 3 of the downstream portion 72, but may be formed more toward the upstream portion 74 side (inner side) or outer side than the center line C 3 . With this configuration, as aforesaid, even when the nozzle 44a arranged in a line and the line L 2 L 1 formed by angle of 0 degrees to 90 degrees, or the gas may be supplied to the wafer W toward the center.

其次,說明有關第1實施形態的噴嘴(縫隙噴嘴)及第2實施形態的噴嘴(U形縫隙噴嘴)的模擬結果。在此,使用HCDS氣體來進行模擬。 Next, the simulation results of the nozzle (slit nozzle) of the first embodiment and the nozzle (U-shaped slit nozzle) of the second embodiment will be described. Here, HCDS gas is used for simulation.

如圖10所示般,藉由使用U形縫隙噴嘴,可使Si自由基濃度的面間均一性更提升。特別是在晶圓的中心部分,可使上下間的HCDS氣體的分解狀態更一致。 As shown in FIG. 10, by using a U-shaped slit nozzle, the uniformity of the Si radical concentration between surfaces can be further improved. Especially in the central part of the wafer, the decomposition state of the HCDS gas between the upper and lower sides can be made more consistent.

並且,在U形縫隙噴嘴的前端部,多少可見原料氣體的分解,但由於原料氣體的分解處位於晶圓下部,因此可減少對於面間的均一性的影響。亦即,如圖11所示般,可使面間的Si自由基濃度的分壓的偏差更平穩。 Furthermore, the decomposition of the source gas is somewhat visible at the tip of the U-shaped slit nozzle, but since the decomposition point of the source gas is located in the lower part of the wafer, the influence on the uniformity between the surfaces can be reduced. That is, as shown in FIG. 11, the deviation of the partial pressure of the Si radical concentration between the surfaces can be stabilized.

一般,多孔噴嘴等的通常的噴嘴,亦即以不具備折返部及下游部之上游部所構成的噴嘴(直接噴嘴)內是越進至噴嘴前端,噴嘴內的氣體的滯留時間越長,因此氣體的分解會被促進。所以,在通常的噴嘴中,被分解的成分是在上部變多。相對的,U形縫隙噴嘴是可使分解成分氣體濃度與通常的縫隙噴嘴上下反轉,可使分解成分氣體濃度在U形縫隙噴嘴的下部形成多。亦即,越接近U形縫隙噴嘴的下游部的下端(噴嘴的前端),在噴嘴內的氣體滯留時間越長,因此可多提供分解狀態的氣體。換言之,U形縫隙噴嘴與直接噴嘴作比較,可拉長在噴嘴內的氣體滯留時間。藉此,在晶圓W中心部,可使分解成分氣體濃度在面間一致,可使面間均一性提升。 In general, a common nozzle such as a multi-hole nozzle, that is, a nozzle (direct nozzle) composed of an upstream portion that does not have a turn-back portion and a downstream portion advances to the tip of the nozzle, the longer the residence time of gas in the nozzle, The decomposition of the gas will be promoted. Therefore, in a normal nozzle, the decomposed components increase in the upper part. In contrast, the U-shaped slit nozzle can reverse the concentration of the decomposed component gas and the normal slit nozzle up and down, so that the concentration of the decomposed component gas can be formed more in the lower part of the U-shaped slit nozzle. That is, the closer to the lower end of the downstream portion of the U-shaped slit nozzle (the front end of the nozzle), the longer the gas residence time in the nozzle, so that more gas in a decomposed state can be supplied. In other words, compared with the direct nozzle, the U-shaped slot nozzle can lengthen the residence time of the gas in the nozzle. As a result, in the central portion of the wafer W, the concentration of the decomposed component gas can be made uniform between the surfaces, and the uniformity between the surfaces can be improved.

第2實施形態的噴嘴是不限於上述的形態,可變更成以下所示的變形例般的形態。 The nozzle of the second embodiment is not limited to the above-mentioned form, and can be changed to a form like the modification shown below.

(變形例5) (Modification 5)

如圖12(A)所示般,亦可在上游部74也形成縫隙。例如,亦可將上游部74的縫隙的寬形成比下游部72的縫隙的寬更窄。又,例如,亦可在上游部74形成多孔,而不是縫隙。藉由如此的構成,可在所望的分解狀態下將氣體供給至晶圓W。 As shown in FIG. 12 (A), a slit may also be formed in the upstream portion 74. For example, the width of the slit in the upstream portion 74 may be narrower than the width of the slit in the downstream portion 72. In addition, for example, a hole may be formed in the upstream portion 74 instead of a slit. With such a configuration, the gas can be supplied to the wafer W in the desired decomposition state.

(變形例6) (Modification 6)

如圖12(B)所示般,亦可以比晶圓W的配列長更短的 長度來形成縫隙45a的長度。例如,亦可以縫隙45a的上端的位置能夠形成被保持於晶舟26的最上段~中段的晶圓W的高度位置,且縫隙45a的下端的位置能夠比被保持於晶舟26的最下段的晶圓W的高度位置低之方式形成。換言之,縫隙45a的長度是亦可為覆蓋被保持於下段~中段的晶圓W的配列長之長度。藉由如此的構成,可抑制朝被保持於上段的晶圓W之氣體的過量供給,可使面間均一性提升。 As shown in FIG. 12 (B), it may be shorter than the arrangement length of the wafer W Length to form the length of the slit 45a. For example, the position of the upper end of the slit 45a may form the height position of the wafer W held at the uppermost stage to the middle stage of the wafer boat 26, and the position of the lower end of the slit 45a may be lower than the position held at the lowermost stage of the wafer boat 26. The wafer W is formed so that the height position is low. In other words, the length of the slit 45a may be a length that covers the arrangement length of the wafer W held in the lower to middle stages. With such a configuration, the excessive supply of gas to the wafer W held on the upper stage can be suppressed, and the uniformity between the surfaces can be improved.

以上,具體說明本發明的實施形態。但,本發明並非限於上述的實施形態,可在不脫離其主旨的範圍實施各種變更。 The embodiment of the present invention has been described specifically above. However, the present invention is not limited to the above-mentioned embodiments, and various modifications can be made without departing from the gist thereof.

例如,在上述的實施形態中是說明有關使用HCDS氣體作為原料氣體的例子,但本發明並非限於如此的形態。例如,最好將本噴嘴使用在原料氣體的分解會對晶圓面間的均一性造成影響的氣體。又,例如,在原料氣體的分解溫度與製程溫度接近時也適用。 For example, in the above-mentioned embodiment, an example of using HCDS gas as a raw material gas is described, but the present invention is not limited to such an embodiment. For example, it is preferable to use this nozzle as a gas that decomposes the raw material gas and affects the uniformity between wafer surfaces. In addition, for example, it is also applicable when the decomposition temperature of the raw material gas is close to the process temperature.

又,例如,原料氣體是除了HCDS氣體以外,可使用DCS(SiH2Cl2:二氯矽烷)氣體,MCS(SiH3Cl:一氯甲矽烷)氣體,TCS(SiHCl3:三氯氫烷)氣體等的無機系鹵矽烷原料氣體或,3DMAS(Si[N(CH3)2]3H:三(二甲胺基)矽烷)氣體,BTBAS(SiH2[NH(C4H9)]2:雙(叔丁基氨基)矽烷)氣體等的不含鹵基的胺基酸系(胺系)矽烷原料氣體或,MS(SiH4:單矽烷)氣體,DS(Si2H6:乙矽烷)氣體等的不含鹵基的無機系矽烷原料氣體。 In addition, for example, in addition to the HCDS gas, the raw material gas may be DCS (SiH 2 Cl 2 : dichlorosilane) gas, MCS (SiH 3 Cl: monochlorosilane) gas, TCS (SiHCl 3 : trichlorohydrogen) Inorganic halogenated silane raw material gas such as gas or 3DMAS (Si [N (CH3) 2 ] 3 H: tris (dimethylamino) silane) gas, BTBAS (SiH 2 [NH (C 4 H 9 )] 2 : Non-halogenated amino acid (amine-based) silane raw material gas such as bis (tert-butylamino) silane) gas or MS (SiH 4 : monosilane) gas, DS (Si 2 H 6 : ethyl silane) Inorganic silane raw material gas containing no halogen group such as gas.

又,例如,本發明是在晶圓W上形成含鈦(Ti)、鋯(Zr)、鉿(Hf)、鉭(Ta)、鈮(Nb)、鋁(Al)、鉬(Mo)、鎢(W)等的金屬元素之膜,亦即金屬系膜時也可適用。 Also, for example, the present invention forms titanium (Ti), zirconium (Zr), hafnium (Hf), tantalum (Ta), niobium (Nb), aluminum (Al), molybdenum (Mo), and tungsten on the wafer W (W) and other metal element films, that is, metal-based films are also applicable.

又,上述的實施形態或變形例是可適當組合使用。 In addition, the above-mentioned embodiments or modifications can be used in appropriate combination.

Claims (14)

一種基板處理裝置,其特徵係具備:晶舟,其係於縱方向以預定間隔來保持複數的晶圓;處理室,其係收容前述晶舟,在預定的溫度及環境下處理前述複數的晶圓;及噴嘴,其係於前述處理室內被插通於縱方向,具有涉及到晶圓被保持於前述晶舟的領域來供給氣體的開口,前述噴嘴的開口係開口於縱方向的縫隙,前述縫隙,係具有0.5mm以上3mm以下、或前述噴嘴的內徑的0.02~0.2倍的範圍的寬。A substrate processing apparatus, characterized by comprising: a crystal boat which holds a plurality of wafers at a predetermined interval in the longitudinal direction; a processing chamber which houses the crystal boat and processes the plurality of crystals at a predetermined temperature and environment A circle; and a nozzle, which is inserted in the longitudinal direction in the processing chamber, has an opening related to the wafer being held in the field of the wafer boat to supply gas, and the opening of the nozzle is a slit opening in the vertical direction, The gap has a width of 0.5 mm or more and 3 mm or less, or a range of 0.02 to 0.2 times the inner diameter of the aforementioned nozzle. 如申請專利範圍第1項之基板處理裝置,其中,前述縫隙的上端係被形成於比被保持於前述晶舟的最上段的晶圓的位置更高的位置,前述縫隙的下端係被形成於比被保持於前述晶舟的最下段的晶圓的位置更低的位置。According to the substrate processing apparatus of claim 1, the upper end of the slit is formed at a position higher than the position of the wafer held at the uppermost stage of the wafer boat, and the lower end of the slit is formed at The position is lower than the position of the wafer held in the lowermost stage of the aforementioned wafer boat. 如申請專利範圍第2項之基板處理裝置,其中,前述處理室係縱方向的圓筒、大致平面狀的蓋、容納體及槽體會藉由同一的耐熱耐蝕材料來一體形成,該大致平面狀的蓋係阻塞圓筒的上端,該容納體係於沿著圓筒的側部來突出至外側的狀態下,形成自外部隔離的供給緩衝室同時收容前述噴嘴,該槽體係於與前述側部對向的側部,突出至外側的狀態下,形成自外部遮斷的排氣緩衝室,前述圓筒的內部與供給緩衝室係藉由被設於前述圓筒的側部之貫通孔來連通,前述圓筒的內部與排氣緩衝室係藉由被設於前述圓筒的對向的側部之貫通孔來連通,前述噴嘴係被配置於前述供給緩衝室內。A substrate processing apparatus according to item 2 of the patent application range, wherein the processing chamber is a longitudinal cylinder, a substantially planar cover, a housing body, and a groove body are integrally formed by the same heat-resistant and corrosion-resistant material, and the substantially planar shape The cover of the system blocks the upper end of the cylinder. The storage system protrudes to the outside along the side of the cylinder, forming a supply buffer chamber isolated from the outside while accommodating the nozzle. The groove system is opposite to the side. The lateral side portion protrudes to the outside to form an exhaust buffer chamber blocked from the outside. The inside of the cylinder and the supply buffer chamber are communicated through a through-hole provided in the side of the cylinder. The inside of the cylinder and the exhaust buffer chamber are communicated through a through-hole provided in the opposite side portion of the cylinder, and the nozzle is arranged in the supply buffer chamber. 如申請專利範圍第3項之基板處理裝置,其中,前述噴嘴係供給以和處理室的處理溫度大致相同或較低的溫度來熱分解的氣體,前述縫隙的寬係以前述噴嘴內的前述氣體的壓力能夠形成比前述氣體熱分解的壓力更低之方式設定。A substrate processing apparatus according to claim 3, wherein the nozzle is supplied with a gas that is thermally decomposed at a temperature approximately the same as or lower than the processing temperature of the processing chamber, and the width of the slit is based on the gas in the nozzle The pressure of can be set to be lower than the pressure of thermal decomposition of the aforementioned gas. 如申請專利範圍第2項之基板處理裝置,其中,前述噴嘴的前端,係被形成圓頂狀,縫隙,係被形成至前述圓頂的頂點,前述噴嘴係從其前端朝向上部供給氣體。A substrate processing apparatus according to claim 2 of the patent application, wherein the tip of the nozzle is formed into a dome shape, and a slit is formed to the apex of the dome, and the nozzle supplies gas from the tip toward the upper part. 一種基板處理裝置,其特徵係具備:晶舟,其係於縱方向以預定間隔來保持複數的晶圓;處理室,其係收容前述晶舟,在預定的溫度及環境下處理前述複數的晶圓;及噴嘴,其係於前述處理室內被插通於縱方向,具有至少涉及到晶圓被保持於前述晶舟的領域來供給氣體的開口,前述噴嘴係具有:折返部;比前述折返部更上游側的上游部;及比前述折返部更下游側的下游部,前述上游部與前述下游部,係彼此平行形成,前述噴嘴的開口,係於前述下游部拉長形成於縱方向的縫隙,具有0.5mm以上3mm以下、或前述噴嘴的內徑的0.02~0.2倍的範圍的寬,前述縫隙的上端,係被形成於比複數片的前述晶圓的最上段的晶圓的位置更低的位置。A substrate processing apparatus, characterized by comprising: a crystal boat which holds a plurality of wafers at a predetermined interval in the longitudinal direction; a processing chamber which houses the crystal boat and processes the plurality of crystals at a predetermined temperature and environment A circle; and a nozzle, which is inserted in the longitudinal direction in the processing chamber and has an opening at least in which the wafer is held in the field of the wafer boat to supply gas, and the nozzle has: a turn-back portion; An upstream portion on the upstream side; and a downstream portion on the downstream side of the turn-back portion, the upstream portion and the downstream portion are formed parallel to each other, and the opening of the nozzle is tied to the downstream portion to elongate a slit formed in the longitudinal direction , Having a width in the range of 0.5 mm or more and 3 mm or less, or a range of 0.02 to 0.2 times the inner diameter of the nozzle, and the upper end of the slit is formed at a lower position than the uppermost wafer of the plurality of wafers s position. 如申請專利範圍第6項之基板處理裝置,其中,前述噴嘴係具有:位於比前述上游部更上游側的基部;及連接前述基部與前述上游部的傾斜部,前述下游部的前端,係被形成圓頂狀,前述縫隙的下端,係被形成於比複數片的前述晶圓的最下段的晶圓的位置更低的位置,前述基部的中心線,係位於前述上游部的中心線與前述下游部的中心線之間。A substrate processing apparatus according to claim 6 of the patent application, wherein the nozzle has a base portion located upstream of the upstream portion; and an inclined portion connecting the base portion and the upstream portion, and a front end of the downstream portion is Forming a dome shape, the lower end of the slit is formed at a position lower than the position of the lowermost wafer of the plurality of wafers, and the center line of the base is the center line of the upstream part and the Between the center line of the downstream part. 如申請專利範圍第7項之基板處理裝置,其中,前述處理室係縱方向的圓筒、大致平面狀的蓋、容納體及槽體會藉由同一的耐熱耐蝕材料來一體形成,該大致平面狀的蓋係阻塞圓筒的上端,該容納體係於沿著圓筒的側部來突出至外側的狀態下,形成自外部隔離的供給緩衝室同時收容前述噴嘴,該槽體係於與前述側部對向的側部,突出至外側的狀態下,形成自外部遮斷的排氣緩衝室,前述圓筒的內部與供給緩衝室係藉由被設於前述圓筒的側部之貫通孔來連通,前述圓筒的內部與排氣緩衝室係藉由被設於前述圓筒的對向的側部之貫通孔來連通,前述噴嘴係被配置於前述供給緩衝室內。A substrate processing apparatus as claimed in item 7 of the patent application, wherein the processing chamber is a longitudinal cylinder, a substantially planar cover, an accommodating body, and a groove body are integrally formed by the same heat-resistant and corrosion-resistant material, and the substantially planar shape The cover of the system blocks the upper end of the cylinder. The storage system protrudes to the outside along the side of the cylinder, forming a supply buffer chamber isolated from the outside while accommodating the nozzle. The groove system is opposite to the side. The lateral side portion protrudes to the outside to form an exhaust buffer chamber blocked from the outside. The inside of the cylinder and the supply buffer chamber are communicated through a through-hole provided in the side of the cylinder. The inside of the cylinder and the exhaust buffer chamber are communicated through a through-hole provided in the opposite side portion of the cylinder, and the nozzle is arranged in the supply buffer chamber. 如申請專利範圍第3項之基板處理裝置,其中,前述供給緩衝室係內部會藉由隔壁來分割成複數的區劃,在複數的區劃的1個中插通前述噴嘴,在其他的1個的區劃中插通不具有延伸至前端部的縫隙的其他的噴嘴,前述其他的噴嘴係供給在處理溫度不熱分解的氣體。A substrate processing apparatus according to item 3 of the patent application scope, wherein the inside of the supply buffer chamber is divided into a plurality of divisions by partition walls, and the nozzle is inserted into one of the plurality of divisions, and the other one In the division, another nozzle that does not have a slit extending to the front end is inserted, and the other nozzle supplies a gas that does not thermally decompose at the processing temperature. 如申請專利範圍第6項之基板處理裝置,其中,更具有供給緩衝室,其係與前述處理室鄰接形成,配置有前述噴嘴,前述噴嘴係前述縫隙會位於以連結前述晶圓的中心與氣體供給孔的線作為半徑的假想圓上,且以前述縫隙能夠朝前述晶圓的中心之方式設置。A substrate processing apparatus as claimed in item 6 of the patent application, wherein it further has a supply buffer chamber formed adjacent to the processing chamber, the nozzle is arranged, the nozzle is the gap where the gap is located to connect the center of the wafer and the gas The line of the supply hole is on an imaginary circle with a radius, and the slit can be provided toward the center of the wafer. 如申請專利範圍第7項之基板處理裝置,其中,前述噴嘴係以連結前述晶圓的中心與前述基部的中心之線和連結前述上游部的中心與前述下游部的中心之線所成的角度能夠成為0度~90度之方式設置於前述供給緩衝室內。A substrate processing apparatus according to claim 7 of the patent application, wherein the nozzle is at an angle formed by a line connecting the center of the wafer and the center of the base and a line connecting the center of the upstream part and the center of the downstream part It can be installed in the aforementioned supply buffer chamber so as to become 0 degrees to 90 degrees. 一種噴嘴,係被設置於在處理室內處理複數片的晶圓之基板處理裝置內,對前述處理室內供給氣體之噴嘴,其特徵為:前述噴嘴係具有:折返部;比前述折返部更上游側的上游部;及比前述折返部更下游側的下游部,前述上游部與前述下游部,係彼此平行形成,前述噴嘴的開口,係於前述下游部拉長形成於縱方向的縫隙,具有0.5mm以上3mm以下、或前述噴嘴的內徑的0.02~0.2倍的範圍的寬,前述縫隙的上端,係以前述噴嘴被設置於處理室內的狀態中,成為比前述複數片的前述晶圓的最上段的晶圓的位置更低的位置之方式形成。A nozzle provided in a substrate processing apparatus for processing a plurality of wafers in a processing chamber and supplying gas to the processing chamber is characterized in that: the nozzle includes: a turn-back portion; further upstream than the turn-back portion The upstream portion of the upstream portion and the downstream portion of the turn-back portion, the upstream portion and the downstream portion are formed parallel to each other, the opening of the nozzle is formed at the downstream portion elongated slit formed in the longitudinal direction, has 0.5 mm or more and 3 mm or less, or a wide range of the inner diameter of the nozzle from 0.02 to 0.2 times, and the upper end of the slit is the largest than the plurality of wafers in the state where the nozzle is installed in the processing chamber The wafer of the upper stage is formed at a lower position. 如申請專利範圍第12項之噴嘴,其中,前述噴嘴係具有:位於比前述上游部更上游側的基部;及連接前述基部與前述上游部的傾斜部,前述下游部的前端,係被形成圓頂狀,前述縫隙的下端,係被形成於比複數片的前述晶圓的最下段的晶圓的位置更低的位置,前述基部的中心線,係位於前述上游部的中心線與前述下游部的中心線之間。A nozzle as claimed in item 12 of the patent application range, wherein the nozzle has a base portion located upstream of the upstream portion; and an inclined portion connecting the base portion and the upstream portion, and a tip of the downstream portion is formed into a circle A top shape, the lower end of the slit is formed at a position lower than the position of the lowermost wafer of the plurality of wafers, and the center line of the base is located at the center line of the upstream part and the downstream part Between the centerlines. 一種半導體裝置的製造方法,其特徵係具有:將藉由晶舟來以預定間隔保持於縱方向的複數片的晶圓搬入至處理室內之工程;及從在前述處理室內被插通於縱方向,具有涉及到晶圓被保持於前述晶舟的領域來供給氣體的開口之噴嘴供給氣體至被加熱至預定的溫度的前述處理室內,在前述處理室內處理前述晶圓之工程,在前述處理的工程中,利用具有:折返部、比前述折返部更上游側的上游部、比前述折返部更下游側,和前述上游部平行形成的下游部之噴嘴,從在前述噴嘴的前述下游部,以0.5mm以上3mm以下、或前述噴嘴的內徑的0.02~0.2倍的範圍的寬,被形成於比複數片的前述晶圓的最上段的晶圓的位置更低的位置之拉長於縱方向的縫隙狀的前述開口供給前述氣體。A method of manufacturing a semiconductor device, characterized by: a process of carrying a plurality of wafers held in a vertical direction at predetermined intervals by a wafer boat into a processing chamber; and being inserted in the vertical direction from the processing chamber , An opening nozzle that involves the wafer being held in the field of the wafer boat to supply gas, supplies gas to the processing chamber heated to a predetermined temperature, and processes the wafer in the processing chamber. In the process, a nozzle having: a turnback portion, an upstream portion upstream of the turnback portion, a downstream portion downstream of the turnback portion, and a downstream portion formed in parallel with the upstream portion, from the downstream portion of the nozzle, to 0.5 mm or more and 3 mm or less, or a range of 0.02 to 0.2 times the inner diameter of the nozzle, which is formed at a position lower than the position of the uppermost wafer of the plurality of wafers and elongated in the longitudinal direction The slit-shaped opening supplies the gas.
TW106119665A 2016-07-05 2017-06-13 Substrate processing device, gas nozzle and manufacturing method of semiconductor device TWI648806B (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
PCT/JP2016/069897 WO2018008088A1 (en) 2016-07-05 2016-07-05 Substrate treatment apparatus, gas nozzle, and semiconductor device manufacturing method
??PCT/JP2016/069897 2016-07-05

Publications (2)

Publication Number Publication Date
TW201804551A TW201804551A (en) 2018-02-01
TWI648806B true TWI648806B (en) 2019-01-21

Family

ID=60912665

Family Applications (1)

Application Number Title Priority Date Filing Date
TW106119665A TWI648806B (en) 2016-07-05 2017-06-13 Substrate processing device, gas nozzle and manufacturing method of semiconductor device

Country Status (4)

Country Link
JP (1) JP6695975B2 (en)
KR (1) KR102165711B1 (en)
TW (1) TWI648806B (en)
WO (1) WO2018008088A1 (en)

Families Citing this family (286)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102263121B1 (en) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. Semiconductor device and manufacuring method thereof
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (en) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. Method of forming metal interconnection and method of fabricating semiconductor device using the same
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (en) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (en) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and method of operating the same
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102613349B1 (en) 2016-08-25 2023-12-14 에이에스엠 아이피 홀딩 비.브이. Exhaust apparatus and substrate processing apparatus and thin film fabricating method using the same
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (en) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Gas supply unit and substrate processing apparatus including the same
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (en) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (en) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (en) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. Method for depositing a thin film and manufacturing a semiconductor device
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (en) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. Methods for forming a semiconductor device structure and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (en) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (en) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (en) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate and a device manufactured by the same
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7206265B2 (en) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. Equipment with a clean mini-environment
KR102597978B1 (en) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. Storage device for storing wafer cassettes for use with batch furnaces
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
KR20200108016A (en) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. Method of depositing a gap fill layer by plasma assisted deposition
TWI799494B (en) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 Deposition method
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
JP7124098B2 (en) 2018-02-14 2022-08-23 エーエスエム・アイピー・ホールディング・ベー・フェー Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (en) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. Substrate processing method and apparatus
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (en) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (en) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. Substrate processing method
TW202344708A (en) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
KR20190129718A (en) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. Methods for forming a doped metal carbide film on a substrate and related semiconductor device structures
JP6856576B2 (en) 2018-05-25 2021-04-07 株式会社Kokusai Electric Substrate processing equipment, semiconductor equipment manufacturing methods and programs
KR102596988B1 (en) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate and a device manufactured by the same
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
TW202013553A (en) 2018-06-04 2020-04-01 荷蘭商Asm 智慧財產控股公司 Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (en) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing system
KR20210027265A (en) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. Periodic deposition method for forming metal-containing material and film and structure comprising metal-containing material
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (en) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. Method for depositing a thin film and manufacturing a semiconductor device
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (en) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. Method for deposition of a thin film
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (en) 2018-10-01 2020-04-07 Asm Ip控股有限公司 Substrate holding apparatus, system including the same, and method of using the same
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (en) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and apparatuses for depositing thin film and processing the substrate including the same
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (en) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
KR102605121B1 (en) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (en) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and substrate processing apparatus including the same
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (en) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. A method for cleaning a substrate processing apparatus
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (en) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー Method of forming device structure using selective deposition of gallium nitride, and system for the same
TWI819180B (en) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
KR20200091543A (en) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. Semiconductor processing device
CN111524788B (en) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 Method for topologically selective film formation of silicon oxide
KR20200102357A (en) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. Apparatus and methods for plug fill deposition in 3-d nand applications
TW202104632A (en) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
TW202044325A (en) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 Method of filling a recess formed within a surface of a substrate, semiconductor structure formed according to the method, and semiconductor processing apparatus
KR102626263B1 (en) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. Cyclical deposition method including treatment step and apparatus for same
TW202100794A (en) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing apparatus and method for processing substrate
KR20200108242A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Method for Selective Deposition of Silicon Nitride Layer and Structure Including Selectively-Deposited Silicon Nitride Layer
KR20200108243A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Structure Including SiOC Layer and Method of Forming Same
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200116033A (en) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. Door opener and substrate processing apparatus provided therewith
KR20200116855A (en) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. Method of manufacturing semiconductor device
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (en) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. Gas-phase reactor system and method of using same
KR20200130121A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Chemical source vessel with dip tube
KR20200130118A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Method for Reforming Amorphous Carbon Polymer Film
KR20200130652A (en) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. Method of depositing material onto a surface and structure formed according to the method
JP2020188255A (en) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. Wafer boat handling device, vertical batch furnace, and method
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (en) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. Gas-phase reactor system including a gas detector
KR20200143254A (en) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. Method of forming an electronic structure using an reforming gas, system for performing the method, and structure formed using the method
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (en) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. Temperature control assembly for substrate processing apparatus and method of using same
JP2021015791A (en) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. Plasma device and substrate processing method using coaxial waveguide
CN112216646A (en) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 Substrate supporting assembly and substrate processing device comprising same
KR20210010307A (en) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR20210010820A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Methods of forming silicon germanium structures
KR20210010816A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Radical assist ignition plasma system and method
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (en) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 Method of forming topologically controlled amorphous carbon polymer films
TW202113936A (en) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation
CN112309899A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112309900A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (en) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 Liquid level sensor for chemical source container
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (en) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. Production apparatus of mixed gas of film deposition raw material and film deposition apparatus
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (en) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for forming a structure with a hole
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (en) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
KR20210029090A (en) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. Methods for selective deposition using a sacrificial capping layer
KR20210029663A (en) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (en) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 Method for forming topologically selective silicon oxide film by cyclic plasma enhanced deposition process
TW202129060A (en) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 Substrate processing device, and substrate processing method
TW202115273A (en) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 Method of forming a photoresist underlayer and structure including same
KR20210045930A (en) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. Method of Topology-Selective Film Formation of Silicon Oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (en) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. Apparatus and methods for selectively etching films
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (en) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. Structures with doped semiconductor layers and methods and systems for forming same
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (en) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
CN112951697A (en) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 Substrate processing apparatus
KR20210065848A (en) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. Methods for selectivley forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885692A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112885693A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
JP2021090042A (en) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. Substrate processing apparatus and substrate processing method
KR20210070898A (en) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (en) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. Methods for filling a gap feature on a substrate and related semiconductor structures
KR20210095050A (en) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. Method of forming thin film and method of modifying surface of thin film
TW202130846A (en) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 Method of forming structures including a vanadium or indium layer
KR20210100010A (en) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. Method and apparatus for transmittance measurements of large articles
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (en) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Method for growing phosphorous-doped silicon layer and system of the same
KR20210116240A (en) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. Substrate handling device with adjustable joints
KR20210116249A (en) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. lockout tagout assembly and system and method of using same
KR20210117157A (en) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. Method for Fabricating Layer Structure Having Target Topological Profile
KR20210124042A (en) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. Thin film forming method
TW202146689A (en) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 Method for forming barrier layer and method for manufacturing semiconductor device
TW202145344A (en) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 Apparatus and methods for selectively etching silcon oxide films
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
KR20210132605A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Vertical batch furnace assembly comprising a cooling gas supply
TW202140831A (en) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 Method of forming vanadium nitride–containing layer and structure comprising the same
KR20210134226A (en) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. Solid source precursor vessel
KR20210134869A (en) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Fast FOUP swapping with a FOUP handler
KR20210141379A (en) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. Laser alignment fixture for a reactor system
KR20210143653A (en) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR20210145078A (en) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. Structures including multiple carbon layers and methods of forming and using same
TW202201602A (en) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing device
JP7365973B2 (en) * 2020-06-19 2023-10-20 東京エレクトロン株式会社 Gas nozzle, substrate processing equipment and substrate processing method
TW202218133A (en) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Method for forming a layer provided with silicon
TW202217953A (en) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing method
TW202219628A (en) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 Structures and methods for use in photolithography
TW202204662A (en) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 Method and system for depositing molybdenum layers
KR20220027026A (en) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. Method and system for forming metal silicon oxide and metal silicon oxynitride
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (en) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 Method of depositing material on stepped structure
TW202217037A (en) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Method of depositing vanadium metal, structure, device and a deposition assembly
TW202223136A (en) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 Method for forming layer on substrate, and semiconductor processing system
KR20220076343A (en) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. an injector configured for arrangement within a reaction chamber of a substrate processing apparatus
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (en) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 Transition metal deposition method, transition metal layer, and deposition assembly for depositing transition metal on substrate
JP7315607B2 (en) * 2021-03-16 2023-07-26 株式会社Kokusai Electric Substrate processing apparatus, substrate processing method, and semiconductor device manufacturing method
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006093411A (en) * 2004-09-24 2006-04-06 Hitachi Kokusai Electric Inc Board processor
US20090291566A1 (en) * 2005-08-05 2009-11-26 Masaaki Ueno Substrate Processing Apparatus, Coolant Gas Supply Nozzle and Semiconductor Device Manufacturing Method
JP2012069723A (en) * 2010-09-24 2012-04-05 Hitachi Kokusai Electric Inc Substrate processing device, gas nozzle, and substrate processing method
JP2014063959A (en) * 2012-09-24 2014-04-10 Tokyo Electron Ltd Vertical thermal treatment apparatus

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS62263629A (en) * 1986-05-12 1987-11-16 Hitachi Ltd Vapor growth device
JP2004006551A (en) 2002-06-03 2004-01-08 Hitachi Kokusai Electric Inc Device and method for treating substrate
JP4498210B2 (en) * 2005-05-13 2010-07-07 株式会社日立国際電気 Substrate processing apparatus and IC manufacturing method
JP2009295729A (en) * 2008-06-04 2009-12-17 Hitachi Kokusai Electric Inc Substrate processing apparatus
JP2011142347A (en) * 2011-04-08 2011-07-21 Hitachi Kokusai Electric Inc Substrate processing apparatus
JPWO2013054652A1 (en) * 2011-10-11 2015-03-30 株式会社日立国際電気 Substrate processing apparatus, substrate processing method, semiconductor device manufacturing method, and recording medium
JP5887962B2 (en) * 2012-01-31 2016-03-16 東京エレクトロン株式会社 Deposition equipment
JP2013197329A (en) * 2012-03-21 2013-09-30 Renesas Electronics Corp Manufacturing apparatus of semiconductor device and manufacturing method of semiconductor device

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006093411A (en) * 2004-09-24 2006-04-06 Hitachi Kokusai Electric Inc Board processor
US20090291566A1 (en) * 2005-08-05 2009-11-26 Masaaki Ueno Substrate Processing Apparatus, Coolant Gas Supply Nozzle and Semiconductor Device Manufacturing Method
JP2012069723A (en) * 2010-09-24 2012-04-05 Hitachi Kokusai Electric Inc Substrate processing device, gas nozzle, and substrate processing method
JP2014063959A (en) * 2012-09-24 2014-04-10 Tokyo Electron Ltd Vertical thermal treatment apparatus

Also Published As

Publication number Publication date
KR102165711B1 (en) 2020-10-14
WO2018008088A1 (en) 2018-01-11
JPWO2018008088A1 (en) 2019-01-31
TW201804551A (en) 2018-02-01
KR20180058808A (en) 2018-06-01
JP6695975B2 (en) 2020-05-20

Similar Documents

Publication Publication Date Title
TWI648806B (en) Substrate processing device, gas nozzle and manufacturing method of semiconductor device
US11041240B2 (en) Substrate processing apparatus, method of manufacturing semiconductor device, and recording medium
JP6616258B2 (en) Substrate processing apparatus, lid cover, and semiconductor device manufacturing method
TWI696722B (en) Substrate processing device, semiconductor device manufacturing method and program
JP6550029B2 (en) Substrate processing apparatus, nozzle base and method of manufacturing semiconductor device
US10731254B2 (en) Protective plate, substrate processing apparatus, and method of manufacturing semiconductor device
US10388512B2 (en) Method of manufacturing semiconductor device, substrate processing apparatus, and recording medium
CN110863190B (en) Substrate processing apparatus and method for manufacturing semiconductor device
JP6462161B2 (en) Substrate processing apparatus and semiconductor device manufacturing method
TW201940248A (en) Substrate processing device, semiconductor device production method, and program
KR102237780B1 (en) Substrate processing apparatus and method of manufacturing semiconductor device
JP2017191909A (en) Semiconductor device manufacturing method, substrate processing apparatus, and program
JP5595963B2 (en) Vertical batch deposition system
TWI725717B (en) Manufacturing method of semiconductor device, substrate processing device and recording medium
JP7055219B2 (en) Semiconductor device manufacturing methods, substrate processing methods, substrate processing equipment, and programs
JP2023005462A (en) Deposition device
KR20140005090A (en) Silicon oxide film forming method and apparatus
JPWO2018163399A1 (en) Substrate processing apparatus, semiconductor device manufacturing method, and program