TWI609401B - 用於在極紫外線範圍中的測量應用的小型光源 - Google Patents

用於在極紫外線範圍中的測量應用的小型光源 Download PDF

Info

Publication number
TWI609401B
TWI609401B TW105127248A TW105127248A TWI609401B TW I609401 B TWI609401 B TW I609401B TW 105127248 A TW105127248 A TW 105127248A TW 105127248 A TW105127248 A TW 105127248A TW I609401 B TWI609401 B TW I609401B
Authority
TW
Taiwan
Prior art keywords
ring
storage ring
energizing
frequency
light source
Prior art date
Application number
TW105127248A
Other languages
English (en)
Other versions
TW201715556A (zh
Inventor
亞辛 艾根錫
李奧尼德 瑞弗金
艾爾賓 弗魯利克
Original Assignee
保羅謝勒研究所
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 保羅謝勒研究所 filed Critical 保羅謝勒研究所
Publication of TW201715556A publication Critical patent/TW201715556A/zh
Application granted granted Critical
Publication of TWI609401B publication Critical patent/TWI609401B/zh

Links

Classifications

    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05GX-RAY TECHNIQUE
    • H05G2/00Apparatus or processes specially adapted for producing X-rays, not involving X-ray tubes, e.g. involving generation of a plasma
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H13/00Magnetic resonance accelerators; Cyclotrons
    • H05H13/04Synchrotrons
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H7/00Details of devices of the types covered by groups H05H9/00, H05H11/00, H05H13/00
    • H05H7/04Magnet systems, e.g. undulators, wigglers; Energisation thereof
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H7/00Details of devices of the types covered by groups H05H9/00, H05H11/00, H05H13/00
    • H05H7/08Arrangements for injecting particles into orbits
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H7/00Details of devices of the types covered by groups H05H9/00, H05H11/00, H05H13/00
    • H05H7/10Arrangements for ejecting particles from orbits

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Optics & Photonics (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Particle Accelerators (AREA)
  • Investigating Materials By The Use Of Optical Means Adapted For Particular Applications (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)

Description

用於在極紫外線範圍中的測量應用的小型光源
本發明係有關於一種用於在極紫外線(EUV)範圍內之測量應用,特別是,最佳地係用於使用同調散射法(coherent scattering methods)之光化光罩檢查(actinic mask inspection)以加速器技術為基礎之小型光源。
現有技術中所使用的量測學(metrology)正逐漸變得具有挑戰性。晶圓上測量(on-wafer metrology),亦即,從薄膜、圖案化光阻至整合裝置的奈米結構之測量,對於監控及控制像CD(臨界尺寸、亦即,線寬)、LER(線邊粗糙度)、高度、表面粗糙度、缺陷、厚度、側壁角度、材料成分及覆蓋誤差(overlay errors)之結構參數來說係必要的。除了電子顯微鏡方法外,還廣泛地使用光學測量(成像、散射及橢圓偏振(ellipsometry))。光學散射測量(optical scatterometry)測量強度之光譜變化,以測定CD。橢圓偏振測量厚度及成分。X射線測量係用於2.5D及3D架構之粗糙特徵(coarse features)。
隨著尺寸的縮小及FinFETs(亦即,高結構)之採用,該等方法已達到極限。產業目前的策略是混合式測量流程及窮舉建模(hybrid metrology flow and exhaustive modeling)。為了進一步進展,需要新穎且顛覆性方法。對於未來的材料(例如,石墨烯(graphene)),產業缺乏測量解決方案。定向自組裝技術(directed self-assembly,DSA),一種非常大有可為的技術,由於它的隨機性而需要微影疊對測量技術(overlay metrology),因而需要新的解決方案。未來的進步非常可能受阻於「測量誤差(metrology gap)」。
極紫外線微影(EUVL)被認為是用於半導體裝置之大批製造的sub-22nm HP(sub-7nm技術節點)之最可行的具成本效益之下一代微影。EUVL係以用於投影光學元件及光罩之反射光學元件為基礎。
目前的技術中,從193nm(ArF)光學微影至13.5nm EUV微影的大進展,係藉由用於EUV波長範圍之光學元件的可用性所引起。相較於193nm範圍,其中光子束之操縱使用折射光學元件,只有反射光學元件可用於EUV範圍。在13.5nm波長下具有70%反射率及2%BW之Mo-Si塗層為用於反射鏡及光罩之沿用技術。這些多層對製程增加另一個複雜性。對於光學元件及光罩之平坦度存在嚴格的要求。
EUV光罩係由一基板、在該基板上所塗佈之多層及在該多層上所圖案化之吸收結構(例如,TaN)所構成,其中所有這些層可能具有一些缺陷,該等缺陷需要 被偵測及描繪,以便在它們在掃描器中使用前丟棄該光罩或該等修恢該等隔離的缺陷。因此,EUV光罩檢查工具變成重要的元件,特別是,在該多層反射鏡中之位於內部深處的變形所產生的相位誤差(phase errors)之檢查亦是重要的。需要對空白多層以及對圖案化光罩及經過保護防塵薄膜(pellicle)處理之最終光罩實施光罩檢查。
雖然基於此目的使用如UV顯微鏡方法、AFM、SEM之其它測量方法,但是光化光罩檢查(亦即,使用EUV光之測量)已成為一種必需的方法。只有EUV穿透深入共振多層結構。目前的技術水準係SEMATECH光化檢查工具(SEMATECH Actinic Inspection Tool,SHARP),一種專屬於光罩研究之高解析度EUV夫瑞奈帶板顯微鏡(high resolution EUV Fresnel zone plate microscope)。Carl Zeiss已開發出商用光罩審查工具,亦即,AIMS工具。像KLA Tencor之一些產業公司正在開發其它光罩檢查工具;根據該公司之官方聲明已終止該開發。
除了上述以透鏡為基礎的方法外,還顯示像同調散射(繞射)方法及同調散射成像之無透鏡方法,這對於光化光罩檢查係可實行的。這些方法沒有依賴昂貴的光學元件且對於使用相位回復演算法(phase-retrieval algorithms)之缺陷檢查或成像亦具有其它優點。
EUV測量的主要挑戰中之一者係要設法得到一具有高亮度及高穩定性之EUV源。藉由放電電漿生產(DPP)或雷射電漿生產(LPP)從高溫且高密度電漿經由自 發發射獲得EUV光。雖然對於掃描器,100W以上的LPP光源係正在開發中且似乎是可行的,但是很難使用相似方案及較小溶液滴(droplets)來以更少功率達成較高亮度。穩定性、正常操作時間(up-time)及碎片(debris)係最關鍵的問題。高次諧波產生(HHG)光源亦是可利用的。這些高同調光源(highly coherent sources)有穩定性及功率上的問題。綜上所述,為了在一合理時間範圍內掃描一光罩,DPP及LPP光源受亮度(<100W/mm2/srd)及穩定性限制。所引用的亮度用於掃描顯微術係足夠的。這些光源不適用於同調散射方法,該等方法需要明顯更高的亮度及同調性。HHG光源非常高的亮度(同調性),但是通量變成處於μW範圍內之瓶頸。這些光源對於同調散射方法係可實行的,但是對於在一合理時間內之光罩檢查,通量應該大於10mW。因此,它們對於在產業之目標規格內的光罩測量係沒有用的。
光罩測量(亦即,具有低解析度及高生產量之缺陷的定位之光罩檢查以及具有低速度及高解析度之缺陷的表徵之光罩審查)對未來進步係至關重要的。特別地,EUV微影需要一種用於光罩之缺陷的評估之反射成像技術。特別地,不可能以傳統方法偵測在多層內或下方的缺陷。因此,光化測量,亦即,使用在13.5nm(92eV)及6°入射角之反射(在製造中的照明條件)下的EUV源之檢查及審查,被視為是必需的。因此,EUV光罩測量對於審查及檢查係處於危機中及需要立即的解決方案。
對於晶圓上(on-wafer)及光罩測量方法,其包括(但不侷限於)光學全場成像、掃描顯微術、散射、同調散射及同調繞射成像,使用短波長(亦即,具有30nm-6nm波長之EUV光)可以是一解決方案。然而,這些方法需要光源,該等光源滿足該等光學方法之需求。當時技藝水準的光源(例如,高次諧波產生及雷射輔助電漿光源)之主要挑戰係高亮度及同調性、穩定性及通量以及合理尺寸及高操作可靠性。低安裝成本及低維修成本當然亦是問題。
雖然已提出或製造出滿足上述部分特徵之系統,但是沒有系統滿足上述所有特徵。
以加速器為基礎的光源(例如,儲存環及自由電子雷射(storage rings and free-electron lasers))可以提供高通量及同調性且廣泛地被使用於各種不同應用,其包括光罩檢查。它們的缺點是,它們具有相對大的尺寸。亦提出小型同步加速器(compact synchrotrons)且過去十年已製造數個小型同步加速器。例如,已提出從彎曲磁鐵或增頻磁鐵(wigglers)產生EUV光(參見例如,US 8,749,179 B1)。它們兩者發射具有相對低亮度及具有必須過濾掉所需的波長之寬光譜的光。此外,強度因在該儲存環中之電子束的注入與衰變之長間隔而不是固定的。此外,設計沒有強調工具之總佔用面積的減少。最重要的是,這樣的工具滿足使用以透鏡為基礎之方法的EUV光化光罩測量之需求。它提供掃描顯微術及全場成像所需的足夠亮度。藉由調整掃描速度或控制光束強度 之衰減,校正光束強度之變化。然而,這樣的光源沒有提供同調散射方法所需的非常高亮度及同調性。此外,光子強度之變動將會改變在反射鏡上之熱負載,而造成光束位置之不穩定性。對於同調散射成像,光束穩定性的要求係非常重要。
因此,本發明之目的係提供一種以一儲存環為基礎之小型且具成本效益的光源,其可提供用於在EUV範圍內的測量方法(特別是但不侷限於同調散射方法)之足夠功率、穩定性、亮度及同調性。
依據本發明藉由一種以一電子束加速器技術為基礎之小型光源來達成此目的,其包括一儲存環、一增能環(booster ring)、一線性加速器及一聚頻磁鐵(undulator),以便提供具有用於在13.5nm下光化光罩檢查之特性的光,其中:a)維持電子束之強度低至10-3的等級;b)一小型多彎磁鐵結構係用於該儲存環,以產生一小束散度(emittance),該小束散度會造成光之高亮度及大的同調內容;c)該增能環及該儲存環在一同心上視圖配置中位於不同高度,以便將所需佔用面積維持為小的並減少干擾效應;d)實施準連續注入,即個別增強的持續注入(top-up injection),以達到高的強度穩定性,並對抗因彈性束流-氣體散射(elastic beam-gas scattering)及突謝克散射(Touschek scattering)所造成的壽命縮短; e)在由該增能環及該儲存環之平行直線段軌道所定義之平面中,對該儲存環之注入及從該增能環之提取係以斜向(diagonal)實施;以及f)對於從該增能環至該儲存環中之持續注入(top-up injection),使用兩個反對稱配置蘭伯森隔板(Lambertson septa)。
這些手段導致一種適合於傳統實驗室或它們的維修區且為了低維修需求及低擁有成本而設計之足夠小型的光源。該聚頻磁鐵所發射之光的波長是在6至30nm間。在傳輸光學元件在光罩級上提供光束之至少10%的光源級下,該光束具有在10-3之範圍內的極端穩定性、在大於100mW之範圍內的足夠中心錐體功率(central cone power)及大於100kW/mm2/sr之高亮度。這些數值係根據同調散射方法之使用及在一合理時間範圍內一光罩之100cm2場區域的掃描。光罩審查之通量需求及以透鏡為基礎的測量方法之同調性需求比這些規格還低,以及因此,亦可適用於此方法。
因此,已最佳化電子束能量、聚頻磁鐵週期長度、聚頻磁鐵週期之數目的參數空間,以最低成本及空間需求提供測量應用所需要的波長及光子通量。沒有其它小型光源提出用以同時實現光束穩定性及小型化之同心環概念。
為了適合於傳統實驗室及它們的維修區,架構係設計成具有約50m2之佔用面積。
藉由儲存環、增能環及線性加速器之3D配置可達成一具有兩個長直線段之跑道設計(racetrack design)這種非常小的佔用面積。此手段亦可減輕該增能環對該儲存環束流的電磁干擾。此外,小的多功能磁鐵可建立該儲存環及該增能環之結構。
根據用於該聚頻磁鐵之結果直線段長度,已建立該儲存環之最佳佈局,其顧及彎曲磁鐵及四極之最大可能磁場的技術邊界及工程空間需求。
做為小型光源之新穎性,本發明包括全能量增能同步加速器環,用於準連續的、個別增強的持續注入到該儲存環中。持續注入不僅可達到所需要的強度穩定性,且可對抗因突謝克散射(Touschek scattering)及彈性束流-氣體散射所造成的壽命縮短。該電子束之低能量及該聚頻磁鐵之小垂直孔隙強而有力地增強這些效果。
在由該增能環及該儲存環之平行直線段軌道所定義之傾斜平面中實施至該儲存環中之注入及從該增能同步加速器環之提取。為了注入該儲存環,使用一種脈衝多極系統,其使所儲存之電子束在該注入過程期間不受影響。在該環填充中踢件(kicker)上升及下降時間不需要間隔,此增加填充之均勻性及對於一總電流減少每個束團之電荷及因而減輕集體效應(collective effect),進而改善光源穩定性。
該線性加速器(Linac)完全適合於該儲存環之結構中。此手段亦明顯地有助於該光源之佔用面積的減少之要求。
因此,依據本發明之光源係具有如同調繞射成像(CDI)所需之非常高強度穩定性的第一EUV源。
本發明之另外的較佳實施例係列在所附請求項中。
2‧‧‧小型光源
4‧‧‧增能提取系統
6‧‧‧儲存環注入系統
BO‧‧‧同心增能同步加速器
CY‧‧‧加速共振腔
KEX‧‧‧提出踢件
KIN‧‧‧非線性注入踢件
LI‧‧‧線性預加速器
SR‧‧‧儲存環
TL‧‧‧傳輸線
UN‧‧‧聚頻磁鐵
YEX‧‧‧提出隔板
YIN‧‧‧注入隔板
以下,參考所附圖式來描述本發明之較佳實施例,其中:第1圖針對一具有200個16mm週期的聚頻磁鐵描述電子束電流之變動為電子能量的函數來做為一個範例。
第2圖描述相同範圍之電子能量的相關磁場。
第3圖綱要性地描述一用以提供具有用於光化光罩檢查之特性的光之小型光源的基線設計。
第4圖描述第3圖之小型光源的3D整合視圖。
為了技術背景之更佳了解,先說明使用CDI之光化光罩檢查的光子束需求。
以SLS(Swiss Light Source at Paul Scherrer Institute,5232 Villigen PSI,Switzerland)之XIL-II光束線實施使用CDI之光罩檢查的原理之驗證。以CDI為基礎之光化光罩檢查工具的光子束需求被收集於表1中。必須注意到,這些是粗略估計的值。該等需求之更精確的估計需要一種完整系統的概念設計及其光學元件、測量方法、重建演算法和偵測器規格。此外,非常可能的情況是,單一光源同時服務多個工具。目前,最佳的選擇可以使用單一聚頻磁鐵及以分束器(beam splitters)來分配光束。
根據在13.5nm之波長下使用CDI之光化光罩檢查的需求,實施光源參數-聚頻磁鐵及小型儲存環之第一最佳化。計算係根據在0.1%帶寬中每秒1.3×1015個光子之通量需求。
該小型光源之相關關係式是:
K=0.934.λ u [cm]B u [T] (4)
其中λ代表發射光之波長;λu係聚頻磁鐵之週期長度;γ係由(2)所定義之勞侖茲因子(Lorentz factor);n0係由(3)所定義之在0.1%帶寬中每秒光子的數目;以及K係由(4)所定義之聚頻磁鐵參數。Nu代表聚頻磁鐵週期之數目,而I係電子束之電流。
第1圖針對16mm之聚頻磁鐵週期長度λu顯示在滿足條件(1)及(3)下電子束電流之變動為電子能量之函數,其中16mm被選擇做為保守值。如果K接近0,則電子束電流I變成無窮大,以便滿足條件(1)。但是,在離此磁極一相當適度距離處,可以達到一合理電流。對於這裡的考量,選擇能量為430MeV。在此能量極限以上電流減少沒有太多的增加。
第2圖顯示相同範圍之電子能量的相關磁場B(如第1圖所示)。
結論:為了光源概念之發展,已選擇16mm之聚頻磁鐵週期長度。所有其它參數係此選擇之結果。導致該小型儲存環之能量為430MeV及聚頻磁鐵磁場為0.42T。
對於具有短週期長度及高磁場之聚頻磁鐵有一些技術限制。16mm之聚頻磁鐵週期長度係今日在傳統上可達到之極限。因為從方程式(1)可顯而易知,甚至更短的週期長度會具有較低電子束能量之優點,但是在另一方面,需要較高聚頻磁鐵磁場強度,以達成一合理大K參數(4)。並且,如果K參數太低,則需要較高電子束電流,以達到方程式(3)定義之所需通量。
Cryo聚頻磁鐵可允許甚至更短週期長度結合較高磁場,但是它們增加會影響可靠性之複雜度及因此,在此不被考量。
以150mA的電子束電流達到所需數目的光子。此係足夠低,以便避免有害的集體效應。結論是, 430MeV之能量係相當小的,以容許一小型儲存環。用於聚頻磁鐵之0.42T的磁場係在實際標準內。K值為0.63及因此,是足夠小的,而不會增強較高諧波。
聚頻磁鐵及電子束之選擇參數被概述於表2中。
CDI方法要求可使持續注入成為強制性之電子束的高強度穩定性。增強持續注入或準連續注入變成是必要的,以便對抗因彈性束流-氣體散射及突謝克散射(Touschek scattering)所造成的壽命縮短。藉由低儲存環能量結合小聚頻磁鐵間隙可強而力地提高強度穩定性及壽命。
第3圖綱要性地顯示一用以提供具有用於在13.5nm下光化光罩檢查之特性的光之小型光源2的上視圖。當然,藉由修改特定組件之設計,所發射的光可以具有其它主波長。該小型光源2包括一儲存環SR、一同心增能同步加速器BO及一線性預加速器LI。在第3圖中,亦包括具有兩個反對稱配置蘭伯森隔板(Lambertson septa)YEX、YIN之一增能提取系統4及一儲存環注入系 統6的示意側視圖。YEX表示一提出隔板,YIN表示一注入隔板,KEX表示一提出踢件及KIN表示一非線性注入踢件。第4圖綱要性地顯示具有該儲存環SR、該增能同步加速器BO及該線性預加速器LI之小型光源2的3D圖,其帶有傳輸線TL、一聚頻磁鐵UN及加速共振腔CY。
該增能同步加速器BO之設計採用該儲存環SR之賽道形狀。因為所需的佔用面積應該是最小的,所以將第3圖及第4圖所示之增能同步加速器BO以同心方式放置在該儲存環SR下方且具有最小橫向間距下,以便助於電子束傳輸,且具有大垂直間距,以最大化該增能同步加速器BO與該儲存環SR間之間隔。此將減輕該增能同步加速器BO對在該儲存環SR中之電子束的電磁干擾。
該等傾斜提取及注入系統4、6係由連接該增能同步加速器BO及該儲存環SR之兩個直線段的兩個反對稱配置蘭伯森隔板(Lambertson septa)YEX、YIN所建立。電子束在兩個隔板YEX、YIN中水平地移位且垂直地被偏轉。從該儲存環注入隔板YIN,以小斜率導引電子束至該多極注入踢件KIN,在該多極注入踢件KIN處在該儲存環接受度內捕獲電子束。
上面所述之小型光源2的創新特徵,特別是它們全部的組合,從來沒有應用至一以小型低能量儲存環為基礎之光源。就在此所述之解決方案而言,已解決這樣複雜系統之所有內在問題。
對於該聚頻磁鐵UN,選擇永久磁鐵材料Dy增強NdFeB,其提供Br=1.25T之剩餘磁場。使用一增強材料,相較於在該SLS的U15聚頻磁鐵(磁鐵塊高度從16.5至26.5mm及磁極寬度從20至30mm),在8.5mm間隙下可達到B=0.47T的磁場及在9mm間隙下可達到B=0.42T的磁場。
下面的表3概述主電子束參數、光源參數及光特性。
參考資料
[1] A. Wrulich et al, Feasibility Study for COSAMI - a Compact EUV Source for Actinic Mask Inspection with coherent diffraction imaging methods
[2] A. Streun, OPA, http: //ados.web.psi.ch/opa/
[3] A. Streun,: “COSAMI lattices: ring, booster and transfer line”, Internal note, PSI June 28, 2016.
2‧‧‧小型光源
4‧‧‧增能提取系統
6‧‧‧儲存環注入系統
BO‧‧‧同心增能同步加速器
CY‧‧‧加速共振腔
SR‧‧‧儲存環
TL‧‧‧傳輸線
UN‧‧‧聚頻磁鐵

Claims (5)

  1. 一種以電子束加速器技術為基礎之小型光源(LS),其包括一儲存環(SR)、一增能環、一線性加速器及一聚頻磁鐵(UN),以便提供具有用於在13.5nm下光化光罩檢查之特性的光,其中:a)維持電子束之強度低至10-3的等級;b)一小型多彎磁鐵結構係使用於該儲存環(SR),以產生一小束散度(emittance),該小束散度會造成光之高亮度及大的同調內容的同調內容;c)該增能環及該儲存環(SR)在一同心上視圖配置中位於不同高度,以便將所需佔用面積維持為小的並減少干擾效應;d)實施準連續注入,個別增強持續注入(top-up injection),以達到高的強度穩定性,並對抗因彈性束流氣體散射(elastic beam gas scattering)及突謝克散射(Touschek scattering)所造成的壽命縮短;e)在由該增能環及該儲存環(SR)之平行直線段軌道所定義之平面中,對該儲存環(SR)之注入及從該增能環之提取係以斜向(diagonal)實施;以及f)對於從該增能環至該儲存環(SR)中之持續注入,使用兩個反對稱配置蘭伯森隔板(Lambertson septa)。
  2. 如請求項1之小型光源(LS),其中該增能環及該儲存環(SR)係以同心方式以小橫向位移配置成,以有助於電子束傳輸,並以較大垂直位移配置,以減少干擾效應。
  3. 如請求項1之小型光源(LS),其中對於至該儲存環(SR)中之增強持續注入,使用一多極踢件,避免在該儲存 環填充中有間隔,以便減少束團流及達成所需高強度及位置穩定性。
  4. 如請求項1之小型光源(LS),其中該佔用面積總共是約50m2;該佔用面積為具有兩個長直線段之跑道設計,藉由該儲存環(SR)、該增能環及該線性加速器(LA)之三維配置、藉由使用用於該儲存環(SR)及該增能環之結構的多功能磁鐵及藉由使用一具有兩個反對稱配置蘭伯森隔板(Lambertson septa)之緊湊分散抑制從該增能環傳輸至該儲存環(SR)之電子束傳輸,而只以單一非線性踢件實施至該儲存環(SR)中之注入,而達成該跑道設計。
  5. 如請求項1至4項中任一項之小型光源(LS),其中:a)該儲存環(SR)接收透過增強持續注入從該增能環來的加速電子、以此方式保持電子束強度穩定至10-3的等級、及對抗因為低能量之該儲存環與低間隙之該聚頻磁鐵(UN)結合所造成的壽命減少,其中在該儲存環(SR)中之電子束的電子能量係從200至500MeV之範圍,且該電子束之電流係從任一較低數值至200mA之範圍;b)該增能環係設置成用於增強持續注入,用以接收來自線性加速器之注入路徑的加速電子;c)該等同心增能環及儲存環之配置只有稍微橫向移位以便有助於電子束傳輸,且大部分作垂直移位以便最小化該增能環對在該儲存環中之電子束的干擾效應,並在不損害於光束穩定性及機器可靠性下 使非常小型的光源成為可能;d)該低間隙聚頻磁鐵(UN)係整合於該儲存環(SR)中;該聚頻磁鐵(UN)具有8至24mm之聚頻磁鐵週期及具有該聚頻磁鐵週期之大倍數的長度。
TW105127248A 2015-08-28 2016-08-25 用於在極紫外線範圍中的測量應用的小型光源 TWI609401B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
EP15182848.0A EP3136828A1 (en) 2015-08-28 2015-08-28 A compact light source for metrology applications in the euv range

Publications (2)

Publication Number Publication Date
TW201715556A TW201715556A (zh) 2017-05-01
TWI609401B true TWI609401B (zh) 2017-12-21

Family

ID=54072664

Family Applications (1)

Application Number Title Priority Date Filing Date
TW105127248A TWI609401B (zh) 2015-08-28 2016-08-25 用於在極紫外線範圍中的測量應用的小型光源

Country Status (6)

Country Link
US (1) US10201066B2 (zh)
EP (2) EP3136828A1 (zh)
JP (1) JP6611915B2 (zh)
KR (1) KR102038510B1 (zh)
TW (1) TWI609401B (zh)
WO (1) WO2017036840A1 (zh)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2018072913A1 (en) 2016-10-20 2018-04-26 Paul Scherrer Institut A multi-undulator spiral compact light source

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101581867A (zh) * 2009-04-07 2009-11-18 中国工程物理研究院激光聚变研究中心 基于手性液晶的飞秒光子储存环
US20100092880A1 (en) * 2008-10-14 2010-04-15 Synopsys, Inc. Method and apparatus for using a synchrotron as a source in extreme ultraviolet lithography
TW201415172A (zh) * 2012-08-14 2014-04-16 Kla Tencor Corp 使用小型同步加速器輻射光源之光學特性化系統

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0794394A (ja) * 1993-09-22 1995-04-07 Dainippon Printing Co Ltd 微細パターン露光方法
JPH10223400A (ja) * 1997-01-31 1998-08-21 Kawasaki Heavy Ind Ltd 医療用粒子加速器
JP3219376B2 (ja) * 1997-02-18 2001-10-15 川崎重工業株式会社 低エミッタンス電子蓄積リング
JP2001076899A (ja) * 1999-09-07 2001-03-23 Hiroshige Yamada 荷電粒子入射蓄積装置
WO2012171674A1 (en) 2011-06-15 2012-12-20 Asml Netherlands B.V. Multilayer mirror, method of producing a multilayer mirror and lithographic apparatus

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20100092880A1 (en) * 2008-10-14 2010-04-15 Synopsys, Inc. Method and apparatus for using a synchrotron as a source in extreme ultraviolet lithography
CN101581867A (zh) * 2009-04-07 2009-11-18 中国工程物理研究院激光聚变研究中心 基于手性液晶的飞秒光子储存环
TW201415172A (zh) * 2012-08-14 2014-04-16 Kla Tencor Corp 使用小型同步加速器輻射光源之光學特性化系統

Also Published As

Publication number Publication date
US20180249568A1 (en) 2018-08-30
US10201066B2 (en) 2019-02-05
KR102038510B1 (ko) 2019-10-30
EP3342260A1 (en) 2018-07-04
WO2017036840A1 (en) 2017-03-09
EP3136828A1 (en) 2017-03-01
TW201715556A (zh) 2017-05-01
EP3342260B1 (en) 2019-06-19
KR20180033563A (ko) 2018-04-03
JP2018533043A (ja) 2018-11-08
JP6611915B2 (ja) 2019-11-27

Similar Documents

Publication Publication Date Title
TWI476811B (zh) 光子源、度量衡裝置、微影系統及元件製造方法
JP2006332654A5 (zh)
JP2006332654A (ja) 放射システム及びリソグラフィ装置
JP2019536995A (ja) 検査装置用の照明源、検査装置、及び検査方法
US9986628B2 (en) Method and apparatus for generating radiation
JP2009259447A (ja) 極端紫外光源装置
NL2004085A (en) Radiation source, lithographic apparatus, and device manufacturing method.
JP2015529968A (ja) 静電クランプ、リソグラフィ装置及び方法
Mizoguchi et al. High power LPP-EUV source with long collector mirror lifetime for high volume semiconductor manufacturing
TWI609401B (zh) 用於在極紫外線範圍中的測量應用的小型光源
CN102163007B (zh) 利用光电效应提高分辨率的光刻机成像系统及其成像方法
CN110088682B (zh) 辐射源设备和方法、光刻设备和检查设备
US11968772B2 (en) Optical etendue matching methods for extreme ultraviolet metrology
US7274432B2 (en) Radiation system, lithographic apparatus, device manufacturing method, and device manufactured thereby
Newnam Development of free-electron lasers for XUV projection lithography
US6903354B2 (en) Extreme ultraviolet transition oscillator
Wang et al. Water-window x-ray emission from laser-produced Au plasma under optimal target thickness and focus conditions
Grandsaert Jr Synchrotrons as a Source for Soft X-Ray Lithography
Sukhikh et al. Experimental investigations of backward transition radiation from flat target in extreme ultraviolet region
Sukhikh et al. Experimental investigations of backward transition radiation characteristics in extreme ultraviolet region
Schleifer et al. Microstructured snow targets for high energy quasi-monoenergetic proton acceleration
Zhou et al. Fabrication of the micro accelerator platform for x-ray applications
CXRO 2016 International Workshop on EUV Lithography
JPS6265420A (ja) X線露光方法
TW201330702A (zh) 輻射源元件、微影裝置及元件製造方法