JP2018533043A - Euv領域での測定用のコンパクトな光源 - Google Patents

Euv領域での測定用のコンパクトな光源 Download PDF

Info

Publication number
JP2018533043A
JP2018533043A JP2018510938A JP2018510938A JP2018533043A JP 2018533043 A JP2018533043 A JP 2018533043A JP 2018510938 A JP2018510938 A JP 2018510938A JP 2018510938 A JP2018510938 A JP 2018510938A JP 2018533043 A JP2018533043 A JP 2018533043A
Authority
JP
Japan
Prior art keywords
ring
storage ring
light source
undulator
booster
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2018510938A
Other languages
English (en)
Other versions
JP6611915B2 (ja
Inventor
エキンジ ヤシン
エキンジ ヤシン
リフキン レオニド
リフキン レオニド
ヴルリヒ アルビン
ヴルリヒ アルビン
シュトロイン アンドレアス
シュトロイン アンドレアス
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Scherrer Paul Institut
Original Assignee
Scherrer Paul Institut
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Scherrer Paul Institut filed Critical Scherrer Paul Institut
Publication of JP2018533043A publication Critical patent/JP2018533043A/ja
Application granted granted Critical
Publication of JP6611915B2 publication Critical patent/JP6611915B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05GX-RAY TECHNIQUE
    • H05G2/00Apparatus or processes specially adapted for producing X-rays, not involving X-ray tubes, e.g. involving generation of a plasma
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H13/00Magnetic resonance accelerators; Cyclotrons
    • H05H13/04Synchrotrons
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H7/00Details of devices of the types covered by groups H05H9/00, H05H11/00, H05H13/00
    • H05H7/04Magnet systems, e.g. undulators, wigglers; Energisation thereof
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H7/00Details of devices of the types covered by groups H05H9/00, H05H11/00, H05H13/00
    • H05H7/08Arrangements for injecting particles into orbits
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H7/00Details of devices of the types covered by groups H05H9/00, H05H11/00, H05H13/00
    • H05H7/10Arrangements for ejecting particles from orbits

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Optics & Photonics (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Particle Accelerators (AREA)
  • Investigating Materials By The Use Of Optical Means Adapted For Particular Applications (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)

Abstract

本発明の課題は、コヒーレント散乱手法を用いたEUV領域での測定のために、十分なパワー、優れた安定性および高コヒーレンス性を提供できる、貯蔵リングに基づくコンパクトかつ費用対効果が高い光源を達成することである。前記課題は本発明では、アクティニックマスク検査を行うための特性を有する13.5nmの光を供給するために貯蔵リング(SR)とブースタリング(BR)と線形加速器とアンジュレータ(UN)とを備えた、電子ビーム加速器技術に基づくコンパクトな光源(LS)であって、a)電子ビームの強度は少なくとも10−3のレベルに維持され、b)貯蔵リング(SR)が高輝度および大量のコヒーレント成分の光を達成すべく小さいエミッタンスを生成するために、コンパクトな多偏向磁石構造体が使用され、c)所要床面スペースを小さく抑え、かつ干渉作用を低減させるため、ブースタリング(BR)と貯蔵リング(SR)とは同心の平面視配置でそれぞれ異なる高さに配置されており、d)高い強度安定性を達成し、かつ、弾性ビームガス散乱およびタウシェック散乱に起因するライフタイム短縮を克服するため、準連続入射ないしは増強されたトップアップ入射が行われ、e)貯蔵リング(SR)への入射とブースタリング(BR)からの取出とは、ブースタリング(BR)および貯蔵リング(SR)の平行な直線区間軌道によって定まる平面内において斜めに行われ、f)ブースタリング(BR)から貯蔵リング(SR)へトップアップ入射を行うため、2つの反対称的に配置されたランバートソンセプタムが使用される光源によって解決される。かかる措置によって、従来の研究室またはその保守エリアに収まり、かつ、非常に低い保守要件および低い所有コストを有する、非常にコンパクトな光源が達成される。アンジュレータによって放出される光の波長は、6〜30nmの範囲である。その光ビームは、10−3の領域において著しく高い強度安定性を有し、マスクにおいて100mWを超える十分なパワーと、10kW/mm2/srを超える高輝度を有する。電子ビームエネルギー、アンジュレータ周期長、アンジュレータ周期の数のパラメータ空間は、レンズレス測定用途およびコヒーレント散乱手法に用いられるために必要な波長、光子束およびコヒーレンスを提供するために最適となっている。同心リングのコンセプトにより、光源の設置床面積を最小にすることができる。貯蔵リングへの増強されたトップアップ入射と低ギャップのアンジュレータとの組み合わせにより、著しく高い強度安定性を達成することができ、かつ、コヒーレント散乱手法の特定の用途に係るコヒーレンス要請を満たすことができる。

Description

本発明は、EUV領域での測定用の加速器技術に基づくコンパクトな光源に関し、特に、コヒーレント散乱法を利用したアクティニックマスク検査用に最適化された光源に関する。
既存の技術を用いた測定は、ますます困難に直面してきている。オンウェハ測定、すなわち、薄膜、パターニングされたフォトレジストから集積デバイスに及ぶナノ構造の測定は、たとえばCD(限界寸法すなわち線幅)、LER(ラインエッジラフネス)、高さ、表面粗さ、欠陥、厚さ、側壁角度、材料組成、およびオーバーレイ誤差等の構造パラメータを監視および制御するために重要である。電子顕微鏡の他、さらに光学計測(結像、散乱、およびエリプソメトリー)もよく使用されている。光学スキャトロメトリは、CDを求めるために、強度における分光変化を測定するものである。エリプソメトリーは厚さおよび組成を測定するものである。X線測定法は、2.5Dおよび3Dのアーキテクチャの大まかな形状のために用いられる。
寸法の縮小とFinFET(すなわち高い構造)の導入と共に、これらの手法はその限界に近づいてきている。産業の現在の戦略方針は、ハイブリッド測定法フローおよび網羅的なモデリングである。さらに進歩するためには、新規のブレークスルー的なアプローチが必要である。将来の材料(たとえばグラフェン)については、産業界において測定ソリューションが欠けている。指向性自己組織化(DSA)は非常に有望な技術であり、そのランダム性に起因してオーバーレイ計測法を必要とするので、新規のソリューションが必要となる。よって、将来の進歩がこの「計測法ギャップ」によって阻害され得る可能性が非常に高い。
極紫外線リソグラフィ(EUVL)は、半導体デバイスの大量生産のためにサブ22nmHP(サブ7nm技術ノード)のための、費用対効果が高い次世代の最も現実味のあるリソグラフィと考えられている。EUVLは、投影光学系およびマスクの双方のための反射性光学部品に基づいている。
従来技術の193nm(ArF)光リソグラフィから13.5nmEUVリソグラフィへの大きなステップは、EUV波長領域に対応した光学素子を使用できるようになったことを引き金になされたものである。光子ビームの操作のために屈折光学系を使用する193nm領域とは対照的に、EUV領域用には反射光学系のみが使用できる。13.5nm波長において70%の反射率と2%のBWとを有するMo‐Siコーティングは、ミラーおよびマスクの双方に適合された技術である。これらの多層は、プロセスに更なる複雑性を追加するものである。光学系およびマスクの平坦性に厳しい要求が課されている。
EUVマスクは、基板と、基板上の多層コーティングと、多層上にパターニングされた吸収構造部(たとえばTaN)とから成り、これらの層は全て、検出およびキャラクタリゼーションしてマスクを廃棄し、または走査部で使用される前に隔絶された欠陥を修復しなければならない、幾つかの欠陥を有し得る。よって、EUVマスク検査ツールは重要な要素となってきており、特に、多層ミラー内の奥深くに位置する歪みによって生じる位相誤差の検出も重要である。マスク検査は多層ブランクスと、パターニングされたマスクと、最終品のマスクとにおいて、ペリクルを通じて必要とされる。
かかるマスク検査のためには、たとえばUV顕微視、AFM、SEM等の他の測定手法が使用されているが、アクティニックマスク検査すなわちEUV光を用いた測定法は、欠くことのできない手法になっている。EUV光だけが、共鳴性の多層構造の奥深くまで浸入することができる。従来技術としては、SEMATECHアクティニック検査ツール(シャープ)、フォトマスク調査専用の高解像EUVフレネルゾーンプレート顕微鏡がある。市販のマスクレビューツールは、カールツァイスによって開発されている。すなわちAIMSツールである。KLA Tencor社等の幾つかの工業会社によって他のマスク検査ツールが開発されており、同社の公式声明によれば、この開発は終了している、とのことである。
上述のレンズ方式の手法の他、たとえばコヒーレント散乱(回折)法およびコヒーレント散乱イメージング等のレンズレス手法も、アクティニックマスク検査のために実施できることが明らかとなっている。これらの手法は高価な光学系を使用せず、また、位相検索アルゴリズムを使用した欠陥イメージングまたは欠陥検査のために更なる利点を奏する。
EUV測定法の主な問題の1つは、高輝度および高安定性のEUV光源を発見することである。EUV光は、放電プラズマ生成(DPP)またはレーザプラズマ生成(LPP)によって高温かつ高密度のプラズマからの自然放出によって得ることができる。走査部については、100W超のLPP源が開発中であり、実用化可能であると考えられているが、格段に小さいパワーでより高輝度を達成するために同様の方式を使用してより小さいドロップレットを用いることは、極度に困難である。安定性、動作可能時間およびデブリが最重要問題となる。高調波生成(HHG)源も使用することができる。この高コヒーレント生成源の問題は、安定性およびパワーである。要約すると、フォトマスクを妥当な時間内で走査するためには、DPP源およびLPP源の輝度(<100W/mm/srd)および安定性は制限されてしまう。ここで挙げた輝度は、走査顕微視には十分である。これらの生成源は、格段に高輝度および高コヒーレンスを要求するコヒーレント散乱手法には適していない。HHG源は非常に高い輝度(コヒーレンス)を有するが、フラックスがボトルネックとなり、これはμW領域内である。この生成源はコヒーレント散乱手法のためには実用化可能であるが、マスク検査を妥当な時間内で行うためには、フラックスは10mWを超えなければならない。よってこれらの生成源は、当該産業の目標仕様の中でフォトマスク測定における用途のためには、有用ではない。
マスク測定(すなわち、低解像度かつ高スループットで欠陥を特定するためのマスク検査、および、低速かつ高解像度で欠陥をキャラクタリゼーションするためのマスクレビュー)は、将来の進歩を可能にするために決定的な重要性を有する。特にEUVリソグラフィは、マスクの欠陥を評価するために反射結像技術を必要とする。特に、多層部内または多層部下方にある欠陥は、従来の手法では検出することができない。よって、アクティニック測定法、すなわち、13.5nmのEUV光(92eV)と6°の入射角での反射(製造における照射条件)とを用いる検査およびレビューが、不可欠であると考えられている。したがってEUVマスク測定は、レビューおよび検査の双方にとって重大であり、直ちに解決することが要請されている。
オンウェハ測定手法およびマスク測定手法の双方において、限定列挙ではないが光学フルフィールドイメージング、走査顕微視、散乱、コヒーレント散乱、およびコヒーレント回折イメージングも含めて、短波長すなわち30nm〜6nmの波長を有するEUV光を用いることが解決手段となり得る。しかしかかる手法は、光学的手法の要求を満たす光源を必要とする。たとえば高調波生成源および上述のレーザアシストプラズマ源等の従来技術の光源の主な課題は、高輝度、高コヒーレンス、高安定性および高フラックス、さらに、妥当なサイズおよび高い動作信頼性である。設置コストが低いこと、および保守コストが低いことも、もちろん重要である。
上記機能のうち幾つかを果たすシステムは多く開示または製造されているにもかかわらず、上記機能全てを果たすシステムは存在しない。
たとえば貯蔵リングおよび自由電子レーザ等の加速器方式の光源が高いフラックスおよび高コヒーレンスを達成することができ、世界規模でマスク検査も含めた種々の用途で使用されている。その欠点は、かかる光源のサイズが比較的大きいことである。コンパクトなシンクロトロンも開示されており、それらのうち幾つかは、過去10年の内に製造されている。たとえば、これまでに偏向磁石またはウィグラーのいずれか一方からのEUV光の生成(たとえば米国特許第8749179号明細書(US 8,749,179 B1)を参照のこと)が開示されている。これらは双方とも、比較的低輝度かつ広幅のスペクトルで光を放出し、この広幅のスペクトルから必要な波長をフィルタリングにより取り出す必要がある。さらに、入射の期間が長いことと、貯蔵リングにおける電子ビームの遅延とにより、強度は一定ではない。その上、その構成はツールの全設置床面積の削減に重点を置くものでもない。最も重要なのは、かかるツールがレンズ方式の手法を用いたEUVアクティニックマスク測定法の要求を満たすことである。これは、走査顕微視およびフルフィールドイメージングに必要とされる輝度を十分に提供する。ビーム強度の変動は、走査速度の調整またはビーム強度の減衰の制御によって補正される。しかし、かかる光源は、コヒーレント散乱手法に必要なあまり高い輝度および高コヒーレンスを出力することができない。その上、光子強度の変化は、ミラーにかかる熱負荷も変化させることとなり、これによりビーム位置が不安定になる。コヒーレント散乱イメージングでは、ビーム安定性要求が非常に厳しい。
よって本発明の課題は、EUV領域での測定手法のために、特に、限定列挙ではないがコヒーレント散乱手法のために、十分なパワー、安定性、輝度およびコヒーレンス性を提供できる、貯蔵リングに基づくコンパクトかつ費用対効果が高い光源を達成することである。
前記課題は本発明では、アクティニックマスク検査を行うための特性を有する13.5nmの光を供給するために貯蔵リングとブースタリングと線形加速器とアンジュレータとを備えた、電子ビーム加速器技術に基づくコンパクトな光源であって、
a)電子ビームの強度は少なくとも10−3のレベルに維持され、
b)貯蔵リングが高輝度および大量のコヒーレント成分の光を達成すべく小さいエミッタンスを生成するために、コンパクトな多偏向磁石構造体が使用され、
c)所要床面スペースを小さく抑え、かつ干渉作用を低減させるため、ブースタリングと貯蔵リングとは同心の平面視配置でそれぞれ異なる高さに配置されており、
d)高い強度安定性を達成し、かつ、弾性ビームガス散乱およびタウシェック散乱に起因するライフタイム短縮を克服するため、準連続入射ないしは増強されたトップアップ入射が行われ、
e)貯蔵リングへの入射とブースタリングからの取出とは、ブースタリングおよび貯蔵リングの平行な直線区間軌道によって定まる平面内において斜めに行われ、
f)ブースタリングから貯蔵リングへトップアップ入射を行うため、2つの反対称的に配置されたランバートソンセプタムが使用される
光源によって解決される。
かかる措置によって、従来の研究室またはその保守エリアに収まり、かつ、低い保守要件および低い所有コストのために構成された、十分にコンパクトな光源が達成される。アンジュレータによって放出される光の波長は、6〜30nmの範囲である。その光ビームは、10−3の領域において著しく高い安定性を有し、100mWを超える領域の十分な中央円錐パワーを有し、かつ、光源レベルにおいて100kW/mm/srを超える高輝度を有し、マスクレベルにおいて伝送光学系がビームの少なくとも10%を送る。これらの値は、コヒーレント散乱手法を使用してフォトマスクの100cmフィールド面積を妥当な時間内で走査した場合に基づくものである。マスクレビューのための所要フラックスと、レンズ方式の測定手法のための所要コヒーレンスとは、その仕様より緩和するので、本方法によって実用化可能となる。
よって、電子ビームエネルギー、アンジュレータ周期長、アンジュレータ周期の数のパラメータ空間は、最小のコストおよび所要スペースで測定に用いられるために必要な波長および光子束を提供するために最適となっている。ビーム安定性とコンパクト性とを同時に実現するための同心リングコンセプトを提案するコンパクトな光源は、他には無い。
従来の研究室およびその保守エリアに収まるためには、アーキテクチャは約50cmである設置床面積を有するように構成されている。
2つの長い直線区間を有するレーストラック構成の、このように著しく小さい設置床面積は、貯蔵リング、ブースタおよび線形加速器の3次元配置によって達成される。かかる措置によって、ブースタリングが貯蔵リングビームに及ぼす電磁妨害も緩和される。さらに、小さい多機能磁石が貯蔵リングおよびブースタリングの構造を強化する。
その結果として得られるアンジュレータの直線区間長に基づき、偏向磁石および4極の最大可能な磁界の技術的限界と工業上の所要スペースとを尊重した、貯蔵リングの最適な配置が達成された。
コンパクトな光源に係る新規性として、本発明は、貯蔵リングへ準連続的入射ないしは増強されたトップアップ入射を行うための完全なエネルギーブースタシンクロトロンリングを含む。トップアップ入射は必要な強度安定性を達成するためだけに必要なのではなく、タウシェック散乱および弾性ビームガス散乱に起因するライフタイム短縮を克服するためにも必要である。電子ビームのエネルギーが低いこと、および、アンジュレータの垂直方向のアパーチャギャップが小さいことは双方とも、これらの作用を強力に増幅させる。
貯蔵リングへの入射とブースタシンクロトロンリングからの取出とは、ブースタリングおよび貯蔵リングの平行な直線区間軌道によって定まる傾いた平面内において行われる。貯蔵リングへ入射するためには、蓄積ビームが入射過程中は影響を受けない状態に維持するパルス多極システムが使用される。キッカーの立ち上がり時間および立ち下がり時間のためにリング充填物にギャップを設ける必要は無くなり、このことによってこの充填物の均一性が向上して、全電流が一定である場合のバンチあたりの荷電が低減し、これにより集中現象が緩和して光源安定性がさらに改善する。
線形加速器(ライナック)は、貯蔵リングの構造内に完全に収まる。かかる措置は、光源の設置床面積の縮小の要請にも格段に寄与する。
したがって、本発明の光源は、コヒーレント回折イメージング(CDI)で要求されている著しく高い強度安定性を具備する最初のEUV光源である。
従属請求項に本発明の他の有利な実施形態が掲げられている。
以下、添付の図面を参照して、本発明の有利な実施形態を説明する。
一例として、16mmの長さの周期を200個有するアンジュレータの電子エネルギーに依存するビーム電流の変動を示す図である。 電子エネルギーの同一領域に対応する、関連する磁界を示す図である。 アクティニックマスク検査のための特性を有する光を供給するためのコンパクトな光源の基本的構成を示す概略図である。 図3のコンパクトな光源の3D全体図である。
技術背景を理解しやすくするため、CDIを用いたアクティニックマスク検査に係る光子ビーム要件を先に説明する。
CDIを用いたマスク検査の原理の検証を、SLS(パウル・シェラー研究所(スイス国5232、フィリゲンPSI)のスイス光源(Swiss Light Source))のXIL-IIビームラインで行った。表1に、CDI方式のアクティニックマスク検査ツールに係る光子ビーム要件をまとめた。ここで留意すべき点は、これらの値は大まかな推定値であるということである。要件のより正確な推定値を得るためには、光学系を含めたシステム全体の概念的な構成、測定手法、再構成アルゴリズムおよび検出器仕様が必要となる。また、非常に高い確率のシナリオは、1つの光源が複数のツールに同時に用いられる、というものである。現在の最良の選択肢は、1つのアンジュレータを使用して、そのビームをビームスプリッタによって分配することになるであろう。
表1:マスクレベルでCDIを用いてアクティニックマスク検査を行うための光子ビーム要件
Figure 2018533043
13.5nmの波長でCDIを用いてアクティニックマスク検査を行うためのこの要件に基づいて、光源パラメータの最初の最適化(アンジュレータおよびコンパクトな貯蔵リング)を行った。その計算は、0.1%の帯域幅で毎秒光子数が1.3×1015の所要光子束に基づいている。
コンパクトな光源を達成するための重要な関係式は、以下の通りである:
Figure 2018533043
ここでλは、放出される光の波長を表し、λはアンジュレータの周期長であり、γは(2)によって定義されたローレンツ係数であり、nは(3)によって定義された0.1%の帯域幅での毎秒光子数であり、Kは(4)によって定義されたアンジュレータパラメータである。Nは、アンジュレータ周期の数を表し、かつ、Iは電子ビームの電流である。
図1は、条件(1)および(3)が満たされた場合において、アンジュレータ周期長λが16mmである場合の、電子エネルギーに依存するビーム電流の変動を示す図である。このアンジュレータ周期長λは、保守的な値として選択されたものである。Kが0に近づくと、ビーム電流Iは無限に向かって条件(1)を満たす。しかし、この極からむしろ中程度の距離の方が、妥当な電流を達成することができる。考察のため、ここではエネルギーを430MeVに選択した。このエネルギー限界を超えると、電流低下の増加がそれほど多くはなくなる。
図2は、電子エネルギーの(図1と)同一領域に対応する、関連する磁界Bを示す図である。
結論としては、光源コンセプトの開発に際して、16mmのアンジュレータ周期長を選択した。他のパラメータは全て、この選択の結果である。コンパクトな貯蔵リングのエネルギーは430MeVとなり、アンジュレータ磁界は0.42Tとなる。
周期長が短く高磁界のアンジュレータについては、幾つかの技術的限界が存在する。16mmのアンジュレータ周期長は、現在のところ通例達成できる限界である。さらに周期長が短くなることは、数式(1)から明らかであるようにビームエネルギーが低くなるという利点を奏するが、他方で、妥当な大きさのKパラメータ(4)を達成するためには、アンジュレータ磁界強度を高くする必要がある。また、Kパラメータが過度に低いと、数式(3)によって定義された所要光子束に達するために要するビーム電流が多くなる。
クライオアンジュレータによって、より高い磁界との組み合わせで周期長をさらに短くすることも可能になるが、クライオアンジュレータは複雑さを増すこととなり、この複雑さが信頼性に影響を及ぼすので、これについてはここでは考察しない。
必要な光子数は、150mAのビーム電流で達成することができる。これは、有害な集中現象を回避するために十分な低さである。結論として、430MeVのエネルギーが、コンパクトな貯蔵リングを可能とするために妥当な小ささとなる。アンジュレータの0.42Tの磁界は、現在の標準の中では良好である。K値は0.63となり、よって、高調波を増幅しないようにするために十分に小さい値である。
アンジュレータおよび電子ビームのここで選択されたパラメータを、表2にまとめている。
表2:アンジュレータおよび電子ビームパラメータ
Figure 2018533043
CDI法は電子ビームの高い強度安定性を要求するので、トップアップ入射が必須となる。弾性ビームガス散乱とトゥシェック散乱とに起因するライフタイム短縮を克服するためには、トップアップ入射の増強または準連続入射が必要となる。双方とも、貯蔵リングエネルギーを低くして、かつアンジュレータを小さくすることによって、強力に強化される。
図3は、アクティニックマスク検査のための特性を有する13.5nmの光を供給するためのコンパクトな光源2を示す概略的な平面図である。もちろん、特定の構成要素の設計を調整することによって、放出される光が他の主波長を有することも可能である。コンパクトな光源2は、貯蔵リングSRと、同心のブースタシンクロトロンBOと、事前線形加速器LIとを備えている。図3にはまた、2つの反対称的に配置されたランバートソンセプタムYEX,YINを備えたブースタ取出システム4および貯蔵リング入射システム6の概略的な側面図も含まれている。YEXは取出セプタムを表しており、YINは入射セプタムを表しており、KEXは取出キッカーを表しており、KINは非線形の入射キッカーを表している。図4は、貯蔵リングSRと、ブースタシンクロトロンBOと、伝送路TLを有する事前線形加速器LIと、アンジュレータUNと、加速空洞CYとを備えたコンパクトな光源2の3次元図である。
ブースタシンクロトロンBOの構成は、貯蔵リングSRのレーストラック形状に追従している。所要床面スペースは最小でなければならないので、ビーム伝送を促進するために横方向距離を最小にし、かつ、図3および図4に示されたブースタシンクロトロンBOと貯蔵リングSRとの間の離隔距離を最大限にするために垂直方向距離を大きくして、ブースタシンクロトロンBOを貯蔵リングSRの下方に同心に配置する。このことによって、循環型のブースタシンクロトロンBOが貯蔵リングSRにおける電子ビームに及ぼす電磁妨害が緩和する。
傾いた取出システム4および入射システム6は、ブースタシンクロトロンBOおよび貯蔵リングSRの2つの直線区間を結ぶ、2つの反対称的に配置されたランバートソンセプタムYEX,YINによって構成されている。電子ビームは両セプタムYEX,YIN内において水平方向になっており、垂直方向に偏向される。電子ビームは貯蔵リング入射セプタムYINから小さい勾配で多極入射キッカーKINへ導かれて、貯蔵リングアクセプタンス内で捕捉される。
上記にて開示したこのコンパクトな光源2の革新的な特徴、特にこれら全ての組み合わせは、低エネルギーの貯蔵リングをベースとしたコンパクトな光源には今まで適用されていなかった。ここで開示した解決手段では、上述のような複雑なシステムの内因的な問題全てが解決されている。
アンジュレータUNについては、永久磁石材料であるDy強化NdFeBを選択した。これは、B=1.25Tの残留磁界を提供するものである。強化材料を用いると、‐SLSのU15アンジュレータ(ブロック高さ16.5〜26.5mm、極幅20〜30mm)と比較して‐8.5mmのギャップではB=0.47Tの磁界を達成することができ、9mmではB=0.42Tを達成することができる。
以下の表3は、主要なビームパラメータ、光源パラメータおよび光特性をまとめたものである。
表3:アクティニックマスク検査用のCOSAMI(Compact EUV Source for Actinic Mask Inspection)のビームパラメータ、光源パラメータおよび光特性
Figure 2018533043
+)ビーム内散乱爆発も含む
Figure 2018533043
Figure 2018533043
参考文献:
[1]A. Wrulich et al. Feasibility Study for COSAMI - a Compact EUV Source for Actinic Mask Inspection with coherent diffraction imaging methods
[2]A. Streun, OPA, http://ados.web.psi.ch/opa/
[3]A. Streun, : “COSAMI lattices: ring, booster and transfer line”, Internal note, PSI June 28, 2016.

Claims (5)

  1. アクティニックマスク検査を行うための特性を有する13.5nmの光を供給するために貯蔵リング(SR)とブースタリング(BR)と線形加速器とアンジュレータ(UN)とを備えた、電子ビーム加速器技術に基づくコンパクトな光源(LS)であって、
    a)電子ビームの強度は少なくとも10−3のレベルに維持され、
    b)前記貯蔵リング(SR)が高輝度および大量のコヒーレント成分の光を達成すべく小さいエミッタンスを生成するために、コンパクトな多偏向磁石構造体が使用され、
    c)所要床面スペースを小さく抑え、かつ干渉作用を低減させるため、前記ブースタリング(BR)と前記貯蔵リング(SR)とは同心の平面視配置でそれぞれ異なる高さに配置されており、
    d)高い強度安定性を達成し、かつ、弾性ビームガス散乱およびタウシェック散乱に起因するライフタイム短縮を克服するため、準連続入射ないしは増強されたトップアップ入射が行われ、
    e)前記貯蔵リング(SR)への入射と前記ブースタリング(BR)からの取出とは、当該ブースタリング(BR)および当該貯蔵リング(SR)の平行な直線区間軌道によって定まる平面内において斜めに行われ、
    f)前記ブースタリング(BR)から前記貯蔵リング(SR)へトップアップ入射を行うため、2つの反対称的に配置されたランバートソンセプタムが使用される、
    コンパクトな光源(LS)。
  2. 前記ブースタリング(BR)と前記貯蔵リング(SR)とは、ビーム伝送を促進するために小さい横方向の位置ずれで、かつ、干渉作用を低減するために垂直方向の位置ずれを大きくして、同心で配置されている、
    請求項1記載のコンパクトな光源(LS)。
  3. 前記貯蔵リング(SR)へ前記増強されたトップアップ入射を行う際に、バンチ電流を低減すべく、かつ、所要の高い強度および位置安定性を達成すべく、リング充填物にギャップを回避するため、多極キッカーが使用されている、
    請求項1または2記載のコンパクトな光源(LS)。
  4. 設置床面積は全部で約50mであり、
    2つの長い直線区間を有するレーストラック構成の前記設置床面積は、前記貯蔵リング(SR)および前記ブースタリング(BR)の構造のために多機能磁石を用いて、かつ、2つの反対称的に配置されたランバートソンセプタムを有する前記ブースタリング(BR)から前記貯蔵リング(SR)へのコンパクトな発散抑圧するビーム伝送を用いて、前記貯蔵リング(SR)への入射を1つの非線形のキッカーだけで行うことにより、前記貯蔵リング(SR)と前記ブースタリング(BR)と前記線形加速器(LI)との3次元配置によって達成されている、
    請求項1から3までのいずれか1項記載のコンパクトな光源(LS)。
  5. a)前記貯蔵リング(SR)は、前記ブースタリング(BR)から増強されたトップアップ入射によって、加速された電子を受け取ることにより、ビーム強度を10−3のレベルに安定的に維持して、低ギャップの前記アンジュレータ(UN)との組み合わせで低エネルギーの前記貯蔵リングに起因するライフタイム寿命を克服し、前記貯蔵リング(SR)における電子ビームの電子エネルギーは、200〜500MeVの範囲であり、かつ、当該電子ビームの電流は200mA以下の任意の値であり、
    b)前記ブースタリング(BR)は、線形加速器から入射通路を介して前記加速された電子を受け取る増強されたトップアップ入射のために構成されており、
    c)同心の前記ブースタリングと前記貯蔵リングとの配置は、ビーム伝送を促進するために僅かにのみ横方向にずれており、かつ、循環型の前記ブースタリングが前記貯蔵リングにおける電子ビームに及ぼす干渉作用を最小にするために垂直方向に大きくずれており、ビーム安定性と機械信頼性との間で妥協せずに著しくコンパクトな光源を実現することができ、
    d)前記低ギャップのアンジュレータ(UN)は前記貯蔵リング(SR)に組み込まれており、前記アンジュレータ(UN)は8〜24mmのアンジュレータ周期と、当該アンジュレータ周期の多数倍の長さとを有する、
    請求項1から4までのいずれか1項記載のコンパクトな光源(LS)。
JP2018510938A 2015-08-28 2016-08-22 Euv領域での測定用のコンパクトな光源 Active JP6611915B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
EP15182848.0A EP3136828A1 (en) 2015-08-28 2015-08-28 A compact light source for metrology applications in the euv range
EP15182848.0 2015-08-28
PCT/EP2016/069809 WO2017036840A1 (en) 2015-08-28 2016-08-22 A compact light source for metrology applications in the euv range

Publications (2)

Publication Number Publication Date
JP2018533043A true JP2018533043A (ja) 2018-11-08
JP6611915B2 JP6611915B2 (ja) 2019-11-27

Family

ID=54072664

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2018510938A Active JP6611915B2 (ja) 2015-08-28 2016-08-22 Euv領域での測定用のコンパクトな光源

Country Status (6)

Country Link
US (1) US10201066B2 (ja)
EP (2) EP3136828A1 (ja)
JP (1) JP6611915B2 (ja)
KR (1) KR102038510B1 (ja)
TW (1) TWI609401B (ja)
WO (1) WO2017036840A1 (ja)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2018072913A1 (en) 2016-10-20 2018-04-26 Paul Scherrer Institut A multi-undulator spiral compact light source

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0794394A (ja) * 1993-09-22 1995-04-07 Dainippon Printing Co Ltd 微細パターン露光方法
JPH10223400A (ja) * 1997-01-31 1998-08-21 Kawasaki Heavy Ind Ltd 医療用粒子加速器
JP2001076899A (ja) * 1999-09-07 2001-03-23 Hiroshige Yamada 荷電粒子入射蓄積装置
US20140048707A1 (en) * 2012-08-14 2014-02-20 Kla-Tencor Corporation Optical Characterization Systems Employing Compact Synchrotron Radiation Sources

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3219376B2 (ja) * 1997-02-18 2001-10-15 川崎重工業株式会社 低エミッタンス電子蓄積リング
US7973909B2 (en) 2008-10-14 2011-07-05 Synopsys, Inc. Method and apparatus for using a synchrotron as a source in extreme ultraviolet lithography
CN101581867B (zh) 2009-04-07 2010-11-03 中国工程物理研究院激光聚变研究中心 基于手性液晶的飞秒光子储存环
WO2012171674A1 (en) 2011-06-15 2012-12-20 Asml Netherlands B.V. Multilayer mirror, method of producing a multilayer mirror and lithographic apparatus

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0794394A (ja) * 1993-09-22 1995-04-07 Dainippon Printing Co Ltd 微細パターン露光方法
JPH10223400A (ja) * 1997-01-31 1998-08-21 Kawasaki Heavy Ind Ltd 医療用粒子加速器
JP2001076899A (ja) * 1999-09-07 2001-03-23 Hiroshige Yamada 荷電粒子入射蓄積装置
US20140048707A1 (en) * 2012-08-14 2014-02-20 Kla-Tencor Corporation Optical Characterization Systems Employing Compact Synchrotron Radiation Sources

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
D.C.OCKWELL ET AL.: "Synchrotron light as a sourse for extreme ultraviolet lithography", JOURNAL OF VACUUM SCIENCE & THCHNOLOGY.B.MICROELECTRONICS AND NANOMETER STRUCTURES PROCESSING, vol. 17, no. 6, JPN7019001813, November 1999 (1999-11-01), US, pages 3043 - 3046, XP012007873, ISSN: 0004050676, DOI: 10.1116/1.590951 *

Also Published As

Publication number Publication date
TWI609401B (zh) 2017-12-21
US20180249568A1 (en) 2018-08-30
US10201066B2 (en) 2019-02-05
KR102038510B1 (ko) 2019-10-30
EP3342260A1 (en) 2018-07-04
WO2017036840A1 (en) 2017-03-09
EP3136828A1 (en) 2017-03-01
TW201715556A (zh) 2017-05-01
EP3342260B1 (en) 2019-06-19
KR20180033563A (ko) 2018-04-03
JP6611915B2 (ja) 2019-11-27

Similar Documents

Publication Publication Date Title
Wachulak et al. “Water window” compact, table-top laser plasma soft X-ray sources based on a gas puff target
Tomie Tin laser-produced plasma as the light source for extreme ultraviolet lithography high-volume manufacturing: history, ideal plasma, present status, and prospects
US9986628B2 (en) Method and apparatus for generating radiation
JP2019536995A (ja) 検査装置用の照明源、検査装置、及び検査方法
TWI565369B (zh) 放電激發電漿型極紫外線輻射源之電源供應器
JP2019516127A (ja) 照明放射を発生するための方法及び装置
US9826614B1 (en) Compac X-ray source for semiconductor metrology
Steiniger et al. Building an optical free-electron laser in the Traveling-Wave Thomson-Scattering geometry
JP6611915B2 (ja) Euv領域での測定用のコンパクトな光源
Kolacek et al. Nano-structuring of solid surface by extreme ultraviolet Ar8+ laser
Rice Extreme ultraviolet (EUV) lithography
CN114641685A (zh) 具有低原子序数低温标靶的激光生成等离子体照明器
CN102163007B (zh) 利用光电效应提高分辨率的光刻机成像系统及其成像方法
Hara et al. Numerical evaluation of a 13.5-nm high-brightness microplasma extreme ultraviolet source
Newnam Development of free-electron lasers for XUV projection lithography
US11175597B2 (en) Pellicle structure for lithography mask
Wang et al. Water-window x-ray emission from laser-produced Au plasma under optimal target thickness and focus conditions
Sukhikh et al. Experimental investigations of backward transition radiation from flat target in extreme ultraviolet region
CXRO 2016 International Workshop on EUV Lithography
Bödewadt Transverse beam diagnostics for the XUV seeding experiment at FLASH
Harada et al. Development of standalone coherent EUV scatterometry microscope with high-harmonic-generation EUV source
Yin Characterization of Laser-Produced Plasmas as Light Sources for Extreme Ultraviolet Lithography and Beyond
Schleifer et al. Microstructured snow targets for high energy quasi-monoenergetic proton acceleration
TW202147724A (zh) 光源生成裝置、光源生成方法以及相關的檢測系統
Tomizawa et al. Review of advanced laser technologies for photocathode high-brightness guns

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20180426

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20190610

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20190906

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20190930

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20191029

R150 Certificate of patent or registration of utility model

Ref document number: 6611915

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250