TWI604613B - 包含延伸環繞一個或多個通道區之閘極電極的電晶體 - Google Patents

包含延伸環繞一個或多個通道區之閘極電極的電晶體 Download PDF

Info

Publication number
TWI604613B
TWI604613B TW103103474A TW103103474A TWI604613B TW I604613 B TWI604613 B TW I604613B TW 103103474 A TW103103474 A TW 103103474A TW 103103474 A TW103103474 A TW 103103474A TW I604613 B TWI604613 B TW I604613B
Authority
TW
Taiwan
Prior art keywords
semiconductor
layer
elongated semiconductor
lines
elongated
Prior art date
Application number
TW103103474A
Other languages
English (en)
Other versions
TW201501302A (zh
Inventor
史帝芬 費拉候史奇
詹 候尼史奇爾
Original Assignee
格羅方德半導體公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 格羅方德半導體公司 filed Critical 格羅方德半導體公司
Publication of TW201501302A publication Critical patent/TW201501302A/zh
Application granted granted Critical
Publication of TWI604613B publication Critical patent/TWI604613B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41775Source or drain electrodes for field effect devices characterised by the proximity or the relative position of the source or drain electrode and the gate electrode, e.g. the source or drain electrode separated from the gate electrode by side-walls or spreading around or above the gate electrode
    • H01L29/41783Raised source or drain electrodes self aligned with the gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • H01L29/42392Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor fully surrounding the channel, e.g. gate-all-around
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0642Isolation within the component, i.e. internal isolation
    • H01L29/0649Dielectric regions, e.g. SiO2 regions, air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/1025Channel region of field-effect devices
    • H01L29/1029Channel region of field-effect devices of field-effect transistors
    • H01L29/1033Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66742Thin film unipolar transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66742Thin film unipolar transistors
    • H01L29/66772Monocristalline silicon transistors on insulating substrates, e.g. quartz substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78603Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the insulating substrate or support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78606Thin film transistors, i.e. transistors with a channel being at least partly a thin film with supplementary region or layer in the thin film or in the insulated bulk substrate supporting it for controlling or increasing the safety of the device
    • H01L29/78609Thin film transistors, i.e. transistors with a channel being at least partly a thin film with supplementary region or layer in the thin film or in the insulated bulk substrate supporting it for controlling or increasing the safety of the device for preventing leakage current
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78696Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the structure of the channel, e.g. multichannel, transverse or longitudinal shape, length or width, doping structure, or the overlap or alignment between the channel and the gate, the source or the drain, or the contacting structure of the channel

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Ceramic Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Thin Film Transistor (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Description

包含延伸環繞一個或多個通道區之閘極電極的電晶體
本揭示內容大體有關於積體電路的領域,且更特別的是,有關於運用絕緣體上覆半導體技術於其中的積體電路。
積體電路通常包含特別是含有場效電晶體的大量電路元件。在場效電晶體中,隔開閘極電極與通道區的閘極絕緣層可提供閘極電極與通道區的電絕緣。在通道區附近,裝設源極區及汲極區。
通道區、源極區及汲極區皆以半導體材料形成,其中通道區的摻雜與源極區及汲極區的摻雜不同。取決於施加至閘極電極的電壓,場效電晶體可在開啟狀態、關閉狀態之間切換。
為了改善含有場效電晶體之積體電路的效能,已有人提議運用絕緣體上覆半導體技術。在絕緣體上覆半導體技術中,電晶體的源極、通道及汲極區皆以半導 體材料(例如,矽)的薄層形成。在半導體材料(例如,矽)的基板上方可裝設該半導體材料薄層以及用電絕緣材料(例如,二氧化矽)與基板隔開。相較於其中場效電晶體形成於塊狀半導體基板上的積體電路,絕緣體上覆半導體技術允許減少積體電路的寄生電容及洩露電流和對於離子化輻射的敏感性。
不過,絕緣體上覆半導體技術有一些與其相關的特定問題,包括所謂的浮體效應(floating body effect)。絕緣體上覆半導體場效電晶體的本體與基板形成電容器。在此電容器中,可能累積電荷以及造成反效應,包括場效電晶體的臨界電壓與先前狀態的相依性以及通道可控性降低。
US 2011/0291196 A1基於絕緣體上覆半導體基板揭示一種含有FinFET或三閘電晶體的半導體裝置。該半導體裝置包含上方形成形式通常為二氧化矽材料之埋藏絕緣層的矽基板。此外,提供多個半導體鰭片以及存在初始形成於埋藏絕緣層上之矽層的“殘留物”。該等鰭片包含源極區、汲極區及通道區。通道區沿著鰭片長度方向的延伸部由包含電極材料(例如,多晶矽)的閘極電極結構與間隔體結構決定。閘極介電材料在鰭片的側壁(以三閘電晶體而言,鰭片的正面)隔開電極材料與通道區的半導體材料。
相較於平面場效電晶體,其中通道區以鰭片形成的電晶體可具有改善的通道可控性。不過,對於某 些應用,例如根據22奈米及更高技術世代(technology node)形成積體電路中之電晶體者,進一步改善電晶體的通道可控性是合乎需要的。
本揭示內容提供一種含有具改良通道可控性之電晶體的半導體結構以及用以形成該電晶體的方法。
為供基本理解本發明的一些方面,提出以下簡化的總結。此總結並非本發明的窮舉式總覽。它不是想要確認本發明的關鍵或重要元件或者是描繪本發明的範疇。唯一的目的是要以簡要的形式提出一些概念作為以下更詳細之說明的前言。
揭示於本文的示意半導體結構包含基板與電晶體。該電晶體包含設於該基板上方之加高源極區及加高汲極區、一個或多個長形半導體線、閘極電極及閘極絕緣層。該一個或多個長形半導體線連接於該加高源極區與該加高汲極區之間。該一個或多個長形半導體線中之每一者的縱向係實質沿著垂直於該基板之厚度方向的水平方向延伸。該等長形半導體線各自包含通道區。該閘極電極延伸環繞該一個或多個長形半導體線的每個通道區。該閘極絕緣層設於該一個或多個長形半導體線中之每一者與該閘極電極之間。
揭示於本文的示意方法包括:形成一個或多個長形半導體線於電絕緣材料層上。提供該電絕緣材料層於材料與該電絕緣材料層不同的基板上方。該一個或多 個長形半導體線中之每一者的縱向係實質沿著垂直於該基板之厚度方向的水平方向延伸。移除該電絕緣材料層在該一個或多個長形半導體線中之每一者的中央部份下方的部份。在該一個或多個長形半導體線中之每一者的該中央部份上形成閘極絕緣層。形成延伸環繞該一個或多個長形半導體線中之每一者的該中央部份的閘極電極。該閘極絕緣層提供該等長形半導體線與該閘極電極的電絕緣。
100‧‧‧半導體結構
101‧‧‧基板
102‧‧‧電絕緣層
103‧‧‧半導體材料層
201、202、203‧‧‧長形半導體線
301‧‧‧虛設閘極絕緣層
302‧‧‧虛設閘極電極
303‧‧‧虛設閘極結構
304‧‧‧覆蓋層
401、402‧‧‧部份
403‧‧‧側壁間隔體
404‧‧‧加高源極區
405‧‧‧加高汲極區
501、502‧‧‧矽化物部份
503‧‧‧源極區
504‧‧‧汲極區
601、602‧‧‧部份
603‧‧‧介電層
604、605‧‧‧特徵
801‧‧‧開口
802‧‧‧虛線
803、804‧‧‧部件
901‧‧‧閘極絕緣層
902‧‧‧閘極電極
參考以下結合附圖的說明可明白本揭示內容,其中類似的元件係以相同的元件符號表示。
第1a、1b及1c圖的示意上視圖及橫截面圖圖示各自處於方法實施例之一階段的半導體結構實施例;第2a、2b及2c圖的示意上視圖及橫截面圖圖示各自處於方法實施例之一階段的半導體結構實施例;第3a、3b及3c圖的示意上視圖及橫截面圖圖示各自處於方法實施例之一階段的半導體結構實施例;第4a、4b及4c圖的示意上視圖及橫截面圖圖示各自處於方法實施例之一階段的半導體結構實施例;第5a、5b及5c圖的示意上視圖及橫截面圖圖示各自處於方法實施例之一階段的半導體結構實施例;第6a、6b及6c圖的示意上視圖及橫截面圖圖示各自處於方法實施例之一階段的半導體結構實施例;第7a、7b及7c圖的示意上視圖及橫截面圖圖示各自處於方法實施例之一階段的半導體結構實施例; 第8a、8b及8c圖的示意上視圖及橫截面圖圖示各自處於方法實施例之一階段的半導體結構實施例;以及第9a、9b及9c圖的示意上視圖及橫截面圖圖示各自處於方法實施例之一階段的半導體結構實施例。
儘管本發明容易做成各種修改及替代形式,本文仍以附圖為例圖示幾個本發明的特定具體實施例且詳述其中的細節。不過,應瞭解本文所描述的特定具體實施例不是想要把本發明限定成本文所揭示的特定形式,反而是,本發明是要涵蓋落入由隨附申請專利範圍定義之本發明精神及範疇內的所有修改、等價及替代性陳述。
以下描述本發明的各種示意具體實施例。為了清楚說明,本專利說明書沒有描述實際具體實作的所有特徵。當然,應瞭解,在開發任一此類的實際具體實施例時,必需做許多與具體實作有關的決策以達成開發人員的特定目標,例如遵循與系統相關及商務有關的限制,這些都會隨著每一個具體實作而有所不同。此外,應瞭解,此類開發既複雜又花時間,但將是本技藝一般技術人員在閱讀本揭示內容後即可實作的例行工作。
此時以參照附圖來描述本發明。示意圖標於附圖的各種結構、系統及裝置係僅供解釋以及避免熟諳此藝者所習知的細節混淆本發明。儘管如此,仍納入附圖用來描述及解釋本揭示內容的示意實施例。應使用與相關技藝技術人員所熟悉之意思一致的方式理解及解釋用於本 文的字彙及片語。本文沒有特別定義的術語或片語(亦即,與熟諳此藝者所理解之普通慣用意思不同的定義)是想要用術語或片語的一致用法來暗示。在這個意義上,希望術語或片語具有特定的意思時(亦即,不同於熟諳此藝者所理解的意思),則會在本專利說明書中以直接明白地提供特定定義的方式清楚地陳述用於該術語或片語的特定定義。
本揭示內容提供數種電晶體及彼等之形成方法,其中可提供有明確定義之取向及長度的高度可控及穩定長形半導體線(特別是,在長形半導體線有相對小直徑(例如,約10奈米或更小)的具體實施例中,也可稱為“奈米線”)。此外,本揭示內容提供一種閘極形成(視需要,使用高k閘極絕緣材料及金屬閘極電極),其中長形半導體線都完全被包覆,除提供至電晶體之其他部份(例如,加高源極區與加高汲極區)之電連接的端部以外。因此,可得到允許高度閘極控制的閘極環繞組態。這使得在電晶體的開啟狀態能夠使用較高的驅動電流以及在電晶體的關閉狀態有較低的洩露電流。
本揭示內容提供一種電晶體裝置的製造流程,其中在有環繞式閘極電極的長形半導體線中設置一通道區。起始材料可為極薄絕緣體上覆半導體晶圓,例如絕緣體上覆半導體晶圓,其中上矽層有約5至8奈米之厚度,以及底下氧化物絕緣層的厚度是在約10至20奈米的範圍內。用微影技術可將該上矽層結構化成為薄條紋(thin stripe),其中該等條紋的寬度可與該矽層的厚度可在相同 的級距,例如奈米線應用是在約5至8奈米的範圍內,但是它可較寬以製作其他的裝置。
在該等矽條紋上方及四周上,可形成虛設閘極結構。該虛設閘極結構可包含厚約2至3奈米的虛設氧化物以及較厚多晶矽,該多晶矽在該等矽條紋上方及四周上可厚約40至60奈米。可形成與該虛設閘極結構鄰接的保護間隔體。然後,用選擇性磊晶成長製程可成長矽,以形成加高源極和汲極區。在該選擇性磊晶成長製程中,該保護間隔體可防止矽在該閘極成長。選擇性磊晶成長製程所成長的矽可予以原位摻雜,使得不需要後續的植入及活化退火。之後,在暴露矽的位置處可形成矽化物。可完全囊封該虛設閘極結構,例如用氮化矽,使得矽化物只形成於該加高源極和汲極區上。
之後,可用相對厚的二氧化矽層覆蓋該結構。該二氧化矽可用使用含正矽酸乙酯(TEOS)之反應氣體的化學氣相沉積製程或電漿增強化學氣相沉積製程形成。該二氧化矽可用化學機械研磨法平坦化直到該虛設閘極結構暴露。然後,用選擇性蝕刻法可移除該虛設閘極結構,包括多晶矽及虛設氧化物。
之後,用移除極薄絕緣體上覆半導體晶圓在長形半導體線下方之氧化物的選擇性氧化物蝕刻步驟,可使部份暴露的長形半導體線完全暴露。因此,該長形半導體線會完全自由地“懸掛”於源極和汲極區之間。蝕刻量可適合使得不會過多地移除在加高源極和汲極區下方的 二氧化矽但是足以完全清除長形半導體線的氧化物。
然後,用也允許在長形半導體線底面成長介電材料的製程可形成介電材料。這可藉由產生熱成長二氧化矽來達成,但是也可使用高k材料。高k材料在較低的閘極漏電方面可能有優點。
之後,可形成閘極電極,例如金屬閘極電極。該閘極電極可完全填滿在極薄絕緣體上覆半導體晶圓之氧化物層內的蝕刻區以免裝置中有可能為缺陷或洩露路徑之來源的任何氣穴。
之後,根據標準半導體加工技術,可製造接觸及後端配線。
第1a圖的示意上視圖圖示處於製程實施例之一階段的半導體結構100實施例。第1b圖為半導體結構100沿著直線A-A繪出的示意橫截面圖,以及第1c圖為半導體結構100沿著直線B-B繪出的示意橫截面圖。
半導體結構100包含可由半導體材料(例如,矽)形成的基板101,可由介電材料(例如,二氧化矽)形成的電絕緣層102,以及半導體材料層103。半導體材料層103可包含矽或其他半導體材料,例如矽/鍺,矽/碳或III-V族半導體材料,例如砷化鎵。
基板101,電絕緣材料層102及半導體材料層103形成絕緣體上覆半導體結構。在一些具體實施例中,該絕緣體上覆半導體結構可為極薄的絕緣體上覆半導體(ETSOI)結構,其中電絕緣材料層102可為厚約10至20 奈米的超薄埋藏氧化物(UT-BOX),以及半導體材料層103厚約5至8奈米。
由基板101、電絕緣材料層102及半導體材料層103提供的絕緣體上覆半導體結構可用形成絕緣體上覆半導體結構的方法形成,包括兩片晶圓的粘合,其中該等晶圓中之至少一者有層102之電絕緣材料層形成於其上,劈裂該等晶圓中之一者,視需要在該晶圓已植入氫的位置,以及研磨劈裂晶圓的半導體材料以提供半導體材料層103中由劈裂晶圓之一部份形成的平滑表面。
第2a、2b及2c圖的示意圖圖示處於製程之後一階段的半導體結構100。第2a圖的示意上視圖圖示半導體結構100,以及第2b及2c圖為各自沿著直線A-A及B-B繪出的示意橫截面圖。
半導體材料層103的結構化可使用微影及蝕刻技術用以形成長形半導體線201、202、203。為此目的,可形成覆蓋半導體結構100中待裝設長形半導體線201、202、203之部份的遮罩(未圖示)。這可用微影技術完成。
在長形半導體線201、202、203之寬度實質小於使用於微影製程之光波長的具體實施例中,多種圖案化技術可用來形成該遮罩。之後,可進行蝕刻製程。該蝕刻製程移除半導體材料層103中不被該遮罩覆蓋的部份。該蝕刻製程不移除半導體材料層103中被該遮罩覆蓋的部份以及形成長形半導體線201、202、203。
長形半導體線201、202、203有實質沿著垂直於基板101之厚度方向(在第2b及2c圖的橫截面圖呈垂直)之水平方向(在第2a圖的上視圖呈垂直以及在第2c圖的橫截面圖呈水平)延伸的縱向。長形半導體線201、202、203在該縱向的延伸部可大於長形半導體線201、202、203在長形半導體線201、202、203寬度方向(在第2a及2b圖呈水平)的延伸部以及長形半導體線201、202、203在基板101之厚度方向的延伸部。
長形半導體線201、202、203在厚度方向的延伸部可大體等於形成彼等之半導體材料層103的厚度。
長形半導體線201、202、203在該寬度方向的延伸部與形成長形半導體線201、202、203之半導體材料層103的厚度可在相同的級距。
在一些具體實施例中,長形半導體線201、202、203可寬約5至8奈米,以及可形成奈米線。在其他具體實施例中,長形半導體線201、202、203的寬度及/或長形半導體線201、202、203的厚度(對應至形成彼等之半導體材料層103的厚度)可較大。
第3a、3b及3c圖的示意圖圖示處於製程之後一階段的半導體結構100。第3a圖的示意上視圖圖示半導體結構100,以及第3b及3c圖為各自沿著直線A-A及B-B繪出的示意橫截面圖。
包含虛設閘極絕緣層301以及虛設閘極電極302的虛設閘極結構303可形成於該等長形半導體線 上。在一些具體實施例中,虛設閘極結構303可另外包含設於虛設閘極電極302上的覆蓋層304。
虛設閘極絕緣層301的形成可藉由沉積厚約2至3奈米的虛設閘極絕緣層301材料,例如二氧化矽層。之後,可形成厚約40至60奈米的虛設閘極電極302材料,例如多晶矽層。之後,可形成厚約4至10奈米的覆蓋層304材料,例如氮化矽層。該虛設閘極絕緣層301材料,該虛設閘極電極302材料以及該覆蓋層304材料的形成可用沉積製程,例如化學氣相沉積及/或電漿增強氣相沉積。在形成該虛設閘極電極302材料後,例如用化學機械研磨製程平坦化該虛設閘極電極302材料。
之後,虛設閘極絕緣層301、閘極電極302及覆蓋層304的材料層可用微影及蝕刻法圖案化。
虛設閘極結構303可延伸橫越長形半導體線201、202、203。虛設閘極結構303在長形半導體線201、202、203之縱向(在第3a圖呈垂直以及在第3c圖呈水平)的延伸部可大約等於待形成於半導體結構100中之電晶體的通道長度。虛設閘極結構303在長形半導體線201、202、203之縱向的延伸部可在約2至5奈米的範圍內。
虛設閘極結構303在長形半導體線201、202、203之寬度方向(在第3a及3b圖呈水平)的延伸部可大於虛設閘極結構303在長形半導體線201、202、203之縱向的延伸部,以及可適合使得長形半導體線201、202、203中之每一者被虛設閘極結構303覆蓋。
第4a、4b及4c圖的示意圖圖示處於製程之後一階段的半導體結構100。第4a圖的示意上視圖圖示半導體結構100,以及第4b及4c圖為各自沿著直線A-A及B-B繪出的示意橫截面圖。
可形成鄰接虛設閘極結構303的側壁間隔體403。側壁間隔體403可包含形成於虛設閘極結構303與長形半導體線201、202、203之縱向實質垂直之一側的部份401。另外,側壁間隔體303包含在虛設閘極結構303與長形半導體線201、202、203之縱向實質垂直而且在裝設部份401之側對面之另一側的部份402。此外,側壁間隔體403可包含在虛設閘極結構303與長形半導體線201、202、203之縱向實質平行之兩側的部份(未圖示於顯示半導體結構100之剖面的附圖)。因此,側壁間隔體403可為延伸環繞虛設閘極結構303的整體特徵。
形成側壁間隔體403的材料可與覆蓋層304的相同。例如,側壁間隔體403及覆蓋層304可由氮化矽形成。
側壁間隔體403的形成可藉由實質等向性沉積側壁間隔體403材料於半導體結構100上。在該等向性沉積製程中,材料的沉積速率實質與材料沉積於其上之表面的取向無關。等向性沉積製程的實施例包括化學氣相沉積與電漿增強化學氣相沉積。
之後,可進行非等向性蝕刻製程。在非等向性蝕刻法中,移除材料的蝕刻速率取決於暴露於蝕刻劑 之表面的取向。因此,在非等向性蝕刻製程中,可更快地移除半導體結構100實質水平部份的側壁間隔體403材料,例如虛設閘極結構303的表面、電絕緣材料層102暴露於長形半導體線201、202、203之間的表面、以及長形半導體線201、202、203的正面,而不是半導體結構100的傾斜部份,例如虛設閘極結構303的側壁。
可進行該非等向性蝕刻製程直到實質移除半導體結構100水平部份的側壁間隔體403材料。另外,可進行輕微的過蝕刻(overetch)用以移除長形半導體線201、202、203之側壁的側壁間隔體403材料。側壁間隔體403材料層在虛設閘極結構303之側壁的部份在該非等向性蝕刻製程中不移除而形成側壁間隔體403。
在形成側壁間隔體403後,可形成加高源極區404與加高汲極區405。可在虛設閘極結構303兩對邊上提供加高源極區404與加高汲極區405。
加高源極區404與加高汲極區405的形成可藉由沉積經原位摻雜之半導體材料於長形半導體線201、202、203與虛設閘極結構303鄰接的部份上。加高源極區404及加高汲極區405中之摻雜物的類型可對應至待形成於半導體結構100之電晶體的類型。特別是,在要形成P型通道場效電晶體的具體實施例中,加高源極區404與加高汲極區405可包含P型摻雜物,以及在要形成N型通道場效電晶體的具體實施例中,加高源極區404與加高汲極區405可包含N型摻雜物。
加高源極區404及加高汲極區405的材料可包含與長形半導體線201、202、203之材料實質相同的材料。
替換地,一方面,不同的材料可用於加高源極區404與加高汲極區405,以及另一方面,可用於長形半導體線201、202、203,用以提供長形半導體線201、202、203的應變。例如,在長形半導體線201、202、203含矽的具體實施例中,加高源極和汲極區404、405可由矽/鍺形成用以提供長形半導體線201、202、203的壓縮應力,這有助於改善電洞的移動率,或加高源極和汲極區404、405可由矽/碳形成,這有助於提供拉伸應力。拉伸應力可改善電子的移動率。
加高源極區404與加高汲極區405的形成可包括選擇性磊晶成長製程,其中沉積加高源極區404及加高汲極區405之材料於長形半導體線201、202、203的暴露部份上,但是實質不沉積材料或只沉積少量材料於半導體結構100中形成材料與長形半導體線201、202、203之半導體材料不同的部份上。
由與長形半導體線201、202、203不同之材料形成的特徵包括,特別是,虛設閘極結構303的覆蓋層304與側壁間隔體403。覆蓋層304與側壁間隔體403可囊封可由多晶矽形成的虛設閘極電極302,使得實質不沉積材料或只沉積少量材料於虛設閘極結構303及側壁間隔體403上。
在該選擇性磊晶成長製程中,在基板101的厚度方向(在第4b及4c圖呈垂直)以及在水平方向(在第4b及4c圖呈水平)都可發生半導體材料在長形半導體線201、202、203之暴露部份上的成長。因此,成長於長形半導體線201、202、203之暴露部份上的半導體材料可成長覆蓋長形半導體線201、202、203的間隙,使得加高源極區404與加高汲極區405覆蓋電絕緣材料層102在長形半導體線201、202、203之間的部份,除了被虛設閘極結構303及側壁間隔體403覆蓋的部份以外。
在加高源極區404及加高汲極區405含矽的具體實施例中,用來形成加高源極區404及加高汲極區405的選擇性磊晶成長製程可為使用含氯反應氣體(例如,SiCl4、SiHCl3或SiH2Cl2)的化學氣相沉積製程或電漿增強化學氣相沉積製程。替換地,除了含矽反應物(例如,SiH4或Si2H6)以外,可使用含氯化學化合物,例如HCl。
氯原子可與在二氧化矽(例如,在電絕緣材料層102的暴露部份上的)或氮化矽(例如,在側壁間隔體403或虛設閘極結構303之覆蓋層304上的)上的矽吸附原子(silicon adatoms)起化學反應。在該化學反應中,形成不鍵合至半導體結構100表面的氣體反應產物。沉積於長形半導體線201、202、203上的矽可留在半導體結構100上以及形成加高源極區404及加高汲極區405。
作為化學氣相沉積製程或電漿增強化學氣相沉積製程的替代例,分子束磊晶(MBE)及/或金屬有機化 學氣相沉積(MOCVD)可用來形成加高源極區404及加高汲極區405。
在加高源極區404及加高汲極區405包含化合物半導體材料(例如,矽/鍺或矽/碳)的具體實施例中,化學氣相沉積、電漿增強化學氣相沉積、分子束磊晶或金屬有機化學氣相沉積也可用來形成加高源極區404及加高汲極區405。
如上述,用來形成加高源極區404及加高汲極區405的選擇性磊晶成長製程可適合藉此原位摻雜加高源極區404及加高汲極區405的半導體材料。為此目的,在該選擇性磊晶成長製程期間,可供給摻雜物種,它可為含有摻雜物或呈原子或分子形式之摻雜物的化學化合物。該摻雜物係加入加高源極區404及加高汲極區405的半導體材料。
為了提供N型摻雜物(例如,磷(P)或砷(As))於加高源極區404及加高汲極區405中,在該選擇性磊晶成長製程期間,可供給摻雜物種,例如膦(PH3)或胂(AsH3)。為了提供P型摻雜物(例如,硼),在該選擇性磊晶成長製程期間,可供給摻雜物種,例如硼、二氟化硼(BF2)及/或乙硼烷(B2H6)。
在一些具體實施例中,半導體結構100中未圖示於第4a至4c圖的部份在加高源極區404及加高汲極區405的形成期間可用遮罩(例如,含氮化矽的硬遮罩)覆蓋,以及在與加高源極和汲極區404、405類似的加高源極 和汲極區形成於半導體結構100的其他部份中時,半導體結構100中圖示於第4a至4c圖的部份可用遮罩(例如,硬遮罩)覆蓋。因此,在半導體結構100的不同部份中可形成由不同材料形成的摻雜相異加高源極和汲極區及/或加高源極和汲極區用以提供不同類型的應變。
第5a、5b及5c圖的示意圖圖示處於製程之後一階段的半導體結構100。第5a圖的示意上視圖圖示半導體結構100,以及第5b及5c圖為各自沿著直線A-A及B-B繪出的示意橫截面圖。
在形成加高源極區404及加高汲極區405後,可進行退火製程,例如快速熱退火製程,用以使摻雜物由加高源極區404及加高汲極區405擴散到長形半導體線201、202、203與虛設閘極結構303鄰接的部份。因此,在長形半導體線201、202、203中之每一者中,可形成源極區503及汲極區504。
由於來自加高源極區404及加高汲極區405的摻雜物可沿著與基板101之厚度方向(在第5b及5c圖呈垂直)和水平方向(在第5b及5c圖呈水平)平行的方向擴散,因而源極區503及汲極區504的部份可在虛設閘極結構303下方延伸。摻雜物從加高源極區404及加高汲極區405沿著長形半導體線201、202、203之縱向擴散的距離可實質小於虛設閘極結構303在縱向的寬度。因此,長形半導體線201、202、203中之每一者在虛設閘極結構303之中央下方的部份可保持實質無摻雜,或長形半導體線 201、202、203中之每一者在虛設閘極結構303之中央下方的部份可大約等於半導體材料層103的初始摻雜。
因此,摻雜與源極區503及汲極區504不同的通道區可設於長形半導體線201、202、203中之每一者位於虛設閘極結構303下方的中央部份。該等通道區係設於位在長形半導體線201、202、203中從虛設閘極結構303下方突出之端部的源極區503、汲極區504之間。在一些具體實施例中,可以約在550至700℃之間的溫度以及約15至45分鐘的時間執行用來在長形半導體線201、202、203中之每一者中形成源極區503及汲極區504的退火製程。
在形成源極區503及汲極區504後,在加高源極區404及加高汲極區405中可形成矽化物部份501、502。可沉積含鎳、鈷、鉑、鈦、鎢及/或鎳鉑合金之層於半導體結構100上方,以及可進行另一退火製程用以誘發該金屬與加高源極區404及加高汲極區405中之半導體材料的化學反應。之後,該金屬中未與半導體材料起反應的部份可用蝕刻製程移除。
在形成矽化物部份501、502於加高源極區404及加高汲極區405中的期間,覆蓋層304及側壁間隔體403可實質防止沉積於半導體結構100上方之金屬與虛設閘極結構302接觸。因此,可實質避免矽化物形成於虛設閘極電極302中。
源極區503及汲極區504不需要用在如上述 形成矽化物部份501、502之前進行的個別退火製程來形成。替換地,用來形成矽化物部份501、502的退火製程可適合使得來自加高源極區404及加高汲極區405的摻雜物擴散進入長形半導體線201、202、203以及形成源極區503及汲極區504。因此,半導體結構100的形成可能需要較少數量的退火製程。
第6a、6b及6c圖的示意圖圖示處於製程之後一階段的半導體結構100。第6a圖的示意上視圖圖示半導體結構100,以及第6b及6c圖為各自沿著直線A-A及B-B繪出的示意橫截面圖。
在形成矽化物部份501、502於加高源極區404及加高汲極區405中之後,可形成介電層603於半導體結構100上。介電層603可包含二氧化矽以及可用化學氣相沉積製程或電漿增強化學氣相沉積製程形成,其中正矽酸乙酯(TEOS)係用作反應物。替換地,介電層603可包含氮化矽及/或氮氧化矽。在此類具體實施例中,化學氣相沉積製程或電漿增強化學氣相沉積製程可用來各自沉積介電層603的氮化矽及/或氮氧化矽。
在形成介電層603後,介電層603可覆蓋加高源極區404、加高汲極區405及虛設閘極結構303,以及可包含在虛設閘極結構303上方的凸起,這是由半導體結構100中有介電層603形成於其上之部份的拓樸造成。
可進行平坦化製程(例如,化學機械研磨製程)用以提供半導體結構100的實質平坦表面。在該化學機 械研磨製程中,可移除介電層603在虛設閘極結構303上方的部份。此外,該平坦化製程可移除虛設閘極電極302的覆蓋層304,藉此暴露虛設閘極電極302。介電層603在加高源極區404及加高汲極區405上方的部份601、602留在半導體結構100上以及在該平坦化製程中得到實質平坦表面。
加高源極區404、矽化物部份501、介電層603的部份601以及側壁間隔體403的部份401形成覆蓋每個長形半導體線201、202、203中提供源極區503之端部的特徵604。加高汲極區405、矽化物部份502、介電層603的部份602以及側壁間隔體403的部份402形成覆蓋長形半導體線201、202、203中形成汲極區504之端部的另一特徵605。在特徵604、605的表面,暴露介電層603及側壁間隔體403的材料(它可囊封長形半導體線201、202、203的端部,加高源極和汲極區404、405及矽化物部份501、502)。
第7a、7b及7c圖的示意圖圖示處於製程之後一階段的半導體結構100。第7a圖的示意上視圖圖示半導體結構100,以及第7b及7c圖為各自沿著直線A-A及B-B繪出的示意橫截面圖。
在平坦化製程後,可移除虛設閘極電極302及虛設閘極絕緣層301。用適合對介電層603及側壁間隔體401之材料選擇性地移除虛設閘極電極302之材料的第一蝕刻製程以及適合對長形半導體線201、202、203之材 料選擇性地移除虛設閘極絕緣層301之材料的第二蝕刻製程,可完成此事。
虛設閘極電極302及虛設閘極絕緣層301的移除在半導體結構100中形成凹處。該凹處的底部暴露長形半導體線201、202、203的中央部份以及電絕緣材料層102在長形半導體線201、202、203的中央部份之間的部份。源極區503,電絕緣材料層102在源極區503之間的部份,汲極區504以及電絕緣材料層102在汲極區504之間的部份仍被特徵604、605覆蓋。
第8a、8b及8c圖的示意圖圖示處於製程之後一階段的半導體結構100。第8a圖為示意上視圖,以及第8b及8c圖為各自沿著直線A-A及B-B繪出的示意橫截面圖。
可進行蝕刻製程。該蝕刻製程適合對在特徵604、605表面暴露的長形半導體線201、202、203之材料及/或側壁間隔體403及/或介電層603之材料選擇性地移除電絕緣材料層102的材料。
在電絕緣材料層102含二氧化矽以及側壁間隔體403和介電層603含氮化矽的具體實施例中,該蝕刻製程可適合對長形半導體線201、202、203之半導體材料與氮化矽選擇性地移除二氧化矽。
在介電層603含二氧化矽及/或氮氧化矽的具體實施例中,在加高源極區404及加高汲極區405上方,可提供有相對大厚度的介電層603之部份601、602,使得 加高源極和汲極區404、405及其矽化物部份501、502在蝕刻製程期間不暴露,然而在蝕刻製程期間可能移除介電層603之部份601、602的一些材料。
該蝕刻製程可實質等向性。由該蝕刻製程等向性,可得到電絕緣材料層102在長形半導體線201、202、203之中央部份下方之部份的有效移除。
在一些具體實施例中,用於選擇性移除層102之電絕緣材料的蝕刻製程可為電漿蝕刻製程。在一些具體實施例中,該電漿蝕刻製程可為遠端電漿製程,其中半導體結構100係加入反應室以及暴露於在與反應室隔開之電漿產生室中由反應氣體產生的電漿。替換地或附加地,可進行電漿在反應室中直接由反應氣體產生(例如,藉助射頻放電)的電漿蝕刻製程。得到該蝕刻製程的選擇性可藉由適當地選擇反應氣體的組合物以及蝕刻製程的其他參數,例如反應氣體的溫度及壓力及/或用於產生電漿的放電功率。在一些具體實施例中,含CF4、SF6及/或NF3的反應氣體可用來對矽及氮化矽選擇性地蝕刻二氧化矽。
用於選擇性地移除層102之電絕緣材料的蝕刻製程不必為乾式蝕刻製程,例如遠端電漿蝕刻製程或電漿蝕刻製程。在其他具體實施例中,可使用濕式蝕刻製程。例如,稀釋氫氟酸可用來對矽及氮化矽選擇性地移除二氧化矽。
由於該蝕刻製程的等向性,因此,該蝕刻製程可移除電絕緣材料層102在長形半導體線201、202、 203下方的部份。另外,該蝕刻製程可移除電絕緣材料層102在特徵604、605下方的部份。因此,在該蝕刻製程中,在電絕緣材料層102可形成開口801,開口801係包含在長形半導體線201、202、203之源極和汲極區503、504下方延伸及/或在側壁間隔體403之部份401、402、加高源極區404及加高汲極區405下方延伸的部件803、804。第8a圖中,虛線802示意圖示開口801的延伸部。
由第8a圖可見,開口801可在側壁間隔體403、加高源極區404及加高汲極區405下方延伸到長形半導體線201、202、203下方的程度可小於延伸到長形半導體線201、202、203之間隙的程度。這可能是由長形半導體線201、202、203對於蝕刻製程的影響造成。
此外,由第8a圖及第8c圖可見,開口801沿著長形半導體線201、202、203之縱向(在第8a圖呈垂直,以及在第8c圖呈水平)的延伸部可大於在側壁間隔體403之部份401、402之間已藉由移除虛設閘極電極302及虛設閘極絕緣層301來形成之凹處的延伸部。
控制開口801在源極和汲極區503、504及加高源極和汲極區404、405下方的延伸部可藉由適當地選擇層102之電絕緣材料的蝕刻量。在一些具體實施例中,形成與半導體結構100類似的多個半導體結構可利用上述技術,以及可改變用來形成與開口801類似之開口的蝕刻製程的參數,例如蝕刻的持續時間。之後,可分析半導體結構100,例如,用電子顯微鏡的技術,用以測定開口801 的延伸部。
可優化電絕緣材料102的蝕刻量用以得到開口801的合適延伸部,其中係不過多地移除層102在源極和汲極區503、504及加高源極和汲極區404、405下方的電絕緣材料,反而從長形半導體線201、202、203中提供通道區的中央部份實質完全地移除層102的電絕緣材料,如上述。
因此,在該蝕刻製程後,長形半導體線201、202、203中含有通道區的中央部份在源極區503與汲極區504之間自由地“懸掛著”,以及在形成於長形半導體線201、202、203之中的通道區四周實質不提供材料。
第9a、9b及9c圖的示意圖圖示處於製程之後一階段的半導體結構100。第9a圖為示意上視圖,第9b圖為沿著直線A-A繪出的示意橫截面圖,以及第9c圖為沿著直線B-B繪出的示意橫截面圖。
在移除電絕緣材料層102在長形半導體線201、202、203之中央部份下方的部份(這可用以上在說明第8a至8c圖時提及的蝕刻製程完成)後,可形成閘極絕緣層901。
閘極絕緣層901可包含電絕緣材料(例如,二氧化矽)。替換地及/或附加地,閘極絕緣層901可包含電介質常數大於二氧化矽的高k材料,例如,大於約4的電介質常數。高k材料的實施例包括二氧化鉿,氮氧矽鉿(hafnium silicon oxynitride)以及二氧化鋯。
閘極絕緣層901的形成可用實質等向性沉積製程,例如,化學氣相沉積製程。用以形成閘極絕緣層901之化學氣相沉積製程的特徵可對應至用以形成用於習知平面電晶體、FinFET電晶體及/或三閘電晶體之閘極絕緣層之化學氣相沉積製程的特徵。
由於該沉積製程的等向性,閘極絕緣層901可覆蓋長形半導體線201、202、203的所有暴露表面,使得在閘極絕緣層901形成後,不會露出長形半導體線201、202、203的半導體材料。另外,閘極絕緣層901可覆蓋側壁間隔體401、402的側壁以及形成於電絕緣材料層102之開口801的表面。特別是,閘極絕緣層901可覆蓋開口801可暴露基板101的底面。因此,閘極絕緣層901可提供閘極電極(下文會描述它的形成)與如上述可包含半導體材料的基板101之間的電絕緣。
閘極絕緣層901不必用化學氣相沉積製程來形成。替換地或附加地,熱氧化製程可用來形成該閘極絕緣層。為了進行該熱氧化製程,可使半導體結構100處於氧化環境中,例如含氧及/或水氣的氣體,以及可進行退火製程用以初始化長形半導體線201、202、203的半導體材料與該氧化環境的化學反應藉此形成半導體材料的氧化物,例如二氧化矽。該氧化環境也可與在開口801底部露出的基板101材料起化學反應,藉此可提供提供閘極電極與基板101之電絕緣的電絕緣層。
在形成閘極絕緣層901後,可形成閘極電極 902。
在一些具體實施例中,閘極電極902可包含金屬,其中用於形成閘極電極902的特殊金屬可適合待形成於半導體結構100的電晶體之類型。如果要形成N型通道電晶體,閘極電極902可包含鑭、氮化鑭及/或氮化鈦。如果要形成P型通道電晶體於半導體結構100中,閘極電極902可包含鋁、氮化鋁及/或氮化鈦。
在其他具體實施例中,閘極電極902可由半導體材料形成,例如多晶矽。
為了形成閘極電極902,可進行實質等向性沉積製程,例如,化學氣相沉積製程或電漿增強化學氣相沉積製程。由於該沉積製程的等向性,可完全填滿電絕緣材料層102的開口801,長形半導體線201、202、203之間的間隙以及在側壁間隔體403的部份401、402之間的空間。因此,可避免半導體結構100中形成可能為缺陷或洩露路徑之來源的空穴。
在沉積閘極電極902的材料後,可進行平坦化製程,例如化學機械研磨製程,用以移除閘極電極902材料已沉積於介電層603之部份601、602上方的部份。另外,該平坦化製程可移除閘極絕緣層901沉積於介電層603之部份601、602上的部份。
之後,該半導體結構包含場效電晶體。該場效電晶體的源極由長形半導體線201、202、203及加高源極區404的源極區503提供,而場效電晶體的汲極由長 形半導體線201、202、203的汲極區504及加高汲極區405提供。場效電晶體的通道由在長形半導體線201、202、203的源極區503、汲極區504之間的通道區提供。用施加於閘極電極902、源極之間的電壓可控制場效電晶體之通道的導電係數。
由於閘極電極902包含在長形半導體線201、202、203中之每一者上方、在長形半導體線201、202、203中之每一者上方以及與長形半導體線201、202、203中之每一者鄰接的部份,因此提供環繞形成於長形半導體線201、202、203之每個通道區的閘極電極902。因此,相較於通道中之一個或多個表面不被閘極電極覆蓋的平面場效電晶體、FinFET電晶體及三閘電晶體,可得到有改良通道可控性的電晶體。
此外,加高源極區404、加高汲極區405及閘極電極902彼此之間的配置可與加高源極和汲極區及閘極電極在習知平面電晶體中的相對配置類似。因此,電連接至電晶體的方式可與電連接至習知平面場效電晶體的方式類似。
本揭示內容不受限於如上述有3個長形半導體線201、202、203的具體實施例。在其他具體實施例中,每個電晶體可裝設個數較少的長形半導體線,例如1或2個,或個數大於兩個的長形半導體線。
以上所揭示的特定具體實施例均僅供圖解說明,因為熟諳此藝者在受益於本文的教導後顯然可以不 同但等價的方式來修改及實施本發明。例如,可用不同的順序完成以上所提出的製程步驟。此外,除非在以下權利要求有提及,不希望本發明受限於本文所示之構造或設計的細節。因此,顯然可改變或修改以上所揭示的特定具體實施例而所有此類變體都被認為仍然是在本發明的範疇與精神內。因此,本文提出以下的申請專利範圍尋求保護。
100‧‧‧半導體結構
101‧‧‧基板
102‧‧‧電絕緣層
202‧‧‧長形半導體線
401、402‧‧‧部份
403‧‧‧側壁間隔體
404‧‧‧加高源極區
405‧‧‧加高汲極區
501、502‧‧‧矽化物部份
503‧‧‧源極區
504‧‧‧汲極區
601、602‧‧‧部份
605‧‧‧特徵
801‧‧‧開口
901‧‧‧閘極絕緣層
902‧‧‧閘極電極

Claims (19)

  1. 一種半導體結構,包含:基板,該基板係以半導體材料所形成者;電絕緣材料層;以及電晶體,該電晶體包含:加高源極區及加高汲極區,設於該基板上方;一個或多個長形半導體線連接於該加高源極區與該加高汲極區之間,其中,該一個或多個長形半導體線中之每一者的縱向係實質沿著垂直於該基板之厚度方向的水平方向延伸,該等長形半導體線中之每一者包含通道區;閘極電極,延伸環繞該一個或多個長形半導體線之該等通道區中之每一者;以及閘極絕緣層,設於該一個或多個長形半導體線中之每一者與該閘極電極之間;其中,該電絕緣材料層設於該基板及至少部份該等加高源極和汲極區之間,且該電絕緣材料從暴露自該一個或多個長形半導體線下方的該基板之至少一部份上移除,藉由該閘極絕緣層覆蓋該基板的該至少一部份。
  2. 如申請專利範圍第1項所述的半導體結構,其中,該一個或多個長形半導體線中之每一者包含源極區與汲極區,該通道區設於該源極區與該汲極區之間,該源極區的至少一部份設於該加高源極區下方,該汲極區 的至少一部份設於該加高汲極區下方。
  3. 如申請專利範圍第2項所述的半導體結構,其中,該閘極電極在該一個或多個長形半導體線下方的部份比該電絕緣材料層與該等源極和汲極區的介面更靠近該基板。
  4. 如申請專利範圍第3項所述的半導體結構,其中,該閘極電極在該一個或多個長形半導體線下方的該部份設於該電絕緣材料層的開口中。
  5. 如申請專利範圍第3項所述的半導體結構,其中,該閘極電極在該一個或多個長形半導體線下方的該部份的部件在該等源極和汲極區與該等加高源極和汲極區中之至少一者下方延伸。
  6. 如申請專利範圍第5項所述的半導體結構,其中,該閘極電極在該一個或多個長形半導體線下方之該部份沿著該一個或多個長形半導體線之縱向的延伸部大於該閘極電極在該等長形半導體線上方之部份沿著該一個或多個長形半導體線之該縱向的延伸部。
  7. 如申請專利範圍第1項所述的半導體結構,更包含側壁間隔體,該側壁間隔體經形成與該閘極電極位於該等通道區上方之一部份鄰接,該側壁間隔體將該閘極電極與該加高源極區及該加高汲極區隔開。
  8. 如申請專利範圍第1項所述的半導體結構,其中,該閘極絕緣層包含電介質常數大於二氧化矽的高k材料,以及該閘極電極包含金屬。
  9. 一種形成半導體結構的方法,包含:形成一個或多個長形半導體線於電絕緣材料層上,該電絕緣材料層設於基板上方,該基板所包含的材料與該電絕緣材料層不同,其中,該一個或多個長形半導體線中之每一者的縱向係實質沿著垂直於該基板之厚度方向的水平方向延伸;移除該電絕緣材料層在該一個或多個長形半導體線中之每一者之中央部份下方的部份,以暴露該基板;形成閘極絕緣層於該一個或多個長形半導體線中之每一者的該中央部份及該基板之暴露部分上;以及形成延伸環繞該一個或多個長形半導體線中之每一者之該中央部份的閘極電極,該閘極絕緣層提供該等長形半導體線與該閘極電極之間的電絕緣。
  10. 如申請專利範圍第9項所述的方法,其中,移除該電絕緣材料層在該一個或多個長形半導體線中之每一者之該中央部份下方之該部份係形成開口於該電絕緣材料層中,以及該閘極電極在該一個或多個長形半導體線下方的一部份係形成在該開口中。
  11. 如申請專利範圍第9項所述的方法,其中,移除該電絕緣材料層在該一個或多個長形半導體線中之每一者之該中央部份下方之該部份包括:在該一個或多個長形半導體線中之每一者的第一端部上方形成第一特徵;在該一個或多個長形半導體線中之每一者的第二 端部上方形成第二特徵,其中,該一個或多個長形半導體線中之每一者的該中央部份以及該電絕緣材料層的一部份在該第一及該第二特徵之間暴露;以及進行蝕刻製程,係適於相對該一個或多個長形半導體線的材料以及在該第一及該第二特徵之表面所暴露的一個或多個材料選擇性地移除該電絕緣材料層的材料。
  12. 如申請專利範圍第11項所述的方法,其中,該蝕刻製程係實質上等向性。
  13. 如申請專利範圍第12項所述的方法,其中,該第一特徵包含加高源極區,側壁間隔體形成於該一個或多個長形半導體線中之每一者之該第一端部上方的第一部份,以及介電材料層形成於該加高源極區上方的第一部份;以及其中,該第二特徵包含加高汲極區,該側壁間隔體形成於該一個或多個長形半導體線中之每一者之該第二端部上方的第二部份,以及介電材料層形成於該加高汲極區上方的第二部份。
  14. 如申請專利範圍第13項所述的方法,其中,形成該第一特徵及該第二特徵包括:在該等長形半導體線之每一者之該中央部份上方形成虛設閘極結構;形成與該虛設閘極結構鄰接的該側壁間隔體;進行選擇性成長製程,該選擇性成長製程係形成 該加高源極區及該加高汲極區;沉積該介電材料層於該虛設閘極結構、該加高源極區及該加高汲極區上方;平坦化該介電材料層,其中,該平坦化係暴露該虛設閘極結構;以及進行選擇性地移除該虛設閘極結構的一個或多個蝕刻製程。
  15. 如申請專利範圍第14項所述的方法,更包括:在沉積該介電材料層之前,形成矽化物於該加高源極區及該加高汲極區中。
  16. 如申請專利範圍第14項所述的方法,更包括:在該選擇性成長製程期間,原位摻雜該加高源極區及該加高汲極區。
  17. 如申請專利範圍第16項所述的方法,更包括:進行退火製程,其中,摻雜物從該加高源極區擴散到該等長形半導體線中之每一者的該第一端部以及形成源極區,以及摻雜物從該加高汲極區擴散到該等長形半導體線中之每一者的該第二端部以及形成汲極區。
  18. 如申請專利範圍第9項所述的方法,其中,形成該一個或多個長形半導體線包括:提供絕緣體上覆半導體結構,該絕緣體上覆半導體結構包含半導體材料層、該基板及該電絕緣材料層,該電絕緣材料層係設於該基板與該半導體材料層之間;以及 進行蝕刻製程,該蝕刻製程移除該半導體材料層的數個部份,其中,該半導體材料層之一個或多個其他部份在該蝕刻製程中不被移除而形成該一個或多個長形半導體線。
  19. 如申請專利範圍第9項所述的方法,其中,該閘極絕緣層包含電介質常數大於二氧化矽的高k材料,以及該閘極電極包含金屬。
TW103103474A 2013-03-11 2014-01-29 包含延伸環繞一個或多個通道區之閘極電極的電晶體 TWI604613B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US13/792,950 US9006045B2 (en) 2013-03-11 2013-03-11 Transistor including a gate electrode extending all around one or more channel regions

Publications (2)

Publication Number Publication Date
TW201501302A TW201501302A (zh) 2015-01-01
TWI604613B true TWI604613B (zh) 2017-11-01

Family

ID=51385786

Family Applications (1)

Application Number Title Priority Date Filing Date
TW103103474A TWI604613B (zh) 2013-03-11 2014-01-29 包含延伸環繞一個或多個通道區之閘極電極的電晶體

Country Status (5)

Country Link
US (2) US9006045B2 (zh)
CN (1) CN104051535B (zh)
DE (1) DE102014204114B4 (zh)
SG (1) SG2014008890A (zh)
TW (1) TWI604613B (zh)

Families Citing this family (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5944285B2 (ja) 2012-09-18 2016-07-05 ルネサスエレクトロニクス株式会社 半導体装置およびその製造方法
US9006786B2 (en) * 2013-07-03 2015-04-14 Taiwan Semiconductor Manufacturing Company, Ltd. Fin structure of semiconductor device
US9147682B2 (en) 2013-01-14 2015-09-29 Taiwan Semiconductor Manufacturing Company, Ltd. Fin spacer protected source and drain regions in FinFETs
JP2014204041A (ja) * 2013-04-08 2014-10-27 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
US9431537B2 (en) * 2014-03-26 2016-08-30 Samsung Electronics Co., Ltd. Semiconductor devices and methods of fabricating the same
KR102236560B1 (ko) * 2014-03-26 2021-04-06 삼성전자주식회사 반도체 장치 및 이의 제조 방법
US9947755B2 (en) 2015-09-30 2018-04-17 International Business Machines Corporation III-V MOSFET with self-aligned diffusion barrier
US9893187B2 (en) * 2016-05-24 2018-02-13 Samsung Electronics Co., Ltd. Sacrificial non-epitaxial gate stressors
US9613949B1 (en) * 2016-06-27 2017-04-04 United Microelectronics Corp. Bipolar junction transistor and diode
US9865730B1 (en) * 2016-10-31 2018-01-09 International Business Machines Corporation VTFET devices utilizing low temperature selective epitaxy
US10854757B2 (en) * 2016-12-13 2020-12-01 Intel Corporation FINFET based junctionless wrap around structure
US10461152B2 (en) * 2017-07-10 2019-10-29 Globalfoundries Inc. Radio frequency switches with air gap structures
US10833153B2 (en) 2017-09-13 2020-11-10 Globalfoundries Inc. Switch with local silicon on insulator (SOI) and deep trench isolation
US10446643B2 (en) 2018-01-22 2019-10-15 Globalfoundries Inc. Sealed cavity structures with a planar surface
KR102452925B1 (ko) * 2018-02-23 2022-10-07 삼성전자주식회사 반도체 장치 및 그 제조 방법
US11410872B2 (en) * 2018-11-30 2022-08-09 Globalfoundries U.S. Inc. Oxidized cavity structures within and under semiconductor devices
US10923577B2 (en) 2019-01-07 2021-02-16 Globalfoundries U.S. Inc. Cavity structures under shallow trench isolation regions
US11127816B2 (en) 2020-02-14 2021-09-21 Globalfoundries U.S. Inc. Heterojunction bipolar transistors with one or more sealed airgap

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7456476B2 (en) * 2003-06-27 2008-11-25 Intel Corporation Nonplanar semiconductor device with partially or fully wrapped around gate electrode and methods of fabrication
US6927104B2 (en) * 2003-09-15 2005-08-09 Chartered Semiconductor Manufacturing Ltd. Method of forming double-gated silicon-on-insulator (SOI) transistors with corner rounding
KR100612415B1 (ko) * 2004-04-09 2006-08-16 삼성전자주식회사 올 어라운드된 채널 영역을 갖는 트랜지스터 및 그 제조방법
US7488650B2 (en) * 2005-02-18 2009-02-10 Infineon Technologies Ag Method of forming trench-gate electrode for FinFET device
US8313990B2 (en) 2009-12-04 2012-11-20 International Business Machines Corporation Nanowire FET having induced radial strain
DE102010029527B4 (de) 2010-05-31 2012-04-05 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg Verfahren zur Herstellung eines selbstjustierenden Transistors mit Mehrfachgate auf einem Vollsubstrat

Also Published As

Publication number Publication date
US9006045B2 (en) 2015-04-14
TW201501302A (zh) 2015-01-01
US20150129966A1 (en) 2015-05-14
US9443945B2 (en) 2016-09-13
CN104051535A (zh) 2014-09-17
DE102014204114B4 (de) 2021-10-07
US20140252481A1 (en) 2014-09-11
SG2014008890A (en) 2014-10-30
CN104051535B (zh) 2018-04-20
DE102014204114A1 (de) 2014-09-11

Similar Documents

Publication Publication Date Title
TWI604613B (zh) 包含延伸環繞一個或多個通道區之閘極電極的電晶體
US10084041B2 (en) Method and structure for improving FinFET with epitaxy source/drain
TWI552347B (zh) 使用經摻雜的凸起源極和汲極區的源極和汲極摻雜
US9337264B2 (en) Process for forming a surrounding gate for a nanowire using a sacrificial patternable dielectric
US9484348B2 (en) Structure and method to increase contact area in unmerged EPI integration for CMOS FinFETs
US10170609B2 (en) Internal spacer formation from selective oxidation for Fin-first wire-last replacement gate-all-around nanowire FET
JP5587639B2 (ja) 半導体構造体およびその形成方法(内部応力を有する半導体ナノワイヤ)
US10243060B2 (en) Uniform low-k inner spacer module in gate-all-around (GAA) transistors
US10388795B2 (en) Vertical transistor including controlled gate length and a self-aligned junction
US8685823B2 (en) Nanowire field effect transistor device
US20150115363A1 (en) Mechanisms for forming finfet device
US20140231914A1 (en) Fin field effect transistor fabricated with hollow replacement channel
US9142474B2 (en) Passivation structure of fin field effect transistor
US8816427B2 (en) All around gate type semiconductor device and method of manufacturing the same
US9117907B2 (en) Semiconductor device
US9660035B2 (en) Semiconductor device including superlattice SiGe/Si fin structure
JP2011238909A (ja) 垂直tfetの製造方法
JP2011082519A (ja) 集積回路及びその製造方法
US10325811B2 (en) Field-effect transistors with fins having independently-dimensioned sections
CN105826200A (zh) 晶体管及其形成方法