TWI557796B - 用於控制各種材料的蝕刻選擇性的系統及方法 - Google Patents
用於控制各種材料的蝕刻選擇性的系統及方法 Download PDFInfo
- Publication number
- TWI557796B TWI557796B TW101123763A TW101123763A TWI557796B TW I557796 B TWI557796 B TW I557796B TW 101123763 A TW101123763 A TW 101123763A TW 101123763 A TW101123763 A TW 101123763A TW I557796 B TWI557796 B TW I557796B
- Authority
- TW
- Taiwan
- Prior art keywords
- tungsten
- substrate
- layer
- thin film
- film layer
- Prior art date
Links
- 238000000034 method Methods 0.000 title claims description 79
- 239000000463 material Substances 0.000 title description 9
- 229910052721 tungsten Inorganic materials 0.000 claims description 189
- 239000010937 tungsten Substances 0.000 claims description 189
- WFKWXMTUELFFGS-UHFFFAOYSA-N tungsten Chemical compound [W] WFKWXMTUELFFGS-UHFFFAOYSA-N 0.000 claims description 188
- 239000000758 substrate Substances 0.000 claims description 110
- 238000005530 etching Methods 0.000 claims description 68
- 238000005229 chemical vapour deposition Methods 0.000 claims description 57
- 230000004888 barrier function Effects 0.000 claims description 50
- 238000000151 deposition Methods 0.000 claims description 45
- 239000010408 film Substances 0.000 claims description 45
- NRTOMJZYCJJWKI-UHFFFAOYSA-N Titanium nitride Chemical compound [Ti]#N NRTOMJZYCJJWKI-UHFFFAOYSA-N 0.000 claims description 44
- 239000010936 titanium Substances 0.000 claims description 38
- RTAQQCXQSZGOHL-UHFFFAOYSA-N Titanium Chemical compound [Ti] RTAQQCXQSZGOHL-UHFFFAOYSA-N 0.000 claims description 37
- 229910052719 titanium Inorganic materials 0.000 claims description 37
- YCKRFDGAMUMZLT-UHFFFAOYSA-N Fluorine atom Chemical compound [F] YCKRFDGAMUMZLT-UHFFFAOYSA-N 0.000 claims description 34
- 239000011737 fluorine Substances 0.000 claims description 34
- 229910052731 fluorine Inorganic materials 0.000 claims description 34
- 230000008021 deposition Effects 0.000 claims description 33
- 239000010409 thin film Substances 0.000 claims description 32
- XKRFYHLGVUSROY-UHFFFAOYSA-N Argon Chemical compound [Ar] XKRFYHLGVUSROY-UHFFFAOYSA-N 0.000 claims description 18
- IJGRMHOSHXDMSA-UHFFFAOYSA-N Atomic nitrogen Chemical compound N#N IJGRMHOSHXDMSA-UHFFFAOYSA-N 0.000 claims description 18
- 238000000231 atomic layer deposition Methods 0.000 claims description 18
- 229910052786 argon Inorganic materials 0.000 claims description 9
- 239000001307 helium Substances 0.000 claims description 9
- 229910052734 helium Inorganic materials 0.000 claims description 9
- SWQJXJOGLNCZEY-UHFFFAOYSA-N helium atom Chemical compound [He] SWQJXJOGLNCZEY-UHFFFAOYSA-N 0.000 claims description 9
- 229910052757 nitrogen Inorganic materials 0.000 claims description 9
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical compound [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 claims description 8
- 239000001301 oxygen Substances 0.000 claims description 8
- 229910052760 oxygen Inorganic materials 0.000 claims description 8
- 239000001257 hydrogen Substances 0.000 claims description 7
- 229910052739 hydrogen Inorganic materials 0.000 claims description 7
- 125000004435 hydrogen atom Chemical class [H]* 0.000 claims description 7
- MZLGASXMSKOWSE-UHFFFAOYSA-N tantalum nitride Chemical compound [Ta]#N MZLGASXMSKOWSE-UHFFFAOYSA-N 0.000 claims description 7
- 239000007789 gas Substances 0.000 claims description 6
- 229910052715 tantalum Inorganic materials 0.000 claims description 6
- GUVRBAGPIYLISA-UHFFFAOYSA-N tantalum atom Chemical compound [Ta] GUVRBAGPIYLISA-UHFFFAOYSA-N 0.000 claims description 6
- 230000001590 oxidative effect Effects 0.000 claims description 3
- 230000000977 initiatory effect Effects 0.000 claims 1
- 230000003647 oxidation Effects 0.000 claims 1
- 238000007254 oxidation reaction Methods 0.000 claims 1
- 238000011049 filling Methods 0.000 description 31
- 230000008569 process Effects 0.000 description 22
- 239000000126 substance Substances 0.000 description 14
- 230000006870 function Effects 0.000 description 9
- 238000005498 polishing Methods 0.000 description 8
- 238000005429 filling process Methods 0.000 description 7
- 239000011800 void material Substances 0.000 description 4
- 238000011065 in-situ storage Methods 0.000 description 3
- 239000004065 semiconductor Substances 0.000 description 3
- 230000015572 biosynthetic process Effects 0.000 description 2
- 230000032798 delamination Effects 0.000 description 2
- 238000010586 diagram Methods 0.000 description 2
- 230000000694 effects Effects 0.000 description 2
- 239000011261 inert gas Substances 0.000 description 2
- 230000010354 integration Effects 0.000 description 2
- 238000004519 manufacturing process Methods 0.000 description 2
- 229910052751 metal Inorganic materials 0.000 description 2
- 239000002184 metal Substances 0.000 description 2
- 239000000203 mixture Substances 0.000 description 2
- QKCGXXHCELUCKW-UHFFFAOYSA-N n-[4-[4-(dinaphthalen-2-ylamino)phenyl]phenyl]-n-naphthalen-2-ylnaphthalen-2-amine Chemical compound C1=CC=CC2=CC(N(C=3C=CC(=CC=3)C=3C=CC(=CC=3)N(C=3C=C4C=CC=CC4=CC=3)C=3C=C4C=CC=CC4=CC=3)C3=CC4=CC=CC=C4C=C3)=CC=C21 QKCGXXHCELUCKW-UHFFFAOYSA-N 0.000 description 2
- 230000035945 sensitivity Effects 0.000 description 2
- 229910010413 TiO 2 Inorganic materials 0.000 description 1
- 230000004913 activation Effects 0.000 description 1
- 230000008901 benefit Effects 0.000 description 1
- 230000008859 change Effects 0.000 description 1
- 238000006243 chemical reaction Methods 0.000 description 1
- 238000004590 computer program Methods 0.000 description 1
- 238000012790 confirmation Methods 0.000 description 1
- 238000011109 contamination Methods 0.000 description 1
- 238000005137 deposition process Methods 0.000 description 1
- 238000005516 engineering process Methods 0.000 description 1
- 239000000945 filler Substances 0.000 description 1
- 125000001153 fluoro group Chemical group F* 0.000 description 1
- 229910052732 germanium Inorganic materials 0.000 description 1
- GNPVGFCGXDBREM-UHFFFAOYSA-N germanium atom Chemical compound [Ge] GNPVGFCGXDBREM-UHFFFAOYSA-N 0.000 description 1
- 238000001465 metallisation Methods 0.000 description 1
- 238000002156 mixing Methods 0.000 description 1
- 238000012544 monitoring process Methods 0.000 description 1
- 230000007935 neutral effect Effects 0.000 description 1
- 230000003287 optical effect Effects 0.000 description 1
- 238000000623 plasma-assisted chemical vapour deposition Methods 0.000 description 1
- 238000007517 polishing process Methods 0.000 description 1
- 239000002243 precursor Substances 0.000 description 1
- 238000004886 process control Methods 0.000 description 1
- 239000000376 reactant Substances 0.000 description 1
- 230000008929 regeneration Effects 0.000 description 1
- 238000011069 regeneration method Methods 0.000 description 1
- 238000007789 sealing Methods 0.000 description 1
- 238000003860 storage Methods 0.000 description 1
- 238000005979 thermal decomposition reaction Methods 0.000 description 1
- 150000003657 tungsten Chemical class 0.000 description 1
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76838—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
- H01L21/76877—Filling of holes, grooves or trenches, e.g. vias, with conductive material
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/28—Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
- H01L21/283—Deposition of conductive or insulating materials for electrodes conducting electric current
- H01L21/285—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
- H01L21/28506—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
- H01L21/28512—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
- H01L21/28556—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/04—Coating on selected surface areas, e.g. using masks
- C23C16/045—Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02365—Forming inorganic semiconducting materials on a substrate
- H01L21/02612—Formation types
- H01L21/02617—Deposition types
- H01L21/0262—Reduction or decomposition of gaseous compounds, e.g. CVD
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3205—Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
- H01L21/321—After treatment
- H01L21/3213—Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
- H01L21/32133—Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
- H01L21/32135—Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
- H01L21/32136—Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76838—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
- H01L21/76841—Barrier, adhesion or liner layers
- H01L21/76853—Barrier, adhesion or liner layers characterized by particular after-treatment steps
- H01L21/76865—Selective removal of parts of the layer
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76838—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
- H01L21/76877—Filling of holes, grooves or trenches, e.g. vias, with conductive material
- H01L21/76879—Filling of holes, grooves or trenches, e.g. vias, with conductive material by selective deposition of conductive material in the vias, e.g. selective C.V.D. on semiconductor material, plating
Landscapes
- Engineering & Computer Science (AREA)
- Chemical & Material Sciences (AREA)
- Physics & Mathematics (AREA)
- Computer Hardware Design (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Power Engineering (AREA)
- Manufacturing & Machinery (AREA)
- General Physics & Mathematics (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- Chemical Kinetics & Catalysis (AREA)
- General Chemical & Material Sciences (AREA)
- Organic Chemistry (AREA)
- Metallurgy (AREA)
- Materials Engineering (AREA)
- Mechanical Engineering (AREA)
- Plasma & Fusion (AREA)
- Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
- Electrodes Of Semiconductors (AREA)
- Chemical Vapour Deposition (AREA)
Description
本發明涉及基板處理系統,特別是用於控制各種材料的蝕刻選擇性的基板處理系統。
本文提供的背景技術描述是為了一般性地呈現出本發明背景的目的。發明人所做的工作,即已在此背景技術部分中作出描述的工作,以及說明書方面不應作為申請時的現有技術的內容,這些均不應被明確或隱含地承認為相對於本發明的現有技術。
採用化學氣相沉積法(CVD)沉積含鎢薄膜是很多半導體製程中不可或缺的一部分。含鎢薄膜可用於橫向互聯、相鄰金屬層間的通路、矽基片上第一金屬層與裝置之間的觸點以及具有高縱橫比的特徵件。在沉積過程中,基板在沉積室內被加熱至加工溫度。沉積一層由鈦或氮化鈦(TiN)組成的襯底/屏障層。此後,該含鎢薄膜(主體層)被沉積在該襯底/屏障層。
在高縱橫比特徵件中沉積含鎢薄膜可造成特徵件內形成縫隙。這種縫隙可導致高電阻、沾汙以及填充物的損失。換言之,這種縫隙降低了積體電路的性能。例如,在填充過程之後縫隙可延伸接近至場區然後在化學機械拋光過程中打開。
參考第1A圖至第1D圖,顯示了依據現有技術將含鎢薄膜填充到凹狀特徵件的過程的實例。在第1A圖中,基板100包括凹狀特徵件104(例如觸點或通孔)被填充以鎢。在第1B圖中,沉積襯底/屏障層108。襯底/屏障層108可由鈦(Ti)或氮化鈦(TiN)製成。襯底/屏障層108典型地在凹狀特徵件104開口附近產生突出部110或夾點。然後利用化學氣相沉積法將含鎢薄膜112沉積在襯底/屏障層108上。
將含鎢薄膜填充到特徵件可造成填充的特徵件內形成縫隙。當沉積在該特徵件側壁上沉積層的厚度到達其密封點(也稱為夾點)而封閉該下方的空腔時,可以形成縫隙。該夾點防止前驅物和/或其他反應物進入到該空腔以保持其未被填充。該空腔或縫隙有時也被稱作鎖眼。在第1C圖中,在
沉積之後,鎖眼114仍然存在。在第1D圖中,化學機械拋光(CMP)將鎖眼114打開。
在第2A圖至第2D圖中,顯示了依據現有技術將含鎢薄膜填充到凹狀特徵件的過程的另一實例。在第2A圖中,基板130包括凹狀特徵件134,例如觸點或通孔。之後,沉積襯底/屏障層138。該襯底/屏障層可由鈦/氮化鈦製成且可產生突出部140。然後利用化學氣相沉積法將鎢層142部分沉積在襯底/屏障層138上。在第2B圖中,利用氟類物實現回蝕刻步驟。進行沉積-蝕刻循環重複,以減小鎖眼144及鎢填充物的縫隙。在第2D圖中,可採用化學機械拋光步驟打開鎖眼144。
第2A圖至第2D圖的方法牽涉到在第一沉積步驟中部分填充,以便在隨後的蝕刻步驟中讓通路打開。針對在場區以及突出部上鎢層的蝕刻步驟中會產生不必要的副作用。該隨後的蝕刻步驟還從該通路內移除了該鎢層。隨後的沉積步驟在該通路內以及在該突出部以同樣的速度再生鎢層,造成了同樣的鎖眼空隙。
本部分提供了本發明的總體概述,並不是其全部保護範圍或其所有特徵的綜合描述。
本發明提供一種填充基板凹狀特徵件的方法,包括:a)利用化學氣相沉積法(CVD)以及原子層沉積法(ALD)的至少其中之一,將含鎢薄膜部分地填充於基板上至少一凹狀特徵件;b)在一預設溫度下,利用包括活性氟類物的蝕刻劑選擇性地蝕刻該含鎢薄膜層,使該含鎢薄膜層的蝕刻移除多於該凹狀特徵件內的一底層,但不移除該凹狀特徵件底部所有該含鎢薄膜;以及c)利用化學氣相沉積法與原子層沉積法的至少其中之一,填充該凹狀特徵件。
在其他特徵中,步驟a)包括將該含鎢薄膜填充該凹狀特徵件以致將該凹狀特徵件的開口夾斷。
在其他特徵中,步驟a)包括將該含鎢薄膜填充該凹狀特徵件以致將該凹狀特徵件的開口關閉且沉積一超覆層。
在其他特徵中,步驟b)在一CVD腔室與一蝕刻腔室的其中之一進行。
在其他特徵中,該底層包括一襯底/屏障層。該襯底/屏障層包含鈦與鉭的其中之一。該襯底/屏障層的物質包含鈦、氮化鈦、氮化鉭以及TiCxNx的其中之一。該方法包括在步驟c)之前可重複步驟a)與步驟b)一次或多次。
在其他特徵中,該方法包括在步驟c)之後對該基板進行化學機械拋光(CMP)。
在其他特徵中,該預設溫度小於或等於150℃。該預設溫度小於或等於100℃。該預設溫度小於或等於50℃。該襯底/屏障層包括一突出部,該突出部在該凹狀特徵件的開口產生一夾點。
在其他特徵中,該方法包括添加氮氣、氧氣、氫氣、氦氣、氬氣或氟氣其中一種或數種以減緩該襯底/屏障層的蝕刻。
在其他特徵中,該方法包括在步驟a)之前氧化該襯底/屏障層。
本發明同時提供一種在基板沉積一層薄膜的設備,包括:一個或多個腔室、一提供活性氟類物的提供源以及一控制器。該控制器啟動該一個或多個腔室中以下步驟之開始:a)採用化學氣相沉積法(CVD)以及原子層沉積法(ALD)的至少其中之一,將含鎢薄膜部分地填充於基板的凹狀特徵件;b)在一預設溫度,利用包括活性氟類物的蝕刻劑選擇性地蝕刻該含鎢薄膜層,使該含鎢薄膜的蝕刻移除多於該凹狀特徵件內的一底層,但不移除該凹狀特徵件底部所有該含鎢薄膜;以及c)利用化學氣相沉積法與原子層沉積法的至少其中之一,填充該凹狀特徵件。
在其他特徵中,步驟a)包括將該含鎢薄膜填充該凹狀特徵件,以致將該凹狀特徵件的開口夾斷。
在其他特徵中,步驟a)包括將該含鎢薄膜填充該凹狀特徵件,以致將該凹狀特徵件的開口關閉,且沉積一超覆層。
在其他特徵中,步驟b)在一CVD腔室與一蝕刻腔室的其中之一進行。
在其他特徵中,該底層包括一襯底/屏障層。該襯底/屏障層包含鈦與鉭的其中之一。該襯底/屏障層包含鈦、氮化鈦、氮化鉭以及TiCxNx的其中之一。
在其他特徵中,該控制器可在步驟c)之前重複步驟a)與步驟b)一或多次。在其他特徵中,在步驟c)之後對該基板進行化學機械拋光(CMP)。
該預設溫度小於或等於150℃。該預設溫度小於或等於100℃。該預設溫度小於或等於50℃。
在其他特徵中,該襯底/屏障層包括一突出部,該突出部在該凹狀特徵件的開口產生一夾點。該控制器開始實施添加氮氣、氧氣、氫氣、氦氣、氬氣或氟氣其中一種或數種,以減緩該襯底/屏障層的蝕刻。該控制在步驟a)之前開始氧化該襯底/屏障層。
本發明亦提供一種在基板產生一層薄膜的方法,包括:a)利用化學氣相沉積法(CVD)以及原子層沉積法(ALD)的至少其中之一,在一底層上沉積一含鎢薄膜層,其中該底層配置在基板的一氧化層上;b)在一預設溫度,利用活性氟類物選擇性地蝕刻該含鎢薄膜層,使該含鎢薄膜層的蝕刻移除多於該底層;以及c)利用化學氣相沉積法與原子層沉積法的至少其中之一,在該含鎢薄膜層上沉積一薄膜層。
在其他特徵中,該底層包括一襯底/屏障層。該襯底/屏障層包含鈦與鉭的其中之一。該襯底/屏障層包含鈦、氮化鈦、氮化鉭以及TiCxNx的其中之一。
在其他特徵中,該預設溫度小於或等於150℃。該預設溫度小於或等於100℃。該預設溫度小於或等於50℃。
在其他特徵中,該方法包括添加氮氣、氧氣、氫氣、氦氣、氬氣或氟氣其中一種或數種,以減緩該襯底/屏障層的蝕刻。該方法包括在步驟a)之前氧化該底層。該薄膜層包含鎢。
本發明另外提供一種在基板沉積一層薄膜的設備,包括:一個或多個腔室以及提供活性氟類物的提供源。一控制器啟動該一個或多個腔室中以下步驟之開始:a)利用化學氣相沉積法(CVD)以及原子層沉積法(ALD)的至少其中之一,在一底層上沉積一含鎢薄膜層,其中該底層配置在基板的一氧化層上;b)在一預設溫度,利用活性氟類物選擇性地蝕刻該含鎢薄膜層,使該含鎢薄膜層的蝕刻移除多於該凹狀特徵件內的該底層;以及c)在該含鎢薄膜層上沉積一薄膜層。
在其他特徵中,該底層包括一襯底/屏障層。該襯底/屏障層包含鈦與鉭的其中之一。該襯底/屏障層包含鈦、氮化鈦、氮化鉭以及TiCxNx的其中之一。
在其他特徵中,該預設溫度小於或等於150℃。該預設溫度小於或等於100℃。該預設溫度小於或等於50℃。
在其他特徵中,該控制器開始實施添加氮氣、氧氣、氫氣、氦氣、氬氣或氟氣其中一種或數種,以減緩該襯底/屏障層的蝕刻。該控制器在步驟a)之前開始氧化該底層。該底層包含鎢。
本發明另外提供一種填充基板凹狀特徵件的方法包括:a)利用共形(conformal)化學氣相沉積法,將含鎢薄膜填充於基板的凹狀特徵件;b)在第一預設溫度,利用活性氟類物選擇性地蝕刻該含鎢薄膜層,使該含鎢薄膜層的蝕刻移除多於該襯底/屏障層的一底層;以及c)利用選擇性化學氣相沉積法填充該特徵件。
在其他特徵中,該方法進一步包括:d)在第二預設溫度下,利用活性氟類物選擇性地蝕刻該含鎢薄膜層,使該含鎢薄膜層的蝕刻移除多於該襯底/屏障層。
在其他特徵中,該方法包括重複步驟c)與步驟d)一次或多次。
在其他特徵中,該方法進一步包括在步驟d)之後對該基板進行化學機械拋光(CMP)。
本發明另外提供一種填充基板凹狀特徵件的方法,包括:a)利用含鎢薄膜填充基板的該凹狀特徵件,其中該凹狀特徵件包括一底層,並且包括在該凹狀特徵件的開口產生夾點的一突出部,以及其中在該含鎢薄膜中產生一空隙;b)在一預設溫度,利用活性氟基物選擇性地蝕刻該含鎢薄膜,使其移除多於該底層並在該含鎢薄膜中打開該空隙;c)利用選擇性化學氣相沉積法部分地填充該凹狀特徵件,其中該選擇性化學氣相沉積法在該凹狀特徵件底部沉積的物質多於在該凹狀特徵件壁面或場區內沉積的物質;以及d)利用化學氣相沉積法填充該特徵件的剩餘部分。
通過本文提供的說明書將更加清楚進一步的適用範圍。發明內容部分的描述與具體實施例只是為了說明的目的,而並非限制本發明的保護範圍。
以下描述本質上僅僅是舉例說明,而決非意圖限制本發明、本發明的應用或用途。為了清楚描述的目的,附圖中所使用的相同的參考數字表示
相似的元件。本文所使用的「A、B以及C的至少其中之一」用語應該解釋為以「或(or)」為邏輯運算子的邏輯關係,亦即為「A或B或C」。而應該理解地是在不改變本發明原理的基礎上,方法中的步驟可以不同的順序被執行。本文中採用的,鎢沉積與蝕刻涉及鎢或含鎢薄膜的沉積與蝕刻。
本發明涉及利用包括選擇性鎢/氮化鈦(W/TiN)蝕刻的工藝填充特徵件和/或製作基板(例如半導體基板)的系統與方法。說明書中所述「選擇性鎢蝕刻」是指一種使用含氟蝕刻劑進行蝕刻的步驟,且具有能夠利用溫度調整的蝕刻速率(鎢/鈦,鎢/氮化鈦)的蝕刻步驟。特別是,鈦/氮化鈦的選擇性鎢蝕刻是基於鎢與氮化鈦的氟(F)基蝕刻的視活化能的差異。在高溫下(例如T>150℃),該鎢/氮化鈦蝕刻速率比小於1。在低溫下(例如T<150℃),該鎢/氮化鈦蝕刻速率比大於1。在溫度T<50℃,該鎢/氮化鈦蝕刻速率比大於100:1。因此,依據本發明的系統與方法能夠提供範圍從小於0.5:1至大於100:1的蝕刻選擇性。
高蝕刻選擇性能夠實現新的集成方案以及利用鈦或氮化鈦作為蝕刻阻擋的製程控制。採用溫度受控底座或任何其他適合的方法可以控制溫度。此外,可以調整壓力改變蝕刻選擇性。依據本發明的蝕刻系統與方法是基於氟基蝕刻步驟塑型沉積在凹狀特徵件的含鎢薄膜,再通過隨後的化學氣相鎢沉積來實現無縫填充。在有些實施例中,該氟基物可從遠端電漿源中的三氟化氮/氬(NF3/Ar)或三氟化氮/氦(NF3/He)電漿或者其他途徑來產生。在有些實施例中,沉積或蝕刻在電漿輔助化學氣相沉積系統中進行,然而也可採用其他系統。雖然公開了氟基物,但是任何其他類型的活性氟類物均可使用。只是作為舉例,如熱活性氟氣也可用來產生活性氟類物。還可使用紫外線或微波活性氟。
儘管前述實施例描述在CVD腔室進行沉積與蝕刻步驟,然而可使用其他裝置進行。例如,含鎢薄膜的原子層沉積也可在ALD腔室中進行。蝕刻可在分開的蝕刻腔室中進行。
參考第3圖及第4圖,顯示了蝕刻選擇性作為時間函數而變化。可以看出,低溫提供較高的鎢/氮化鈦蝕刻選擇性。在第3圖中,顯示了鎢與氮化鈦的蝕刻速率的溫度相關性以及鎢/氮化鈦的蝕刻選擇性的溫度相關性。在第4圖中,顯示了作為給定蝕刻劑流量下,蝕刻速率與蝕刻速率選擇性與1/溫度(1/T)的函數關係。
參考第5圖至第8圖,顯示了鎢/鈦與鎢/氮化鈦的蝕刻速率以及蝕刻速率選擇性。在第5圖與第7圖中,顯示了舉例說明作為第一與第二蝕刻劑流量速率的溫度函數的鈦、氮化鈦的蝕刻速率的曲線圖。在第6圖至第8圖中,顯示了舉例說明作為第一與第二流量速率的溫度函數的鎢/鈦與鎢/氮化鈦的蝕刻速率選擇性的曲線圖。
由於能夠選擇性蝕刻鎢,因此能夠藉由凹狀特徵件頂部過度蝕刻的方式,增強該重新形成凹部區域的填塞。此外,由於蝕刻厚度無需精確控制,所以這還可用來改良製程邊際以及同時具有優化填充一定尺寸範圍區域的效果。
參考第9A圖至第9D圖,顯示了依據本發明將含鎢薄膜填充到凹狀特徵件的過程。該過程包括採用化學氣相沉積部分填充,使用含氟蝕刻劑進行選擇性鎢蝕刻、化學氣相鎢沉積以及化學機械拋光鎢。在第9A圖中,基板160包括凹狀特徵件164,例如觸點或通孔。將其沉積襯底/屏障層166(例如鈦或氮化鈦)後,並產生突出部168。
然後,利用部分化學氣相沉積法將含鎢薄膜170部分沉積在襯底/屏障層166上。在第9B圖與第9C圖中,利用上述的氟類物進行選擇性鎢回蝕刻步驟。在有些實施例中,該選擇性鎢蝕刻的溫度小於或等於150℃。在其他實施例中,該選擇性鎢蝕刻的溫度小於或等於100℃。在其他實施例中,該選擇性鎢蝕刻的溫度小於或等於50℃。
可以理解,被事先沉積在上表面以及突出部168附近的含鎢薄膜170的一部分被蝕刻至襯底/屏障層166。鎢沉積與選擇性鎢蝕刻步驟視需要可重複一次或多次。在第9D圖中,可進行CMP。從圖中可以看到,與第1A圖至第2D圖相比該鎖眼基本上被減少或消除了。
參考第10圖,顯示了舉例說明第9A圖至第9D圖中所採用的方法200的步驟流程圖。在步驟204,利用化學氣相沉積法以鎢進行凹狀特徵件的部分填充。在步驟208,對鎢層進行選擇性蝕刻,其可使用如上所述利用氟基物進行該選擇性鎢回蝕刻步驟。在有些實施例中,該選擇性鎢蝕刻的溫度小於或等於150℃。在其他實施例中,該選擇性鎢蝕刻的溫度小於或等於100℃。在其他實施例中,該選擇性鎢蝕刻的溫度小於或等於50℃。在步驟212,如果該特徵件在一次步驟中沒有被填滿,可控制再一次或多次重覆步驟204以及步驟208。回到步驟204再執行鎢的部分沉積,並返回步驟208
進行選擇性鎢蝕刻。在步驟216,採用化學氣相沉積法以鎢填充該特徵件。在步驟220進行CMP。
參考第11A圖至第11D圖,顯示了採用一或多個選擇性鎢蝕刻及選擇性鎢沉積步驟的鎢填充過程。利用選擇性鎢蝕刻與選擇性鎢沉積,選擇性鎢蝕刻能夠實現特徵件(例如溝道或通路)的「自下而上」的鎢填充。在第11A圖中,基板230包括凹狀特徵件232,例如觸點或通孔。沉積襯底/屏障層234(例如鈦或氮化鈦)並產生突出部236。然後,利用共形化學氣相沉積鎢填充將鎢層238沉積在該襯底/屏障層上。
這裏僅僅作為舉例,關於含鎢薄膜的共形化學氣相沉積法可見於例如:於2003年10月21日公告第6,635,965號,名稱為「具有改良階梯覆蓋的超薄鎢層的生產方法」的美國專利、於2006年11月28日公告第7,141,494號,名稱為「降低鎢薄膜的粗糙度與改善階梯覆蓋的方法」的美國專利、公開號第20080254623號,名稱為「高縱橫比與小區域的低阻抗鎢的生長方法」的美國專利,以及申請第12,755,248號,名稱為「用於小臨界尺寸接觸與互聯的超薄低阻抗鎢薄膜的沉積方法」的美國專利。這些專利都被作為參考納入。這些參考專利描述了一個或多個適合的共形化學氣相沉積法過程,然而也可以使用其他的共形化學氣相沉積法系統與方法。
在第11B圖中,進行選擇性鎢蝕刻步驟。該選擇性鎢回蝕刻步驟如上所述是利用氟類物來進行。在有些實施例中,該選擇性鎢蝕刻的溫度小於或等於150℃。在其他實施例中,該選擇性鎢蝕刻的溫度小於或等於100℃。在其他實施例中,該選擇性鎢蝕刻的溫度小於或等於50℃。在第11C圖中,進行選擇性化學氣相沉積以填充鎢(僅作為舉例,>50 nm)。這裏所利用的選擇性填充在該特徵件底部沉積的鎢多於在該特徵件壁面或場區沉積的鎢。
關於選擇性化學氣相沉積的填充方法例如:公開第20110059608號,名稱為「通孔中選擇性鎢沉積的系統及方法」的美國專利、於2012年2月21日公告第8,119,527號,名稱為「在高縱橫比的區域中進行鎢的沉積」的美國專利、於2012年2月21日公告第8,124,531號,名稱為「在高縱橫比的區域中進行鎢的沉積」的美國專利,以及公開第20120115329號,名稱為「在高縱橫比的區域中進行鎢的沉積」的美國專利。這些專利都被作為
參考納入。儘管這些參考專利描述了適合的選擇性化學氣相沉積填充方法,然而也可使用其他的選擇性化學氣相沉積填充系統及方法。
在第11D圖中,進行選擇性鎢蝕刻。視需要可以重複選擇性化學氣相沉積鎢填充與選擇性鎢蝕刻,而後可以進行化學機械拋光步驟。
參考第12圖,顯示了第11A圖至第11D圖採用的方法250的步驟。在步驟254,利用共形化學氣相沉積法以鎢填充凹狀特徵件。在步驟258,執行選擇性鎢蝕刻步驟。在步驟262,執行選擇性化學氣相沉積填充。在有些實施例中,沉積大於50 nm。在步驟264,執行選擇性鎢蝕刻。在步驟266,如果無法完成該區域之填充,可以進行額外的選擇性化學氣相沉積鎢填充以及選擇性鎢蝕刻。在步驟268,可執行CMP步驟。
參考第13A圖至第13C圖,顯示了依據現有技術在基板上製作一層薄膜的過程。在第13A圖中,氧化層304沉積在基板或底層300上,由鈦或氮化鈦製成的襯底/屏障層306沉積在氧化層304上。鎢層308沉積在襯底/屏障層306上。在第13B圖中,進行蝕刻步驟,從而移除部分鎢層308以及襯底/屏障層306的露出部分。之後,沉積鎢層316(或其他物質)。然而,此鎢層(或其他材料)316沉積在氧化層304處可能出現脫層現象。
參考第14A圖至第14C圖,顯示了利用選擇性鎢蝕刻製作薄膜層以及在該基板邊緣具有能控制化學氣相沉積鎢附著的方法。選擇性鎢蝕刻方法能在基板(例如晶圓)邊緣處的鈦或氮化鈦層上停止蝕刻,以防止在隨後的鎢沉積過程中薄膜剝落。如上所述該選擇性鎢回蝕刻步驟是利用氟類物來完成。在有些實施例中,該選擇性鎢蝕刻的溫度小於或等於150℃。在其他實施例中,該選擇性鎢蝕刻的溫度小於或等於100℃。在其他實施例中,該選擇性鎢蝕刻的溫度小於或等於50℃。
氧化層354沉積在基板或底層350上。鈦或氮化鈦層356沉積在該氧化層354上。鎢層362沉積在氮化鈦層356上。執行選擇性鎢蝕刻步驟,從而移除鎢層362的一部分而保留氮化鈦層356的露出部分。
鎢層(或其他物質)366沉積在鎢層362上。鎢層(或其他物質)366與底層氮化鈦之間產生了良好的附著力,因而使脫層的問題被減小或消除了。
參考第15圖,顯示了第14A圖至第14C圖所使用的方法400的步驟。在步驟404中,氧化層沉積在基板上。在步驟408中,鈦或氮化鈦層沉積
在該氧化層上。在步驟412中,沉積第一鎢層。在步驟416中,進行選擇性鎢蝕刻,蝕刻部分該第一鎢層。如上所述利用氟類物進行該選擇性鎢回蝕刻步驟。在有些實施例中,該選擇性鎢蝕刻的溫度小於或等於150℃。在其他實施例中,該選擇性鎢蝕刻的溫度小於或等於100℃。在其他實施例中,該選擇性鎢蝕刻的溫度小於或等於50℃。在步驟420中,第二鎢層或其他物質沉積在該第一鎢層以及至少部分該鈦或氮化鈦層上。
參考第16A圖至第16D圖,顯示了以鎢填充凹狀特徵件的其他方法。在第16A圖中,基板450限定具有夾點或突出部456的凹狀特徵件452(例如通路或接觸孔)。進行共形化學氣相沉積法,以454表示的鎢填充該特徵件。空隙458產生於該鎢層454中。
在第16B圖中,選擇性鎢蝕刻用於打開空隙458。如上所述利用氟類物進行該選擇性鎢回蝕刻步驟。在有些實施例中,該選擇性鎢蝕刻的溫度小於或等於150℃。在其他實施例中,該選擇性鎢蝕刻的溫度小於或等於100℃。在其他實施例中,該選擇性鎢蝕刻的溫度小於或等於50℃。
在第16C圖中,選擇性化學氣相沉積法鎢填充用來填充該特徵件至接近夾點456。在第16D圖中,進行共形化學氣相沉積法,填充該特徵件的剩餘部分。
提到的該方法中,直到該蝕刻過程結束,該通路是封閉的,從而保持該通路內的鎢完好無損。同時,場區中的鎢以及該夾點處的鎢被過蝕刻,從而露出了底層。相較於在該夾點與通路中露出的底層上鎢的緩慢生長,接下來的沉積步驟得以在該通路中現存的鎢上更快速地再生。這種在通路中選擇性快速再生允許在頂部夾斷之前實現完全填充。
參考第17圖,顯示了第16A圖至第16D圖所採用的方法520。在步驟524中,進行共形化學氣相沉積法來填充基板的特徵件。在步驟526中,利用選擇性鎢蝕刻打開空隙。在步驟530中,利用選擇性化學氣相沉積法以鎢填充該特徵件至接近夾點。在步驟534中,進行共形化學氣相沉積法來填充該特徵件的剩餘部分。而後可以進行化學機械拋光步驟。
在其他特徵中,加工氣體可以在選擇性鎢蝕刻期間被加入用來抑制鈦或氮化鈦的蝕刻。例如,可以添加氮氣、氧氣、氫氣、氦氣、氬氣或氟氣的其中一種或多種,以減緩鈦或氮化鈦蝕刻。例如,添加氧氣和氮氣時,會發生下列反應:
TiFx+2O → TiO2+x/2F2
TiFx+N → TiN+x/2F2
在其他特徵中,除了鈦或氮化鈦,該襯底/屏障層可由TiCxNx或氮化鉭(TaN)製得。又在其他特徵中,該襯底/屏障層可在鎢沉積之前被氧化而產生有效的蝕刻中斷。
本發明提供了鎢金屬化的新的加工能力,能夠為很多應用使用,例如邏輯與記憶接觸填充、DRAM埋入式閘字線填充、垂直集成記憶閘/字線填充、三維整合(直通矽穿孔,TSV)等。
參考第18圖,顯示了加工部分製造的半導體基板的基板加工系統600的實施例。該基板加工系統600包括帶有基座620的腔室618、噴頭614以及原位電漿發生器616。該系統600還包括系統控制器622,用以接收輸入和/或提供控制信號到各種裝置。雖然圖中僅顯示了單個腔室,但是本文描述的加工過程可在一個或多個加工腔室中實施。
蝕刻劑以及在某些實施例中的惰性氣體612(例如氬氣、氦氣以及其他的)從提供源602提供至遠端電漿發生器606,其中提供源602也可以是一儲存罐。任何適合的遠端電漿發生器606都可用於在蝕刻劑引入到腔室618之前將其活化。
遠端電漿發生器606典型地為一獨立的裝置,利用提供的該蝕刻劑以產生弱離子化電漿。嵌入到遠端電漿發生器606的大功率RF發生器提供電能至該電漿中的電子。該電能然後被傳輸至中性的蝕刻劑分子後,導致高溫並引起這些分子的熱分解。由於有RF的高能量,以及能夠使蝕刻劑吸收大部分該些能量的特殊通道構型,遠端電漿發生器606可解離大部分送入的蝕刻劑分子。
在某些實施例中,蝕刻劑從遠端電漿發生器606經過連接管路608流入腔室618,經過噴頭614分配該混合劑。在其他實施例中,蝕刻劑完全繞過遠端電漿發生器606直接流入腔室618(例如,基板處理系統600不包括這樣的發生器)。或者,儘管該蝕刻劑流入腔室618然而遠端電漿發生器606可以被關閉,例如不需要活化該蝕刻劑時。
可使用電漿發生器616。在一實施例中,發生器616為高頻(HF)發生器,能夠提供大約0 W至10000 W,頻率大約在1 MHz至100 MHz之間。在很多具體的實施例中,該HF發生器可發送大約0 W至5000 W,頻率大
約為13.56 MHz。RF發生器616可產生原位電漿,從而增強最初的鎢層的移除。在某些實施例中,在移除操作過程中,不使用RF發生器616。
腔室618可包括一個或多個感測器624,用於感測各種處理參數,例如沉積與蝕刻的等級、濃度、壓力、溫度及其他參數。感測器624可提供處理過程中腔室環境資訊給系統控制器622。感測器624的實施例包括質量流量控制器、壓力感測器、熱電偶以及其他器件。感測器624還可包括紅外線檢測器或光學檢測器,用來監測該腔室中氣體的出現以及控制計量。
沉積與選擇性移除操作產生各種揮發性物質,這些物質從腔室618排出。而且,處理過程是在預設壓力值的腔室618進行的。利用真空出口626實現這些功能,該真空出口可為真空泵。
系統控制器622執行系統控制軟體,包括控制定時、氣體混合物、腔室壓力、腔室溫度以及其他特定處理參數的指令集。儲存在與該控制器連接的記憶裝置中的其他電腦程式在有些實施例中可以採用。
以加工次序控制該處理過程的電腦程式碼可以為任何傳統的電腦可讀的程式語言,例如,組合語言、C語言、C++語言、Pascal語言、Fortran語言或其他電腦語言。在程式中處理器執行編譯的目標代碼或腳本以完成任務確認。該系統軟體可以多種不同的方式設計或配置。例如,各種腔室元件子程式或控制目標可以寫入,用來控制所述處理過程中該腔室元件所需的操作。為此目的的程式或部分程式例如包括加工氣體控制編碼、壓力控制編碼以及電漿控制編碼。
該控制器參數涉及加工條件,例如,每個操作的定時、腔室內的壓力、基板溫度、蝕刻劑流量等。這些參數以處方的形式提供給使用者,並可以利用用戶介面進入。監測該處理過程的信號可通過系統控制器622的類比和/或數位輸入連接節點提供。控制該處理過程的信號透過基板處理系統600的類比與數位輸出連接,則可輸出處理過程的控制信號。。
參考第19圖,顯示了包括沉積腔室702及蝕刻腔室704的基板加工工具700的實施例。該含鎢薄膜的沉積可在沉積腔室702中進行。控制器708可用於控制沉積腔室702和/或蝕刻腔室704的操作。控制器708利用基板處理器712可啟動輸送基板到蝕刻腔室704和/或沉積腔室702,或從蝕刻腔室704和/或沉積腔室702輸送基板。儘管沉積腔室702與蝕刻腔室704
被顯示為同一基板加工工具700的部件,但是沉積腔室702與蝕刻腔室704可以與不同的工具連接。
本發明的廣泛教導能夠以各種形式實施。因此,儘管本文的描述包括特殊的實施例,但是不應因為本領域技術人員在圖式、說明書及申請專利範圍所教示的基礎上顯而易見地獲得了其他改變而限制了本發明的實際保護範圍。
本申請案主張於2011年6月30日提出的美國專利申請第61/503,271號的利益。上述申請公開的內容全部作為參考納入到本文。
100、130、160、230、450‧‧‧基板
104、134、164、232、452‧‧‧凹狀特徵件
108、138、166、234、306‧‧‧襯底/屏障層
110、140、168、236、456‧‧‧突出部
112、170‧‧‧含鎢薄膜
114、144‧‧‧鎖眼
142、238、308、316、362、366、454‧‧‧鎢層
200、250、400、520‧‧‧方法
204、208、212、216、220‧‧‧步驟
254、258、262、264、266、268‧‧‧步驟
300、350‧‧‧底層
304、354‧‧‧氧化層
356‧‧‧鈦或氮化鈦
404、408、412、416、420‧‧‧步驟
458‧‧‧空隙
524、526、530、534‧‧‧步驟
600‧‧‧基板加工系統
602‧‧‧提供源
606‧‧‧遠端電漿發生器
608‧‧‧連接管路
610‧‧‧混合槽
612‧‧‧惰性氣體
614‧‧‧噴頭
616‧‧‧原位電漿發生器
618‧‧‧腔室
620‧‧‧基座
622‧‧‧系統控制器
624‧‧‧感測器
626‧‧‧真空出口
700‧‧‧基板加工工具
702‧‧‧沉積腔室
704‧‧‧蝕刻腔室
708‧‧‧控制器
712‧‧‧基板處理器
從詳細描述以及所附圖式能夠更加全面理解本發明,其中:第1圖舉例說明依據現有技術採用化學氣相沉積法(CVD)的鎢填充過程的舉例;第2圖舉例說明依據現有技術採用化學氣相沉積法(CVD)的鎢填充過程的另一舉例;第3圖為顯示依據本發明鎢與氮化鈦的蝕刻速率以及蝕刻速率敏感性作為溫度(T)的函數的曲線圖;第4圖為顯示依據本發明鎢與氮化鈦的蝕刻速率以及蝕刻速率敏感性作為1/溫度(1/T)的函數的曲線圖;第5圖與第6圖為舉例說明依據本發明鈦與氮化鈦的蝕刻速率以及鎢/鈦與鎢/氮化鈦的選擇性作為對第一蝕刻劑流速下溫度的函數的曲線圖;第7圖與第8圖為舉例說明依據本發明鈦與氮化鈦的蝕刻速率以及鎢/鈦與鎢/氮化鈦的選擇性作為對第二蝕刻劑流速下溫度的函數的曲線圖;第9A圖至第9D圖舉例說明依據本發明的填充過程的實施例;第10圖為舉例說明依據本發明第9A圖至第9D圖所使用的方法的步驟流程圖;第11A圖至第11D圖舉例說明依據本發明的填充過程的另一實施例;第12圖為舉例說明依據本發明的第11A圖至第11D圖所使用的方法的步驟流程圖;第13A圖至第13C圖舉例說明依據現有技術在基板上製作一層薄膜的
過程;第14A圖至第14C圖舉例說明依據本發明在基板上製作一層薄膜的過程的實施例;第15圖為舉例說明第14A圖至第14C圖所使用的方法的步驟流程圖;第16A圖至第16D圖舉例說明依據本發明的填充過程的另一實施例;第17圖為舉例說明第16A圖至第16D圖所使用的方法的步驟流程圖;第18圖舉例說明加工腔室的示意圖;以及第19圖舉例說明包括沉積腔室與蝕刻腔室在內的基板加工工具的實施例。
400‧‧‧方法
404‧‧‧步驟
408‧‧‧步驟
412‧‧‧步驟
416‧‧‧步驟
420‧‧‧步驟
Claims (20)
- 一種在基板上產生薄膜的方法,包括:a)利用化學氣相沉積法(CVD)以及原子層沉積法(ALD)的至少其中之一,在一底層上沉積一含鎢薄膜層,其中在該基板的邊緣處,該底層配置在一氧化層上;b)在一預設溫度下,利用活性氟類物選擇性地蝕刻該含鎢薄膜層,使該含鎢薄膜層的蝕刻移除多於該底層,使得該含鎢薄膜層僅部分地覆蓋該底層,且在該基板的邊緣處,該底層保留在該氧化層上;以及c)利用化學氣相沉積法與原子層沉積法的至少其中之一,在該含鎢薄膜層上、及該基板之邊緣處的該底層之曝露部分上沉積一薄膜層,使得所沉積之該薄膜層與該底層之間發生黏著。
- 如申請專利範圍第1項所述的方法,其中該底層包括一襯底/屏障層。
- 如申請專利範圍第2項所述的方法,其中該襯底/屏障層包含鈦與鉭的其中之一。
- 如申請專利範圍第2項所述的方法,其中該襯底/屏障層包含鈦、氮化鈦、氮化鉭以及TiCxNx的其中之一。
- 如申請專利範圍第1項所述的方法,其中該預設溫度小於或等於150℃。
- 如申請專利範圍第1項所述的方法,其中該預設溫度小於或等於100℃。
- 如申請專利範圍第1項所述的方法,其中該預設溫度小於或等於50℃。
- 如申請專利範圍第1項所述的方法,進一步包括添加氮氣、氧氣、氫氣、氦氣、氬氣或氟氣的其中一種或多種,以減緩該襯底/屏障層的蝕刻。
- 如申請專利範圍第1項所述的方法,進一步包括在步驟a)之前氧化該底層。
- 如申請專利範圍第1項所述的方法,其中該薄膜層包含鎢。
- 一種在基板上沉積薄膜的設備,包括:一個或多個腔室;一提供源,提供活性氟類物;以及一控制器,啟動該一個或多個腔室中以下步驟之開始:a)利用化學氣相沉積法(CVD)以及原子層沉積法(ALD)的至少其中之一,在一底層上沉積一含鎢薄膜層,其中在該基板的邊緣處,該底層配置一氧化層上;b)在一預設溫度下,利用活性氟類物選擇性地蝕刻該含鎢薄膜層,使該含鎢薄膜層的蝕刻移除多於該底層,使得該含鎢薄膜層僅部分地覆蓋該底層,且在該基板的邊緣處,該底層保留在該氧化層上;以及c)在該含鎢薄膜層上、及該基板之邊緣處的該底層之曝露部分上沉積一薄膜層,使得所沉積之該薄膜層與該底層之間發生黏著。
- 如申請專利範圍第11項所述的設備,其中該底層包括一襯底/屏障層。
- 如申請專利範圍第12項所述的設備,其中該襯底/屏障層包含鈦與鉭的其中之一。
- 如申請專利範圍第12項所述的設備,其中該襯底/屏障層包含鈦、氮化鈦、氮化鉭以及TiCxNx的其中之一。
- 如申請專利範圍第11項所述的設備,其中該預設溫度小於或等於150℃。
- 如申請專利範圍第11項所述的設備,其中該預設溫度小於或等於100℃。
- 如申請專利範圍第11項所述的設備,其中該預設溫度小於或等於50℃。
- 如申請專利範圍第11項所述的設備,其中該控制器開始實施添加氮氣、氧氣、氫氣、氦氣、氬氣或氟氣的其中一種或多種,以減緩該襯底/屏障層的蝕刻。
- 如申請專利範圍第11項所述的設備,其中該控制器在步驟a)之前開始實施該底層的氧化。
- 如申請專利範圍第11項所述的設備,其中該薄膜層包含鎢。
Applications Claiming Priority (2)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US201161503271P | 2011-06-30 | 2011-06-30 | |
US13/536,095 US8883637B2 (en) | 2011-06-30 | 2012-06-28 | Systems and methods for controlling etch selectivity of various materials |
Publications (2)
Publication Number | Publication Date |
---|---|
TW201308422A TW201308422A (zh) | 2013-02-16 |
TWI557796B true TWI557796B (zh) | 2016-11-11 |
Family
ID=47391082
Family Applications (2)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
TW101123763A TWI557796B (zh) | 2011-06-30 | 2012-07-02 | 用於控制各種材料的蝕刻選擇性的系統及方法 |
TW101123764A TWI558836B (zh) | 2011-06-30 | 2012-07-02 | 用於控制各種材料的蝕刻選擇性的系統及方法 |
Family Applications After (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
TW101123764A TWI558836B (zh) | 2011-06-30 | 2012-07-02 | 用於控制各種材料的蝕刻選擇性的系統及方法 |
Country Status (4)
Country | Link |
---|---|
US (1) | US8883637B2 (zh) |
KR (1) | KR101951062B1 (zh) |
TW (2) | TWI557796B (zh) |
WO (1) | WO2013003676A2 (zh) |
Families Citing this family (164)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US8129270B1 (en) | 2008-12-10 | 2012-03-06 | Novellus Systems, Inc. | Method for depositing tungsten film having low resistivity, low roughness and high reflectivity |
US20100144140A1 (en) * | 2008-12-10 | 2010-06-10 | Novellus Systems, Inc. | Methods for depositing tungsten films having low resistivity for gapfill applications |
US10256142B2 (en) | 2009-08-04 | 2019-04-09 | Novellus Systems, Inc. | Tungsten feature fill with nucleation inhibition |
US9548228B2 (en) | 2009-08-04 | 2017-01-17 | Lam Research Corporation | Void free tungsten fill in different sized features |
US9324576B2 (en) | 2010-05-27 | 2016-04-26 | Applied Materials, Inc. | Selective etch for silicon films |
US10283321B2 (en) | 2011-01-18 | 2019-05-07 | Applied Materials, Inc. | Semiconductor processing system and methods using capacitively coupled plasma |
US9064815B2 (en) | 2011-03-14 | 2015-06-23 | Applied Materials, Inc. | Methods for etch of metal and metal-oxide films |
US8999856B2 (en) | 2011-03-14 | 2015-04-07 | Applied Materials, Inc. | Methods for etch of sin films |
US8771536B2 (en) | 2011-08-01 | 2014-07-08 | Applied Materials, Inc. | Dry-etch for silicon-and-carbon-containing films |
US8808563B2 (en) | 2011-10-07 | 2014-08-19 | Applied Materials, Inc. | Selective etch of silicon by way of metastable hydrogen termination |
TWI602283B (zh) | 2012-03-27 | 2017-10-11 | 諾發系統有限公司 | 鎢特徵部塡充 |
US9267739B2 (en) | 2012-07-18 | 2016-02-23 | Applied Materials, Inc. | Pedestal with multi-zone temperature control and multiple purge capabilities |
US9373517B2 (en) | 2012-08-02 | 2016-06-21 | Applied Materials, Inc. | Semiconductor processing with DC assisted RF power for improved control |
US9034770B2 (en) | 2012-09-17 | 2015-05-19 | Applied Materials, Inc. | Differential silicon oxide etch |
US9023734B2 (en) | 2012-09-18 | 2015-05-05 | Applied Materials, Inc. | Radical-component oxide etch |
US9390937B2 (en) | 2012-09-20 | 2016-07-12 | Applied Materials, Inc. | Silicon-carbon-nitride selective etch |
US9132436B2 (en) | 2012-09-21 | 2015-09-15 | Applied Materials, Inc. | Chemical control features in wafer process equipment |
US8969212B2 (en) | 2012-11-20 | 2015-03-03 | Applied Materials, Inc. | Dry-etch selectivity |
US9064816B2 (en) * | 2012-11-30 | 2015-06-23 | Applied Materials, Inc. | Dry-etch for selective oxidation removal |
US8980763B2 (en) | 2012-11-30 | 2015-03-17 | Applied Materials, Inc. | Dry-etch for selective tungsten removal |
US9111877B2 (en) | 2012-12-18 | 2015-08-18 | Applied Materials, Inc. | Non-local plasma oxide etch |
US8921234B2 (en) | 2012-12-21 | 2014-12-30 | Applied Materials, Inc. | Selective titanium nitride etching |
US10256079B2 (en) | 2013-02-08 | 2019-04-09 | Applied Materials, Inc. | Semiconductor processing systems having multiple plasma configurations |
US9362130B2 (en) | 2013-03-01 | 2016-06-07 | Applied Materials, Inc. | Enhanced etching processes using remote plasma sources |
US9040422B2 (en) | 2013-03-05 | 2015-05-26 | Applied Materials, Inc. | Selective titanium nitride removal |
US10170282B2 (en) | 2013-03-08 | 2019-01-01 | Applied Materials, Inc. | Insulated semiconductor faceplate designs |
US9887095B2 (en) | 2013-03-12 | 2018-02-06 | Taiwan Semiconductor Manufacturing Company, Ltd. | System and method for an etch process with silicon concentration control |
US20140271097A1 (en) | 2013-03-15 | 2014-09-18 | Applied Materials, Inc. | Processing systems and methods for halide scavenging |
US9493879B2 (en) | 2013-07-12 | 2016-11-15 | Applied Materials, Inc. | Selective sputtering for pattern transfer |
US9773648B2 (en) | 2013-08-30 | 2017-09-26 | Applied Materials, Inc. | Dual discharge modes operation for remote plasma |
US8956980B1 (en) | 2013-09-16 | 2015-02-17 | Applied Materials, Inc. | Selective etch of silicon nitride |
US9576809B2 (en) | 2013-11-04 | 2017-02-21 | Applied Materials, Inc. | Etch suppression with germanium |
US9236265B2 (en) | 2013-11-04 | 2016-01-12 | Applied Materials, Inc. | Silicon germanium processing |
US9520303B2 (en) | 2013-11-12 | 2016-12-13 | Applied Materials, Inc. | Aluminum selective etch |
US9245762B2 (en) | 2013-12-02 | 2016-01-26 | Applied Materials, Inc. | Procedure for etch rate consistency |
US9117855B2 (en) | 2013-12-04 | 2015-08-25 | Applied Materials, Inc. | Polarity control for remote plasma |
US9263278B2 (en) | 2013-12-17 | 2016-02-16 | Applied Materials, Inc. | Dopant etch selectivity control |
TWI654333B (zh) | 2013-12-18 | 2019-03-21 | 美商蘭姆研究公司 | 具有均勻性折流板之半導體基板處理設備 |
US9190293B2 (en) | 2013-12-18 | 2015-11-17 | Applied Materials, Inc. | Even tungsten etch for high aspect ratio trenches |
US9704798B2 (en) * | 2013-12-20 | 2017-07-11 | Intel Corporation | Using materials with different etch rates to fill trenches in semiconductor devices |
US9287134B2 (en) | 2014-01-17 | 2016-03-15 | Applied Materials, Inc. | Titanium oxide etch |
US9293568B2 (en) | 2014-01-27 | 2016-03-22 | Applied Materials, Inc. | Method of fin patterning |
US9396989B2 (en) | 2014-01-27 | 2016-07-19 | Applied Materials, Inc. | Air gaps between copper lines |
US9385028B2 (en) | 2014-02-03 | 2016-07-05 | Applied Materials, Inc. | Air gap process |
US9290843B2 (en) | 2014-02-11 | 2016-03-22 | Lam Research Corporation | Ball screw showerhead module adjuster assembly for showerhead module of semiconductor substrate processing apparatus |
US9425078B2 (en) * | 2014-02-26 | 2016-08-23 | Lam Research Corporation | Inhibitor plasma mediated atomic layer deposition for seamless feature fill |
US9499898B2 (en) | 2014-03-03 | 2016-11-22 | Applied Materials, Inc. | Layered thin film heater and method of fabrication |
US9299575B2 (en) | 2014-03-17 | 2016-03-29 | Applied Materials, Inc. | Gas-phase tungsten etch |
US9299538B2 (en) | 2014-03-20 | 2016-03-29 | Applied Materials, Inc. | Radial waveguide systems and methods for post-match control of microwaves |
US9299537B2 (en) | 2014-03-20 | 2016-03-29 | Applied Materials, Inc. | Radial waveguide systems and methods for post-match control of microwaves |
US9136273B1 (en) | 2014-03-21 | 2015-09-15 | Applied Materials, Inc. | Flash gate air gap |
US9903020B2 (en) | 2014-03-31 | 2018-02-27 | Applied Materials, Inc. | Generation of compact alumina passivation layers on aluminum plasma equipment components |
US9269590B2 (en) | 2014-04-07 | 2016-02-23 | Applied Materials, Inc. | Spacer formation |
US9653352B2 (en) * | 2014-04-11 | 2017-05-16 | Applied Materials, Inc. | Methods for forming metal organic tungsten for middle of the line (MOL) applications |
US9309598B2 (en) | 2014-05-28 | 2016-04-12 | Applied Materials, Inc. | Oxide and metal removal |
US9847289B2 (en) | 2014-05-30 | 2017-12-19 | Applied Materials, Inc. | Protective via cap for improved interconnect performance |
US9378969B2 (en) | 2014-06-19 | 2016-06-28 | Applied Materials, Inc. | Low temperature gas-phase carbon removal |
US9406523B2 (en) | 2014-06-19 | 2016-08-02 | Applied Materials, Inc. | Highly selective doped oxide removal method |
US9425058B2 (en) | 2014-07-24 | 2016-08-23 | Applied Materials, Inc. | Simplified litho-etch-litho-etch process |
US9496167B2 (en) | 2014-07-31 | 2016-11-15 | Applied Materials, Inc. | Integrated bit-line airgap formation and gate stack post clean |
US9159606B1 (en) | 2014-07-31 | 2015-10-13 | Applied Materials, Inc. | Metal air gap |
US9378978B2 (en) | 2014-07-31 | 2016-06-28 | Applied Materials, Inc. | Integrated oxide recess and floating gate fin trimming |
US9165786B1 (en) | 2014-08-05 | 2015-10-20 | Applied Materials, Inc. | Integrated oxide and nitride recess for better channel contact in 3D architectures |
US9659753B2 (en) | 2014-08-07 | 2017-05-23 | Applied Materials, Inc. | Grooved insulator to reduce leakage current |
US9553102B2 (en) | 2014-08-19 | 2017-01-24 | Applied Materials, Inc. | Tungsten separation |
US9355856B2 (en) | 2014-09-12 | 2016-05-31 | Applied Materials, Inc. | V trench dry etch |
US9355862B2 (en) | 2014-09-24 | 2016-05-31 | Applied Materials, Inc. | Fluorine-based hardmask removal |
US9368364B2 (en) | 2014-09-24 | 2016-06-14 | Applied Materials, Inc. | Silicon etch process with tunable selectivity to SiO2 and other materials |
US9613822B2 (en) | 2014-09-25 | 2017-04-04 | Applied Materials, Inc. | Oxide etch selectivity enhancement |
US9966240B2 (en) | 2014-10-14 | 2018-05-08 | Applied Materials, Inc. | Systems and methods for internal surface conditioning assessment in plasma processing equipment |
US9355922B2 (en) | 2014-10-14 | 2016-05-31 | Applied Materials, Inc. | Systems and methods for internal surface conditioning in plasma processing equipment |
US11637002B2 (en) | 2014-11-26 | 2023-04-25 | Applied Materials, Inc. | Methods and systems to enhance process uniformity |
US9299583B1 (en) | 2014-12-05 | 2016-03-29 | Applied Materials, Inc. | Aluminum oxide selective etch |
US10573496B2 (en) | 2014-12-09 | 2020-02-25 | Applied Materials, Inc. | Direct outlet toroidal plasma source |
US10224210B2 (en) | 2014-12-09 | 2019-03-05 | Applied Materials, Inc. | Plasma processing system with direct outlet toroidal plasma source |
US9502258B2 (en) | 2014-12-23 | 2016-11-22 | Applied Materials, Inc. | Anisotropic gap etch |
US9343272B1 (en) | 2015-01-08 | 2016-05-17 | Applied Materials, Inc. | Self-aligned process |
US11257693B2 (en) | 2015-01-09 | 2022-02-22 | Applied Materials, Inc. | Methods and systems to improve pedestal temperature control |
US9373522B1 (en) | 2015-01-22 | 2016-06-21 | Applied Mateials, Inc. | Titanium nitride removal |
US9449846B2 (en) | 2015-01-28 | 2016-09-20 | Applied Materials, Inc. | Vertical gate separation |
US20160225652A1 (en) | 2015-02-03 | 2016-08-04 | Applied Materials, Inc. | Low temperature chuck for plasma processing systems |
US9728437B2 (en) | 2015-02-03 | 2017-08-08 | Applied Materials, Inc. | High temperature chuck for plasma processing systems |
US9881805B2 (en) | 2015-03-02 | 2018-01-30 | Applied Materials, Inc. | Silicon selective removal |
US9595466B2 (en) | 2015-03-20 | 2017-03-14 | Applied Materials, Inc. | Methods for etching via atomic layer deposition (ALD) cycles |
US9691645B2 (en) | 2015-08-06 | 2017-06-27 | Applied Materials, Inc. | Bolted wafer chuck thermal management systems and methods for wafer processing systems |
US9741593B2 (en) | 2015-08-06 | 2017-08-22 | Applied Materials, Inc. | Thermal management systems and methods for wafer processing systems |
US9972504B2 (en) | 2015-08-07 | 2018-05-15 | Lam Research Corporation | Atomic layer etching of tungsten for enhanced tungsten deposition fill |
US9349605B1 (en) | 2015-08-07 | 2016-05-24 | Applied Materials, Inc. | Oxide etch selectivity systems and methods |
US9978610B2 (en) | 2015-08-21 | 2018-05-22 | Lam Research Corporation | Pulsing RF power in etch process to enhance tungsten gapfill performance |
US10504700B2 (en) | 2015-08-27 | 2019-12-10 | Applied Materials, Inc. | Plasma etching systems and methods with secondary plasma injection |
US10533251B2 (en) | 2015-12-31 | 2020-01-14 | Lam Research Corporation | Actuator to dynamically adjust showerhead tilt in a semiconductor processing apparatus |
US10504754B2 (en) | 2016-05-19 | 2019-12-10 | Applied Materials, Inc. | Systems and methods for improved semiconductor etching and component protection |
US10522371B2 (en) | 2016-05-19 | 2019-12-31 | Applied Materials, Inc. | Systems and methods for improved semiconductor etching and component protection |
US10453701B2 (en) | 2016-06-01 | 2019-10-22 | Asm Ip Holding B.V. | Deposition of organic films |
US9865484B1 (en) | 2016-06-29 | 2018-01-09 | Applied Materials, Inc. | Selective etch using material modification and RF pulsing |
US10566211B2 (en) | 2016-08-30 | 2020-02-18 | Lam Research Corporation | Continuous and pulsed RF plasma for etching metals |
US10629473B2 (en) | 2016-09-09 | 2020-04-21 | Applied Materials, Inc. | Footing removal for nitride spacer |
US10062575B2 (en) | 2016-09-09 | 2018-08-28 | Applied Materials, Inc. | Poly directional etch by oxidation |
US10062585B2 (en) | 2016-10-04 | 2018-08-28 | Applied Materials, Inc. | Oxygen compatible plasma source |
US9934942B1 (en) | 2016-10-04 | 2018-04-03 | Applied Materials, Inc. | Chamber with flow-through source |
US9721789B1 (en) | 2016-10-04 | 2017-08-01 | Applied Materials, Inc. | Saving ion-damaged spacers |
US10546729B2 (en) | 2016-10-04 | 2020-01-28 | Applied Materials, Inc. | Dual-channel showerhead with improved profile |
US10062579B2 (en) | 2016-10-07 | 2018-08-28 | Applied Materials, Inc. | Selective SiN lateral recess |
US9947549B1 (en) | 2016-10-10 | 2018-04-17 | Applied Materials, Inc. | Cobalt-containing material removal |
US10163696B2 (en) | 2016-11-11 | 2018-12-25 | Applied Materials, Inc. | Selective cobalt removal for bottom up gapfill |
US9768034B1 (en) | 2016-11-11 | 2017-09-19 | Applied Materials, Inc. | Removal methods for high aspect ratio structures |
US10026621B2 (en) | 2016-11-14 | 2018-07-17 | Applied Materials, Inc. | SiN spacer profile patterning |
US10242908B2 (en) | 2016-11-14 | 2019-03-26 | Applied Materials, Inc. | Airgap formation with damage-free copper |
US11430656B2 (en) * | 2016-11-29 | 2022-08-30 | Asm Ip Holding B.V. | Deposition of oxide thin films |
US10566206B2 (en) | 2016-12-27 | 2020-02-18 | Applied Materials, Inc. | Systems and methods for anisotropic material breakthrough |
US10431429B2 (en) | 2017-02-03 | 2019-10-01 | Applied Materials, Inc. | Systems and methods for radial and azimuthal control of plasma uniformity |
US10403507B2 (en) | 2017-02-03 | 2019-09-03 | Applied Materials, Inc. | Shaped etch profile with oxidation |
US10043684B1 (en) | 2017-02-06 | 2018-08-07 | Applied Materials, Inc. | Self-limiting atomic thermal etching systems and methods |
US10319739B2 (en) | 2017-02-08 | 2019-06-11 | Applied Materials, Inc. | Accommodating imperfectly aligned memory holes |
US10943834B2 (en) | 2017-03-13 | 2021-03-09 | Applied Materials, Inc. | Replacement contact process |
US10319649B2 (en) | 2017-04-11 | 2019-06-11 | Applied Materials, Inc. | Optical emission spectroscopy (OES) for remote plasma monitoring |
US11276590B2 (en) | 2017-05-17 | 2022-03-15 | Applied Materials, Inc. | Multi-zone semiconductor substrate supports |
US11276559B2 (en) | 2017-05-17 | 2022-03-15 | Applied Materials, Inc. | Semiconductor processing chamber for multiple precursor flow |
US10497579B2 (en) | 2017-05-31 | 2019-12-03 | Applied Materials, Inc. | Water-free etching methods |
US10049891B1 (en) | 2017-05-31 | 2018-08-14 | Applied Materials, Inc. | Selective in situ cobalt residue removal |
US10920320B2 (en) | 2017-06-16 | 2021-02-16 | Applied Materials, Inc. | Plasma health determination in semiconductor substrate processing reactors |
US10541246B2 (en) | 2017-06-26 | 2020-01-21 | Applied Materials, Inc. | 3D flash memory cells which discourage cross-cell electrical tunneling |
US10727080B2 (en) | 2017-07-07 | 2020-07-28 | Applied Materials, Inc. | Tantalum-containing material removal |
US10541184B2 (en) | 2017-07-11 | 2020-01-21 | Applied Materials, Inc. | Optical emission spectroscopic techniques for monitoring etching |
US10354889B2 (en) | 2017-07-17 | 2019-07-16 | Applied Materials, Inc. | Non-halogen etching of silicon-containing materials |
US10190216B1 (en) | 2017-07-25 | 2019-01-29 | Lam Research Corporation | Showerhead tilt mechanism |
US10043674B1 (en) | 2017-08-04 | 2018-08-07 | Applied Materials, Inc. | Germanium etching systems and methods |
US10170336B1 (en) | 2017-08-04 | 2019-01-01 | Applied Materials, Inc. | Methods for anisotropic control of selective silicon removal |
US10297458B2 (en) | 2017-08-07 | 2019-05-21 | Applied Materials, Inc. | Process window widening using coated parts in plasma etch processes |
CN111095490B (zh) * | 2017-09-25 | 2023-09-22 | 株式会社国际电气 | 半导体装置的制造方法、基板处理装置和记录介质 |
US10283324B1 (en) | 2017-10-24 | 2019-05-07 | Applied Materials, Inc. | Oxygen treatment for nitride etching |
US10128086B1 (en) | 2017-10-24 | 2018-11-13 | Applied Materials, Inc. | Silicon pretreatment for nitride removal |
JP7018748B2 (ja) * | 2017-11-28 | 2022-02-14 | 東京エレクトロン株式会社 | 成膜方法及び成膜条件の算出方法 |
US10256112B1 (en) | 2017-12-08 | 2019-04-09 | Applied Materials, Inc. | Selective tungsten removal |
US10903054B2 (en) | 2017-12-19 | 2021-01-26 | Applied Materials, Inc. | Multi-zone gas distribution systems and methods |
US11328909B2 (en) | 2017-12-22 | 2022-05-10 | Applied Materials, Inc. | Chamber conditioning and removal processes |
US10854426B2 (en) | 2018-01-08 | 2020-12-01 | Applied Materials, Inc. | Metal recess for semiconductor structures |
US10685905B2 (en) | 2018-01-24 | 2020-06-16 | Toyota Motor Engineering & Manufacturing North America, Inc. | Multi-layer cooling structure including through-silicon vias through a plurality of directly-bonded substrates and methods of making the same |
US10157817B1 (en) | 2018-01-26 | 2018-12-18 | Toyota Motor Engineering & Manufacturing North America, Inc. | Chip-scale cooling device having through-silicon vias and flow directing features |
US10679870B2 (en) | 2018-02-15 | 2020-06-09 | Applied Materials, Inc. | Semiconductor processing chamber multistage mixing apparatus |
US10964512B2 (en) | 2018-02-15 | 2021-03-30 | Applied Materials, Inc. | Semiconductor processing chamber multistage mixing apparatus and methods |
TWI716818B (zh) | 2018-02-28 | 2021-01-21 | 美商應用材料股份有限公司 | 形成氣隙的系統及方法 |
US10593560B2 (en) | 2018-03-01 | 2020-03-17 | Applied Materials, Inc. | Magnetic induction plasma source for semiconductor processes and equipment |
US10319600B1 (en) | 2018-03-12 | 2019-06-11 | Applied Materials, Inc. | Thermal silicon etch |
US10497573B2 (en) | 2018-03-13 | 2019-12-03 | Applied Materials, Inc. | Selective atomic layer etching of semiconductor materials |
US10573527B2 (en) | 2018-04-06 | 2020-02-25 | Applied Materials, Inc. | Gas-phase selective etching systems and methods |
US10490406B2 (en) | 2018-04-10 | 2019-11-26 | Appled Materials, Inc. | Systems and methods for material breakthrough |
US10699879B2 (en) | 2018-04-17 | 2020-06-30 | Applied Materials, Inc. | Two piece electrode assembly with gap for plasma control |
US10886137B2 (en) | 2018-04-30 | 2021-01-05 | Applied Materials, Inc. | Selective nitride removal |
US11078570B2 (en) * | 2018-06-29 | 2021-08-03 | Lam Research Corporation | Azimuthal critical dimension non-uniformity for double patterning process |
US10755941B2 (en) | 2018-07-06 | 2020-08-25 | Applied Materials, Inc. | Self-limiting selective etching systems and methods |
US10872778B2 (en) | 2018-07-06 | 2020-12-22 | Applied Materials, Inc. | Systems and methods utilizing solid-phase etchants |
US10672642B2 (en) | 2018-07-24 | 2020-06-02 | Applied Materials, Inc. | Systems and methods for pedestal configuration |
US10892198B2 (en) | 2018-09-14 | 2021-01-12 | Applied Materials, Inc. | Systems and methods for improved performance in semiconductor processing |
US11049755B2 (en) | 2018-09-14 | 2021-06-29 | Applied Materials, Inc. | Semiconductor substrate supports with embedded RF shield |
US11062887B2 (en) | 2018-09-17 | 2021-07-13 | Applied Materials, Inc. | High temperature RF heater pedestals |
US11417534B2 (en) | 2018-09-21 | 2022-08-16 | Applied Materials, Inc. | Selective material removal |
US11682560B2 (en) | 2018-10-11 | 2023-06-20 | Applied Materials, Inc. | Systems and methods for hafnium-containing film removal |
US11121002B2 (en) | 2018-10-24 | 2021-09-14 | Applied Materials, Inc. | Systems and methods for etching metals and metal derivatives |
US11437242B2 (en) | 2018-11-27 | 2022-09-06 | Applied Materials, Inc. | Selective removal of silicon-containing materials |
US11721527B2 (en) | 2019-01-07 | 2023-08-08 | Applied Materials, Inc. | Processing chamber mixing systems |
US10920319B2 (en) | 2019-01-11 | 2021-02-16 | Applied Materials, Inc. | Ceramic showerheads with conductive electrodes |
JP7257930B2 (ja) * | 2019-10-08 | 2023-04-14 | 東京エレクトロン株式会社 | 基板処理方法及び基板処理装置 |
WO2021202229A1 (en) | 2020-03-31 | 2021-10-07 | Mattson Technology, Inc. | Processing of workpieces using fluorocarbon plasma |
Citations (4)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US5641710A (en) * | 1996-06-10 | 1997-06-24 | Taiwan Semiconductor Manufacturing Company, Ltd. | Post tungsten etch back anneal, to improve aluminum step coverage |
US6309977B1 (en) * | 1999-02-10 | 2001-10-30 | Applied Materials, Inc. | Method for the etchback of a conductive material |
US6475907B1 (en) * | 1999-04-20 | 2002-11-05 | Nec Corporation | Semiconductor device having a barrier metal layer and method for manufacturing the same |
US20100144140A1 (en) * | 2008-12-10 | 2010-06-10 | Novellus Systems, Inc. | Methods for depositing tungsten films having low resistivity for gapfill applications |
Family Cites Families (18)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
EP0571691B1 (en) * | 1992-05-27 | 1996-09-18 | STMicroelectronics S.r.l. | Metallization over tungsten plugs |
US5514622A (en) * | 1994-08-29 | 1996-05-07 | Cypress Semiconductor Corporation | Method for the formation of interconnects and landing pads having a thin, conductive film underlying the plug or an associated contact of via hole |
US5994220A (en) * | 1996-02-02 | 1999-11-30 | Micron Technology, Inc. | Method for forming a semiconductor connection with a top surface having an enlarged recess |
US5654234A (en) * | 1996-04-29 | 1997-08-05 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method for forming a void-free tungsten-plug contact in the presence of a contact opening overhang |
KR100458295B1 (ko) | 1997-12-30 | 2005-04-06 | 주식회사 하이닉스반도체 | 반도체소자의콘택플러그형성방법 |
US6635965B1 (en) | 2001-05-22 | 2003-10-21 | Novellus Systems, Inc. | Method for producing ultra-thin tungsten layers with improved step coverage |
US7955972B2 (en) | 2001-05-22 | 2011-06-07 | Novellus Systems, Inc. | Methods for growing low-resistivity tungsten for high aspect ratio and small features |
US7141494B2 (en) | 2001-05-22 | 2006-11-28 | Novellus Systems, Inc. | Method for reducing tungsten film roughness and improving step coverage |
JP2003142484A (ja) * | 2001-10-31 | 2003-05-16 | Mitsubishi Electric Corp | 半導体装置の製造方法 |
KR100528073B1 (ko) | 2003-04-07 | 2005-11-15 | 동부아남반도체 주식회사 | 반도체소자 제조방법 |
US20070049017A1 (en) * | 2005-08-29 | 2007-03-01 | Chao-Ching Hsieh | Plug fabricating method for dielectric layer |
US20070298607A1 (en) | 2006-06-23 | 2007-12-27 | Andryushchenko Tatyana N | Method for copper damascence fill for forming an interconnect |
KR100745954B1 (ko) * | 2006-07-05 | 2007-08-02 | 주식회사 하이닉스반도체 | 플래쉬 메모리 소자의 제조방법 |
US8623733B2 (en) | 2009-04-16 | 2014-01-07 | Novellus Systems, Inc. | Methods for depositing ultra thin low resistivity tungsten film for small critical dimension contacts and interconnects |
US8124531B2 (en) * | 2009-08-04 | 2012-02-28 | Novellus Systems, Inc. | Depositing tungsten into high aspect ratio features |
US8119527B1 (en) | 2009-08-04 | 2012-02-21 | Novellus Systems, Inc. | Depositing tungsten into high aspect ratio features |
US8207062B2 (en) | 2009-09-09 | 2012-06-26 | Novellus Systems, Inc. | Method for improving adhesion of low resistivity tungsten/tungsten nitride layers |
US8193081B2 (en) * | 2009-10-20 | 2012-06-05 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method and system for metal gate formation with wider metal gate fill margin |
-
2012
- 2012-06-28 US US13/536,095 patent/US8883637B2/en active Active
- 2012-06-29 KR KR1020147002856A patent/KR101951062B1/ko active IP Right Grant
- 2012-06-29 WO PCT/US2012/044820 patent/WO2013003676A2/en active Application Filing
- 2012-07-02 TW TW101123763A patent/TWI557796B/zh active
- 2012-07-02 TW TW101123764A patent/TWI558836B/zh active
Patent Citations (4)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US5641710A (en) * | 1996-06-10 | 1997-06-24 | Taiwan Semiconductor Manufacturing Company, Ltd. | Post tungsten etch back anneal, to improve aluminum step coverage |
US6309977B1 (en) * | 1999-02-10 | 2001-10-30 | Applied Materials, Inc. | Method for the etchback of a conductive material |
US6475907B1 (en) * | 1999-04-20 | 2002-11-05 | Nec Corporation | Semiconductor device having a barrier metal layer and method for manufacturing the same |
US20100144140A1 (en) * | 2008-12-10 | 2010-06-10 | Novellus Systems, Inc. | Methods for depositing tungsten films having low resistivity for gapfill applications |
Also Published As
Publication number | Publication date |
---|---|
TW201308422A (zh) | 2013-02-16 |
US8883637B2 (en) | 2014-11-11 |
KR20140061375A (ko) | 2014-05-21 |
US20130005140A1 (en) | 2013-01-03 |
TWI558836B (zh) | 2016-11-21 |
WO2013003676A3 (en) | 2013-04-25 |
WO2013003676A2 (en) | 2013-01-03 |
KR101951062B1 (ko) | 2019-02-21 |
TW201303063A (zh) | 2013-01-16 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
TWI557796B (zh) | 用於控制各種材料的蝕刻選擇性的系統及方法 | |
TWI495756B (zh) | 將鎢沈積入高深寬比的特徵中 | |
JP6494940B2 (ja) | 異なるサイズのフィーチャへのボイドフリータングステン充填 | |
TWI706509B (zh) | 包含多段式抑制成核之特徵部塡充 | |
JP6273257B2 (ja) | タングステンによるフィーチャ充填 | |
US8835317B2 (en) | Depositing tungsten into high aspect ratio features | |
CN107845572B (zh) | 用于蚀刻金属的连续rf等离子体和脉冲rf等离子体 | |
US9034768B2 (en) | Depositing tungsten into high aspect ratio features | |
US9607883B2 (en) | Trench formation using rounded hard mask | |
TW201626503A (zh) | 具有成核抑制的特徵部填充 | |
TW201702417A (zh) | 經由原子層沉積(ald)循環之選擇性沉積金屬矽化物的方法 | |
US20090071404A1 (en) | Method of forming titanium film by CVD | |
JP2021528571A (ja) | プラズマベースの堆積のための表面改質深さ制御堆積 | |
KR20130071447A (ko) | 고 종횡비 특징부 내부로 텅스텐 증착하기 | |
TW202403965A (zh) | 用於鎢間隙填充之鎢表面之處理 | |
US20240105505A1 (en) | Middle of line dielectric layer engineering for via void prevention | |
US20180294168A1 (en) | Method for anisotropic dry etching of titanium-containing films | |
JP2003168733A (ja) | 半導体装置製造方法 |