TW202403965A - 用於鎢間隙填充之鎢表面之處理 - Google Patents

用於鎢間隙填充之鎢表面之處理 Download PDF

Info

Publication number
TW202403965A
TW202403965A TW112115976A TW112115976A TW202403965A TW 202403965 A TW202403965 A TW 202403965A TW 112115976 A TW112115976 A TW 112115976A TW 112115976 A TW112115976 A TW 112115976A TW 202403965 A TW202403965 A TW 202403965A
Authority
TW
Taiwan
Prior art keywords
tungsten
layer
substrate
hydrogen
oxygen
Prior art date
Application number
TW112115976A
Other languages
English (en)
Inventor
宗翰 楊
高興堯
岳詩雨
許智勛
席利許 比瑟
汪榮軍
徐翼
蔚 雷
雨 雷
張愛西
趙顯淵
亓智敏
呂疆
先敏 唐
Original Assignee
美商應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商應用材料股份有限公司 filed Critical 美商應用材料股份有限公司
Publication of TW202403965A publication Critical patent/TW202403965A/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32899Multiple chambers, e.g. cluster tools
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/2855Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by physical means, e.g. sputtering, evaporation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76855After-treatment introducing at least one additional element into the layer
    • H01L21/76856After-treatment introducing at least one additional element into the layer by treatment in plasmas or gaseous environments, e.g. nitriding a refractory metal liner
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76861Post-treatment or after-treatment not introducing additional chemical elements into the layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76865Selective removal of parts of the layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76876Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for deposition from the gas phase, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53257Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being a refractory metal
    • H01L23/53266Additional layers associated with refractory-metal layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/338Changing chemical properties of treated surfaces

Abstract

提供用於在半導體裝置中進行鎢間隙填充的方法與設備。方法包含:執行梯度氧化製程以氧化襯墊層的暴露部分,其中梯度氧化製程優先氧化襯墊層的懸垂部分,懸垂部分阻礙或阻擋形成在基板的場區域內的一個或多個特徵的頂部開口。方法進一步包含:執行回蝕製程以去除或減少襯墊層的氧化懸垂部分;將襯墊層暴露於化學氣相傳輸(CVT)製程以去除梯度氧化製程和回蝕製程殘留的金屬氧化物;和執行鎢間隙填充製程以填充或部分填充一個或多個特徵。

Description

用於鎢間隙填充之鎢表面之處理
本揭示內容涉及一種用於形成薄膜的方法和設備。更特定而言,本揭示內容涉及用於半導體裝置中的鎢間隙填充的方法和設備。
微電子裝置的製造通常涉及複雜的製程序列,需要在半導體、介電質和導電基板上執行數百個個別的製程。這些製程的示例包括氧化、擴散、離子植入、薄膜沉積、清潔、蝕刻、微影術等操作。每個操作都是耗時且昂貴的。
隨著微電子裝置的關鍵尺寸不斷減小,這些裝置在基板上的設計和製造變得越來越複雜。關鍵尺寸和製程均勻性的控制變得越來越重要。複雜的多層堆疊涉及對厚度、粗糙度、應力、密度和潛在缺陷等關鍵尺寸的精確製程監控。用於形成裝置的製程配方中的多個增量製程確保維持關鍵尺寸。然而,每一配方製程可利用一個或多個處理腔室,這增加了用於在處理系統中形成裝置的額外時間並且還提供了形成缺陷的額外機會。因此,每個製程都增加了完成的微電子裝置的總製造成本。
此外,隨著這些裝置的關鍵尺寸縮小,過去的製造技術遇到了新的障礙。例如,當準備襯墊和/或成核層以長成金屬間隙填充時,襯墊和/或成核層可能仍然存在於間隙的側面,導致填充材料在完全填充之前關閉間隙,而導致填充材料中出現接縫。
至少出於前述原因,持續需要改進的製造方法以最小化成本同時維持微電子裝置的關鍵尺寸。
本揭示內容涉及一種用於形成薄膜的方法和設備。更特定而言,本揭示內容涉及用於半導體裝置中的鎢間隙填充的方法和設備。
在一個態樣中,提供一種在基板上填充特徵的方法。方法包含:執行梯度氧化製程以氧化襯墊層的暴露部分,其中梯度氧化製程優先氧化襯墊層的懸垂部分,懸垂部分阻礙或阻擋形成在基板的場區域內的一個或多個特徵的頂部開口。方法進一步包含:執行回蝕製程以去除或減少襯墊層的氧化懸垂部分;將襯墊層暴露於化學氣相傳輸(CVT)製程以去除梯度氧化製程和回蝕製程殘留的金屬氧化物;和執行鎢間隙填充製程以填充或部分填充一個或多個特徵。
具體實施例可包含下列之一或更多者。襯墊層為含鎢層,且金屬氧化物為氧化鎢。CVT製程是將氧化鎢還原為鎢的電漿製程。CVT製程包括將含鎢層暴露於包含氫和氧的電感耦合電漿(ICP)。將含鎢層暴露於ICP是在攝氏400度或更低的溫度下執行的,並且包括供應處理氣體,處理氣體包含大於或等於氫氣與氧氣的總流量的氫氣的90%。在不破壞真空下在處理腔室中執行梯度氧化製程、執行回蝕製程和將襯墊層暴露於CVT製程。一個或多個特徵包括底表面和至少一個側壁,並且襯墊層形成在至少一個側壁和底表面之上。
在另一個態樣中,提供一種在基板上填充特徵的方法。方法包括:在基板的表面上沉積一層或多層含鎢層。基板包括形成在基板的表面的場區域內的複數個特徵,複數個特徵中的每一個特徵包括側壁表面和底表面,並且在複數個特徵的場區域、側壁表面和底表面上方形成沉積的一個或多個含鎢層。方法進一步包含:將基板的表面暴露於梯度氧化製程,其中梯度氧化製程形成佈置在複數個特徵的場區域上方的含鎢層的優先氧化區域。 方法進一步包含:優先蝕刻形成在基板的表面上的沉積的一個或多個含鎢層的優先氧化區域。在執行優先蝕刻優先氧化區域的製程之後,沉積的一個或多個含鎢層的第一部分保留在複數個特徵中的每一個特徵的底表面上,並且沉積的一個或多個含鎢層的第二部分保留在複數個特徵中的每一個特徵的側壁表面上。方法進一步包含:將沉積的一個或多個含鎢層的至少第二部分暴露於蝕刻後處理製程,以將氧化的鎢還原為鎢並從沉積的一個或多個含鎢層的表面去除污染物。方法進一步包含:用第二鎢層填充特徵。用第二鎢層填充特徵的製程包括:從在側壁表面上的沉積的一個或多個含鎢層的第二部分以及保留在每個特徵的底表面上的沉積的一個或多個含鎢層的第一部分優先生長第二鎢層。
具體實施例可包含下列之一或更多者。蝕刻後處理製程包括電感耦合電漿。蝕刻後處理製程進一步包括:將含鎢層暴露於H 2、O 2、Ar或其組合中的一種或多種。蝕刻後處理製程包括:將含鎢層暴露於氫和氧電漿處理。氫和氧電漿處理在攝氏400度或更低的溫度下進行,並且包括供應處理氣體,處理氣體包含大於或等於氫氣與氧氣的總流量的氫氣的90%。側壁表面由選自氧化矽、氮化矽、氮氧化矽或其組合的介電質材料限定。在基板的表面上沉積一層或多層含鎢層包括以下步驟:透過物理氣相沉積製程在複數個特徵上沉積鎢襯墊層;和透過原子層沉積(ALD)製程在鎢襯墊層上沉積硼-鎢成核層。用第二鎢層填充特徵包括化學氣相沉積(CVD)間隙填充製程。蝕刻後處理製程包括化學氣相傳輸(CVT)製程。CVT製程包括揮發製程和還原製程。揮發製程透過以下反應(I)WO 2+2H 2O→WO 2(OH) 2+H 2進行,還原製程透過以下反應(II)WO 2(OH) 2+3H 2→W+4H 2O進行。將基板的表面暴露於梯度氧化製程、優先蝕刻形成在基板的表面上的沉積的一個或多個含鎢層的優先氧化區域、以及至少暴露沉積的一個或多個含鎢層的第二部分至蝕刻後處理製程是在設置在群集工具上的第一處理腔室中執行。
在又一個具體實施例中,提供了一種群集工具。群集工具包括第一處理腔室。第一處理腔室包含:氧源,氧源流體耦合到第一處理腔室的處理區域,其中氧源被配置為將含氧氣體輸送到處理區域。第一處理腔室包含:第一流量控制閥,第一流量控制閥被配置為控制從氧源提供到處理區域的含氧氣體的流量。第一處理腔室進一步包含:氫源,氫源流體耦合到第一處理腔室的處理區域,其中氫源被配置為將含氫氣體輸送到處理區域。第一處理腔室進一步包含:第二流量控制閥,第二流量控制閥被配置為控制從氫源提供到處理區域的含氫氣體的流量。第一處理腔室進一步包含:蝕刻氣體源,蝕刻氣體源流體耦合到第一處理腔室的處理區域,其中蝕刻氣體源被配置為將蝕刻氣體輸送到處理區域。第一處理腔室進一步包含:第三流量控制閥,第三流量控制閥被配置為控制從蝕刻氣體源提供到處理區域的蝕刻氣體的流量。第一處理腔室進一步包含:電感耦合電漿源,電感耦合電漿源經配置為在處理區域中產生電漿,其中電漿包括含氫氣體和含氧氣體。群集工具進一步包括控制器。控制器經配置以:控制第一流量控制閥,使得一定量的含氧氣體提供給設置在第一處理腔室的處理區域中的基板的表面,以透過在第一處理腔室的處理區域中產生電漿而優先氧化設置在形成在基板中的特徵的側壁與場區域上的一個或多個含鎢層。控制器進一步經配置以:控制第三流量控制閥,使得一定量的蝕刻氣體提供給基板的表面,以在第一處理腔室中執行優先蝕刻設置在基板中形成的特徵的側壁的場區域上的一個或多個含鎢層的優先氧化部分。控制器進一步經配置以:控制第一流量控制閥和第二流量控制閥以將一定量的含氧氣體和含氫氣體輸送到處理區域,以將一個或多個含鎢層暴露於蝕刻後處理製程,蝕刻後處理製程包括:透過產生包含含氧氣體和含氫氣體的電感耦合電漿,以使含鎢層暴露於氫和氧電漿處理製程。
在另一個態樣中,一種非暫態性電腦可讀取媒體,其上存儲有指令,當處理器執行指令時,使製程執行上述設備和/或方法的操作。
在上面的概述和詳細描述以及下面的請求項和附圖中,參考了本揭示內容的特定特徵(包括方法操作)。應當理解,本說明書中的揭示內容包括這些特定特徵的所有可能組合。例如,在本揭示內容的特定態樣或實施方式或特定請求項的上下文中揭示了特定特徵的情況下,該特徵也可以在可能的範圍內與其他特徵組合使用和/或在其他特徵的上下文中使用本揭示內容的特定態樣和實施方式,以及本揭示內容中的一般情況。
本文使用的術語「包含」及其等同用詞表示任選存在的其他組分、成分、操作等。例如,「包含」(或「包括」)成分A、B和C的物品可以由(即僅包含)成分A、B和C組成,或者可以不僅包含成分A、B和C而是還有一個或多個其他成分。
在本文中提及包括兩個或更多個定義的操作的方法時,定義的操作可以以任何順序或同時執行(除非上下文排除了這種可能性),並且該方法可以包括一個或多個其他操作,這些操作被執行在任何定義的操作之前、兩個定義的操作之間或所有定義的操作之後(上下文排除這種可能性的情況除外)。
在較早的節點,更大的尺寸使鎢(W)填充成為可能,使用成核作用然後進行共形CVD沉積。然而,隨著特徵的關鍵尺寸不斷縮小,超小特徵的頂部很容易懸垂,因此膜在場區域或表面均勻生長的保形製程在填充完成之前關閉或夾斷開口,在鎢間隙填充中留下空隙。即使沒有空隙,中心縫也是保形沉積的典型結果,因為鎢間隙填充物從側壁生長。鎢間隙填充也會受到雜質的不利影響。例如,特徵中存在的鎢襯墊或種晶層表面上存在氟端(F端)雜質。其他雜質如硼、氮和氧化鎢也可能對鎢間隙填充產生不利影響。實現良好間隙填充的一種方法是透過用成核層(例如,硼-鎢成核層)塗覆受污染的鎢表面以隱藏損壞。然而,由於成核層中的高硼水平,成核層中硼的存在可能增加電阻率損失。
各種具體實施例提供具有減小的關鍵尺寸的改進的鎢間隙填充特徵。在各種具體實施例中,這可以透過執行化學氣相傳輸(CVT)製程來實現。此CVT製程透過將氧化鎢還原為鎢,同時還減少可能存在於鎢表面上的雜質(例如硼、氟和氮)來淨化或恢復鎢表面,以實現良好的間隙填充。此外,各種具體實施例可用於重新打開或擴大特徵開口,特徵開口由於先前沉積層的存在(例如阻擋層、襯墊層和/或黏附層,懸垂於特徵開口)而具有減小的關鍵尺寸。
各種具體實施例利用氫和氧電漿處理來恢復鎢表面,例如透過化學氣相傳輸降低在回蝕後顯示出顯著增加的氟位準。透過這種方法,例如透過減少或去除諸如硼、氮和/或氟的污染物來淨化鎢表面。氫和氧電漿處理可以包括飽和保形處理,飽和保形處理包括更長的浸泡時間和/或高反應物處理,以證明良好的間隙填充。在各種具體實施例中,氫和氧電漿處理可以在低於攝氏400度的溫度下進行。在各種具體實施例中,氫和氧電漿處理包括大於或等於氫和氧總流量的90%的H 2%。在電漿處理製程中,鎢可能被氧化形成揮發性化合物WO 2(OH) 2,它會立即還原回鎢。透過這種機制,可以恢復鎢的表面並在不犧牲電阻的情況下實現良好的間隙填充。因此,在一些具體實施例中,不僅實現了良好的間隙填充,而且還減少了雜質,因為鎢表面透過CVT機制恢復。
圖1示出了根據本揭示內容的一個或多個具體實施例的用於製造半導體裝置的方法100的流程圖。方法100可用於用間隙填充金屬(例如鎢)填充各種特徵,例如溝槽或通孔。
在操作110,提供基板。基板可以是如本文所述的裝置基板或半導體基板。基板可以包括多層。基板具有形成於其中的一或多個特徵。一個或多個特徵可包括側壁表面和底表面。側壁表面可以由介電材料限定,而底表面可以由介電材料或其他材料限定,例如矽化物層、金屬矽化物層、半導體層或金屬層。
在操作120,在一個或多個特徵的表面上形成襯墊層。襯墊層可以是鎢層或含鎢層。襯墊層可以形成在一個或多個特徵的側壁表面和底表面之上以及基板的場區域上。襯墊層可以是種晶層和/或黏附層。襯墊層可以是共形層。可以使用任何合適的襯墊沉積製程來沉積襯墊層。在一個示例中,物理氣相沉積(PVD)製程用於沉積襯墊層。襯墊層可以在場區域中產生懸垂部分,懸垂部分阻礙或阻擋一個或多個特徵的頂部開口。
在操作130,可以在襯墊層上方形成成核層。成核層可用於修復襯墊層中的任何損壞或不連續性。成核層可以是硼-鎢成核層或含鎢成核層。可以使用任何合適的沉積製程來沉積成核層。在一些具體實施例中,使用原子層沉積(ALD)製程來沉積成核層。在一個示例中,ALD製程的一個循環包括硼脈衝/硼淨化/鎢脈衝/鎢淨化。ALD製程可以重複任何數量的循環,足以沉積目標厚度的成核層。在一個例子中,ALD循環重複3到5個循環。成核層也可以有助於在操作120期間由襯墊層形成的懸垂部分(如果存在的話)的厚度。
在操作140,襯墊層和成核層(例如,含鎢層或第一鎢層一起)暴露於梯度氧化和回蝕製程。梯度氧化製程氧化要去除的含鎢層的部分,而回蝕製程選擇性地去除氧化的部分。梯度氧化和回蝕製程可以減少或消除阻礙一個或多個特徵開口的懸垂部分的厚度。在場區域上方形成的含鎢層的厚度可以比在特徵的側壁表面和底表面上方形成的含鎢層的厚度以更大的速率減小。梯度氧化和回蝕製程可以選擇性地減少在特徵的側壁表面上形成的含鎢層的厚度。在側壁表面上方形成的含鎢層的厚度可以比在底表面上方形成的含鎢層的厚度以更大的速率減小。含鎢層可以從初始厚度減小到減小的厚度。梯度氧化製程可以選擇性地氧化形成在基板的側壁表面和場區域上的含鎢層。梯度氧化製程可包括將一個或多個含鎢層暴露於電漿氧化製程以氧化部分含鎢層。回蝕製程可以包括將含鎢層暴露於蝕刻劑製程以去除含鎢層的氧化部分。梯度氧化製程和回蝕製程可以是循環製程。例如,一個循環可以包括梯度氧化製程以及之後的回蝕製程。梯度氧化和回蝕製程可以重複多次循環,足以將一個或多個含鎢層的厚度從初始厚度減小到目標的減小厚度。例如,梯度氧化和回蝕製程可以重複二至四個循環,例如兩個循環。
在操作150,在操作140的梯度氧化和回蝕製程之後,含鎢層暴露於蝕刻後處理製程。在蝕刻後處理製程期間,含鎢層的暴露表面可被氧化以形成氧化鎢(例如,WO 2)。這種氧化鎢會干擾隨後執行的鎢間隙填充製程。蝕刻後處理製程將氧化鎢還原為鎢。此外,蝕刻後處理製程處理例如透過減少或去除可能存在於鎢表面上的諸如氟、硼和/或氮的污染物來淨化或恢復鎢表面。蝕刻後處理製程可以包括化學氣相傳輸(CVT)製程。CVT製程可以恢復或淨化含鎢層的表面以實現良好的間隙填充,同時保持或提高形成的裝置的電阻率。在一個示例中,CVT製程包括揮發製程和還原製程。揮發製程可以透過以下反應(I)進行:
(I)WO 2+2H 2O→WO 2(OH) 2+H 2
還原製程可以透過以下反應進行:
(II)WO 2(OH) 2+3H 2→W+4H 2O
在一些具體實施例中,蝕刻後處理製程可以包括將含鎢層暴露於電漿處理製程。在一些具體實施例中,電漿處理製程可以包括將含鎢層暴露於氫和氧電漿處理。氫和氧電漿處理可以包括飽和保形處理,飽和保形處理包括更長的浸泡時間和/或高反應物處理,以證明良好的間隙填充。在一些具體實施例中,氫和氧電漿處理可以在低於攝氏400度的溫度下進行。在各種具體實施例中,氫和氧電漿處理包括大於或等於氫和氧總流量的90%的H 2%。在一些具體實施例中,氫和氧電漿處理是電感耦合電漿製程。在備選具體實施例中,氫和氧電漿處理是電容耦合電漿製程。在其他具體實施例中,氫和氧電漿在遠端電漿源(RPS)中形成。在其他具體實施例中,電漿在處理腔室內產生(直接電漿)。
在一些具體實施例中,經處理的鎢被氧化並形成揮發性化合物WO 2(OH) 2,揮發性化合物WO 2(OH) 2立即被還原回鎢。透過這種機制,可以恢復鎢的表面並在不犧牲電阻的情況下實現良好的間隙填充。因此,不僅實現了良好的間隙填充,而且還減少了雜質,因為透過CVT機制恢復了鎢表面。
在操作160,一個或多個特徵填充有第二鎢層。第二鎢層可以是鎢間隙填充層。可以使用任何合適的鎢沉積製程來沉積鎢間隙填充層。第二鎢層可以透過化學氣相沉積(CVD)間隙填充製程來沉積。第二鎢層可以部分地或完全地填充一個或多個特徵。含鎢層的清潔表面為第二鎢層提供了良好的間隙填充。
參考圖2A至2F,提供了處於不同製造階段的半導體裝置的裝置結構的一些具體實施例的截面圖以說明圖1的方法。雖然關於方法100描述了圖2A至2F,但是應當理解,圖2A至2F中所揭示的結構不限於方法100,而是可以作為獨立於方法100的結構獨立存在。類似地,雖然方法100是參照圖2A至2F描述的,應當理解,方法100不限於圖2A至2F中揭示的結構,而是可以獨立於圖2A至2F中揭示的結構。
圖2A至2F圖示了根據本揭示內容的一個或多個具體實施例的製造半導體裝置的各個階段的視圖。
圖2A示出了根據一些具體實施例的在對應於操作110的製造的中間階段期間半導體裝置結構200的剖視圖。半導體裝置結構200包括裝置基板210,裝置基板210上形成有介電層220。裝置基板210可以是或包括體半導體基板、絕緣體上半導體(SOI)基板等,其可以是受摻雜的(例如,具有p型摻雜劑或n型摻雜劑)或未摻雜的。在一些具體實施例中,裝置基板210的半導體材料可以包括:單質半導體,例如矽(Si)或鍺(Ge);化合物半導體,包括例如碳化矽、砷化鎵、磷化鎵、磷化銦、砷化銦和/或銻化銦;合金半導體,包括例如SiGe、GaAsP、AlInAs、GaInAs、GaInP和/或GaInAsP;或以上的組合等等。裝置基板210可以包括附加材料,例如矽化物層、金屬矽化物層、金屬層、介電層或其組合。
裝置基板210可進一步包括積體電路裝置(未示出)。正如本領域的普通技術人員將認識到的,諸如電晶體、二極體、電容器、電阻器等等或其組合的多種多樣的積體電路裝置,可以形成在裝置基板210中和/或上,以產生最終半導體裝置結構200設計的結構要求和功能要求。
裝置基板210具有正面210f(也稱為前表面)和與正面210f相對的背面210b(也稱為背面)。介電層220形成於裝置基板210的正面210f之上。介電層220可以包括多層。介電層220包括上表面或場區域220u。在一些具體實施例中,介電層220包括氧化矽、氮氧化矽、氮化矽或其組合。在一些具體實施例中,介電層220主要由氧化矽組成。應注意,前述描述符(例如氧化矽)不應被解釋為揭示任何特定的化學計量比。因此,「氧化矽」等將被本領域技術人員理解為基本上由矽和氧組成的材料,而不限於任何特定的化學計量比。
介電層220被圖案化以形成一個或多個特徵222。在一些具體實施例中,特徵222可以選自溝槽、通孔、孔或其組合。在特定具體實施例中,特徵222是通孔。在一些具體實施例中,特徵222從介電層220的場區域220u延伸到裝置基板210的正面210f。特徵222包括側壁表面222s和在側壁表面222s之間延伸的底表面222b。在一些具體實施例中,側壁表面222s是錐形的。側壁表面222s可由介電層220界定,且底表面可由裝置基板210界定。在一些具體實施例中,側壁表面222s可以由介電層220限定並且底表面也可以由介電層220限定。特徵222具有從場區域220u到底表面222b的第一深度「D1」和兩個側壁表面222s之間的寬度「W1」。在一些具體實施例中,深度D1在2nm至200nm、3nm至200nm、5nm至100nm、2nm至100nm或50nm至100nm的範圍內。在一些具體實施例中,寬度W1在10nm至100nm、10nm至20nm、10nm至50nm或50nm至100nm的範圍內。在一些具體實施例中,特徵222具有在1至20、5至20、10至20或15至20範圍內的縱橫比(D/W)。
圖2B示出了根據一些具體實施例的在對應於操作120的製造的中間階段期間半導體裝置結構200的剖視圖。在操作120,在特徵222的表面上形成襯墊層,例如襯墊層230。襯墊層230可以用作阻擋層和/或黏附層。襯墊層230可以是鎢襯墊層。襯墊層230可以透過ALD製程或PVD製程232形成。襯墊層230可以形成在特徵222的側壁表面222s和底表面222b之上以及介電層220的場區域220u上。襯墊層230可以是共形層。襯墊層230的初始厚度可以在大約1埃到大約100埃的範圍內,例如,在大約20埃到大約50埃的範圍內。在一些具體實施例中,襯墊層230可以沿著例如側壁表面222s和/或底表面222b是不連續的。可以使用任何合適的鎢沉積製程來沉積襯墊層230。在一個示例中,PVD製程用於沉積襯墊層230。襯墊層230可以在場區域220u中產生懸垂部分234,懸垂部分234阻礙或阻擋特徵222的頂部開口。如圖2B所示,懸垂部分234將頂部開口的寬度從W1減小到W2。
圖2C示出了根據一些具體實施例的在對應於操作130的製造的中間階段期間半導體裝置結構200的剖視圖。在操作130,在特徵222的表面上,例如在襯墊層230的表面上,形成成核層,例如成核層240。成核層240可以用作隨後沉積鎢間隙填充材料的種晶層。此外,在先前沉積的襯墊層230不連續的一些具體實施例中,例如,沿著側壁表面222s,成核層240可以修復襯墊層230的不連續部分。成核層240可以是含鎢層,例如硼-鎢成核層。成核層240可以是共形層。可以使用任何合適的成核層沉積製程242來沉積成核層240。在一些具體實施例中,ALD製程用於沉積成核層240。在其他具體實施例中,可以使用PVD製程。在替代具體實施例中,可以使用低溫和低壓CVD製程。如圖2C所示,襯墊層230和成核層240可以單獨或一起稱為含鎢層246。
在一些具體實施例中,形成成核層240包括暴露半導體裝置結構200至第一前驅氣體流速的含鎢前驅氣體。在一些具體實施例中,形成成核層240包括將半導體裝置結構200暴露於還原劑。在一些具體實施例中,還原劑包括硼並且以還原劑流速被引入處理區域。在一些具體實施例中,含鎢前驅物氣體和還原劑以還原劑流速循環交替,以在特徵222內的半導體裝置結構200上方形成成核層240。在一些具體實施例中,還原劑和含鎢前驅物氣體循環交替,以還原劑或含鎢前驅物氣體開始,以相同的起始氣體結束或以不同於起始氣體的氣體結束。在一些具體實施例中,還原劑或含鎢前驅物氣體循環交替,從含鎢前驅物氣體開始到還原劑結束。
在一些具體實施例中,成核層240使用ALD製程沉積。ALD製程包括交替地將特徵222暴露於含鎢前驅物和將特徵222暴露於還原劑的重複循環。在一些具體實施例中,處理區域在交替曝光之間被淨化。在一些具體實施例中,製程區域被連續淨化。合適的含鎢前驅物的實例包括鹵化鎢,例如六氟化鎢(WF 6)、六氯化鎢(WCl 6)或其組合。在一些具體實施例中,含鎢前驅物包括WF 6,並且還原劑包括含硼試劑,例如B 2H 6。在一些具體實施例中,含鎢前驅物包括有機金屬前驅物和/或無氟前驅物,例如,MDNOW(甲基環戊二烯基-二羰基亞硝基-鎢)、EDNOW(乙基環戊二烯基-二羰基亞硝基-鎢)、六羰基鎢(W(CO) 6),或其組合。
在一些具體實施例中,在成核層沉積製程242期間,處理區域保持在小於約120Torr的壓力下,例如在約900mTorr至約120Torr的範圍內,在約1Torr至約100Torr的範圍內,或者例如,在從大約1Torr和大約50Torr的範圍內。將基板400暴露於含鎢前驅物包括使含鎢前驅物以約100sccm或更小的流速流入處理區域,例如在約10sccm至約60sccm的範圍內,或在大約20 sccm到大約80 sccm的範圍內。將半導體裝置結構200暴露於還原劑包括使還原劑以約200sccm至約1000sccm的流速流入處理區域,例如約300sccm至約750sccm之間。應當注意,本文所述的各種沉積和處理製程的流速是針對被配置為處理300mm直徑基板的處理系統。適當的縮放可以用於被配置為處理不同尺寸的基板的處理系統。
在一些具體實施例中,含鎢前驅物和還原劑各自流入處理區域持續約0.1秒至約10秒範圍內的持續時間,例如約0.5秒至約5秒範圍內的持續時間。在交替曝光之間可透過使諸如氬氣(Ar)或氫氣的淨化氣體流入處理區域持續約0.1秒至約10秒範圍內的持續時間來淨化處理區域,例如在從大約0.5秒到大約5秒的範圍內。通常,成核過程的重複循環一直持續到成核層240的厚度在約10埃至約200埃的範圍內,例如在約10埃至約150埃的範圍內,或在約20埃至約150埃的範圍內。在一個例子中,ALD循環重複3到5個循環。成核層240沿著特徵222的側壁表面222s和/或底表面222b設置,例如在襯墊層230之上。成核層240也可以有助於在操作120期間由襯墊層形成的懸垂部分234的厚度。
圖2D示出了根據一些具體實施例的在對應於操作140的製造的中間階段期間半導體裝置結構200的剖視圖。在操作140,半導體裝置結構200暴露於梯度氧化和回蝕製程252。梯度氧化製程氧化襯墊層230和成核層240(如果存在的話)的暴露部分以被去除,並且回蝕製程選擇性地去除氧化部分。梯度氧化製程可以優先氧化懸垂部分234,懸垂部分234阻礙或阻塞特徵222的開口。梯度氧化和回蝕製程252可以減少或消除阻礙特徵222的開口的懸垂部分234的厚度。在場區域220u之上形成的層的厚度可以比在側壁表面222s和/或底表面222b之上形成的層的厚度以更大的速率減小。梯度氧化和回蝕製程252可以選擇性地減少在基板的側壁表面222s上方形成的層的厚度。在側壁表面222s之上形成的層的厚度可以以比在底表面222b之上形成的層的厚度以更大的速率減小。含鎢層246可以從初始厚度減小到減小的厚度。梯度氧化製程可以選擇性地氧化形成在介電層220的側壁表面222s和場區域220u上的層。梯度氧化製程可以包括將含鎢層246暴露於電漿氧化製程以選擇性地氧化層的部分。在一些具體實施例中,梯度氧化製程還包括在電漿氧化製程之前執行的電漿還原製程。回蝕製程可以包括將層暴露於蝕刻劑製程以選擇性地去除含鎢層246的氧化部分。梯度氧化製程和回蝕製程可以是循環製程。例如,一個循環可以包括梯度氧化製程以及之後的回蝕製程。梯度氧化和回蝕製程可以重複多次循環,足以將層的厚度從初始厚度減小到目標的減小厚度。例如,梯度氧化和回蝕製程可以重複二至四個循環,例如兩個循環。
在一些具體實施例中,梯度氧化製程包括使用包括有限氣流的O 2電感耦合電漿(ICP),以在暴露的金屬層(例如鎢層)上產生氧缺乏反應模式。O 2ICP提供具有高離子/自由基比的低功率O 2電漿,其增強場氧化並在到達底表面222b上方的含鎢層246之前殺死活性物質。在此模式中,場區域220u被氧化或被更重度地氧化,這允許優先蝕刻被氧化的區域,使得沿著特徵222的側壁表面222s和底表面222b留下鎢層。因此,在隨後的操作160中執行的鎢間隙填充製程中,透過執行梯度氧化和氧化金屬去除順序形成優質的底部種晶和側壁種晶,使得然後可以為底部種晶層和側壁種晶層執行間隙填充製程。側壁表面222s和底表面222b上的剩餘層也用作製程過程中存在的任何底層結構的保護層。
在一些具體實施例中,梯度氧化製程和回蝕(例如,氧化物去除製程)可以在兩個處理腔室中進行。在其他具體實施例中,梯度氧化和回蝕製程252可以在同一腔室中執行,例如ICP反應器,這使得具有高晶圓處理量優勢的多循環能力成為可能。此外,梯度氧化和回蝕製程252可以透過去除場金屬同時沿側壁表面222s和特徵222的底表面222b保持形成金屬種晶,來克服後續金屬間隙填充期間的接縫和空隙的挑戰。因此,可以實現無縫鎢間隙填充。
在一些具體實施例中,梯度氧化製程包括還原製程,以及隨後的氧化製程。在一些具體實施例中,梯度氧化製程包括沒有還原製程的氧化製程。還原製程包括將基板暴露於還原氣體,例如氫氣。氧化製程包括將基板暴露於氧化氣體,例如氧氣。在一些具體實施例中,在還原製程期間,處理區域保持在小於約120mTorr的壓力下,例如在約50mTorr至約110mTorr的範圍內,在約60mTorr至約100mTorr的範圍內,或者例如,在從大約70mTorr到大約90mTorr的範圍內。將半導體裝置結構200暴露於還原氣體包括使還原氣體以大約200sccm或更小的流速流入處理區域,例如在從大約100sccm到大約170sccm的範圍內,或者在從大約120sccm至約80sccm的範圍內。將半導體裝置結構200暴露於還原劑可進一步包括使載氣(例如惰性氣體如氬)以約300sccm或更小的流速流入處理區域,例如在約100sccm至約200sccm的範圍內,或在約120sccm至約150sccm的範圍內。在還原製程中,半導體裝置結構200的溫度可以保持在約攝氏450度或更低,例如在約攝氏200度至約攝氏450度的範圍內,在約攝氏250度至約攝氏400度的範圍內,或者例如在從大約攝氏300度到大約攝氏350度的範圍內。在還原製程中,可以使用2000瓦或更小的ICP電漿功率,例如在約500瓦至1500瓦的範圍內,或例如在約850瓦至約1000瓦的範圍內。還原製程可進行60秒或更短的時間段,例如在約10秒至約40秒的範圍內,或例如在約10秒至約30秒的範圍內。
在一些具體實施例中,在氧化製程期間,處理區域保持在小於約10mTorr的壓力下,例如在約1mTorr至約5mTorr的範圍內,或例如在約1mTorr至約2mTorr的範圍內。將半導體裝置結構200暴露於氧化氣體包括使氧化氣體以大約20sccm或更小的流速流入處理區域,例如在從大約1sccm到大約10sccm的範圍內,或者在從大約1sccm至約5sccm的範圍內。將半導體裝置結構200暴露於還原劑可進一步包括使載氣(例如惰性氣體如氬)以約100sccm或更小的流速流入處理區域,例如在約50sccm至約100sccm的範圍內,或在約50sccm至約100sccm的範圍內。在氧化製程中,半導體裝置結構200的溫度可以保持在約攝氏450度或更低,例如在約攝氏200度至約攝氏450度的範圍內,在約攝氏250度至約攝氏400度的範圍內,或者例如在從大約攝氏300度到大約攝氏350度的範圍內。在氧化製程中,ICP電漿功率為300瓦或更小,例如在約100瓦至300瓦的範圍內,或例如在約180瓦至約210瓦的範圍內。氧化製程可進行60秒或更短的時間段,例如在約10秒至約40秒的範圍內,或例如在約12秒至約30秒的範圍內。
在一些具體實施例中,執行還原製程的處理條件為在約70 mTorr至約90 mTorr的壓力、約900瓦至約1200瓦的ICP功率,進入處理區域的氬氣流速在約250 sccm至約300 sccm範圍內,進入處理區域的氫氣流速在約200 sccm至約250 sccm範圍內,溫度在約攝氏300度至約攝氏400度範圍內,持續約15秒至約30秒的時間段。
在一些具體實施例中,執行氧化製程的處理條件為在約2 mTorr至約7 mTorr的壓力、約210瓦至約350瓦的ICP功率,進入處理區域的氬氣流速在約900 sccm至約1000 sccm範圍內,進入處理區域的氧氣流速在約5 sccm至約10 sccm範圍內,溫度在約攝氏300度至約攝氏400度範圍內,持續約90秒至約180秒的時間段。
在一些具體實施例中,回蝕製程包括使蝕刻氣體和可選的惰性氣體流入處理區域。蝕刻氣體可包括Cl 2、WF 6、WOCl 4、WCl 5、WCl 6或其組合。在特定具體實施例中,蝕刻氣體是WF 6。在一些具體實施例中,執行回蝕製程的處理條件為在約5 mTorr至約20 mTorr的壓力、約300瓦至約400瓦的ICP功率,進入處理區域的氬氣流速在約450 sccm至約500 sccm範圍內,進入處理區域的WF6氣體流速在約5 sccm至約10 sccm範圍內,溫度在約攝氏300度至約攝氏400度範圍內,持續約15秒至約30秒的時間段。
可以重複操作140的梯度氧化製程和回蝕製程直到含鎢層246(例如襯墊層230)和成核層240的厚度被減小,如圖2E所示,或從介電質層220的場區域220u中完全去除。
圖2E示出了根據一些具體實施例的在對應於操作150的製造的中間階段期間半導體裝置結構200的剖視圖。在操作150,半導體裝置結構200暴露於蝕刻後處理製程262。
在蝕刻後處理製程262期間,含鎢層246的暴露表面可被氧化以形成金屬氧化物,例如氧化鎢(例如,WO2)。這種氧化鎢會干擾隨後在操作160中執行的鎢間隙填充製程。蝕刻後處理製程262可以將此氧化鎢還原成鎢。此外,蝕刻後處理製程262可以例如透過減少可能存在於含鎢層246的表面上的氟、硼和/或氮污染物264來淨化或恢復鎢表面。蝕刻後處理製程262可以包括化學氣相傳輸(CVT)製程。CVT製程可以恢復或淨化含鎢層的表面以實現良好的間隙填充,同時保持或提高形成的裝置的電阻率。在一些具體實施例中,CVT製程包括揮發製程和還原製程。揮發製程可以透過以下反應(I)進行:
(I) WO 2+2H 2O→WO 2(OH) 2+H 2
還原製程可以透過以下反應進行:
(II) WO 2(OH) 2+3H 2→W+4H 2O
在一些具體實施例中,蝕刻後處理製程262可以包括將含鎢層246暴露於電漿處理製程。在一些具體實施例中,電漿處理製程是電感耦合電漿製程。在一些具體實施例中,電漿處理製程是電容耦合電漿製程。在一些具體實施例中,電漿處理製程在遠端電漿源(RPS)中形成。在一些具體實施例中,電漿處理過程在處理區域內產生(例如,直接電漿)。在一些具體實施例中,電漿處理製程可以包括將含鎢層246暴露於包括含氫氣體和含氧氣體的ICP。在一些具體實施例中,電漿處理製程可以包括將含鎢層246暴露於電漿,電漿包括H 2、O 2、Ar或其組合中的一種或多種。在一些具體實施例中,電漿處理製程可以包括將含鎢層暴露於氫和氧電漿處理。氫和氧電漿處理可以包括飽和保形處理,飽和保形處理包括更長的浸泡時間和/或高反應物處理,以在操作160中提供良好的間隙填充。
在一些具體實施例中,電漿處理製程在攝氏400度或更低的溫度下執行。在一些具體實施例中,電漿處理製程包括供應包含大於或等於氫氣和氧氣的總流量的90%的H2%的處理氣體。
在一些具體實施例中,在蝕刻後處理製程262期間,處理區域保持在小於約120mTorr的壓力下,例如在約50mTorr至約110mTorr的範圍內,在約60mTorr至約100mTorr的範圍內,或者例如,在從大約70mTorr到大約90mTorr的範圍內。在一些具體實施例中,蝕刻後處理製程262包括使氫氣以約300sccm或更小的流速流入處理區域,例如在約100sccm至約250sccm的範圍內,或從約150 sccm至約200 sccm的範圍內。在一些具體實施例中,蝕刻後處理製程262進一步包括使氧氣以大約30sccm或更小的流速流入處理區域,例如在從大約10sccm到大約25sccm的範圍內,或者在從約15 sccm至約20 sccm。在蝕刻後處理製程262中,半導體裝置結構200的溫度可以保持在約攝氏400度或更低的範圍內,例如在約攝氏200度至約攝氏400度的範圍內,在約攝氏250度至約攝氏400度的範圍內,或者例如在從大約攝氏300度到大約攝氏350度的範圍內。在蝕刻後處理製程262期間,ICP電漿功率為2000瓦或更小,例如在約500瓦至1500瓦的範圍內,或例如在約850瓦至約1000瓦的範圍內。蝕刻後處理製程262可進行60秒或更短的時間段,例如在約10秒至約40秒的範圍內,或例如在約15秒至約30秒的範圍內。
在蝕刻後處理製程262期間,鎢被氧化並形成揮發性化合物WO 2(OH) 2,其立即還原回鎢。此外,可以去除諸如氟、氮和/或硼的表面污染物。透過這些機制,可以恢復鎢的表面並在不犧牲電阻的情況下實現良好的間隙填充。因此,不僅實現了良好的間隙填充,而且還減少了雜質,因為透過CVT機制恢復了鎢表面。
在其他具體實施例中,蝕刻後處理製程262包括熱處理製程。熱處理製程可以包括將半導體裝置結構200暴露於包括H 2和H 2O的氣體。
在一些具體實施例中,操作150的蝕刻後處理製程在與操作140的梯度氧化和回蝕相同的處理腔室中執行而不破壞真空。
圖2F示出了根據一些具體實施例的在對應於操作160的製造的中間階段期間半導體裝置結構200的剖視圖。在操作160,鎢間隙填充材料274可選地經由鎢間隙填充製程272至少部分地沉積到特徵222中。
在一些具體實施例中,鎢間隙填充材料274是使用化學氣相沉積(CVD)製程形成的,製程包括將含鎢前驅物氣體和還原劑同時流入(共流)到處理區域中並暴露半導體裝置結構200。用於鎢間隙填充CVD製程的含鎢前驅物和還原劑可以包括本文所述的含鎢前驅物和還原劑的任何組合。在一些具體實施例中,含鎢前驅物包括WF 6,並且還原劑包括氫氣。在一些具體實施例中,鎢間隙填充材料274部分地填充特徵222。
在一些具體實施例中,含鎢前驅物以約10 sccm至約1200 sccm、或大於約50 sccm、或小於約1000 sccm、或範圍為約100 sccm至約900 sccm的流速流入處理區域。還原劑以大於約500 sccm,例如大於約750 sccm,大於約1000 sccm,或在約500 sccm至約10000 sccm的範圍內,例如在範圍從大約1000sccm到大約9000sccm,或者在從大約1000sccm到大約8000sccm的範圍內的流速流入處理區域。
在一些具體實施例中,選擇鎢間隙填充CVD製程條件以提供與習知鎢CVD製程相比具有相對低殘餘膜應力的鎢特徵。例如,在一些具體實施例中,鎢間隙填充 CVD 製程包括將基板加熱到約250°C或更高的溫度,例如約300°C或更高,或在約250°C至約500°C的範圍內,或在約300°C至約500°C的範圍內。在CVD製程期間,處理區域的壓力可保持在小於約500Torr、小於約600Torr、小於約500Torr、小於約400Torr或在約1Torr至約500Torr的範圍內,例如在約1Torr至約450Torr的範圍內,或在約1Torr至約400Torr的範圍內,或例如在約1Torr至約300Torr的範圍內。
在另一個具體實施例中,鎢間隙填充材料274在操作160使用原子層沉積(ALD)製程沉積。鎢間隙填充ALD製程包括將半導體裝置結構200交替暴露於含鎢前驅物氣體和還原劑並在交替暴露之間清洗處理區域的重複循環。
含鎢前驅物和還原劑各自流入處理區域持續約0.1秒至約10秒之間的持續時間,例如約0.5秒至約5秒之間的持續時間。在交替曝光之間可透過使惰性淨化氣體(諸如氬氣(Ar))或氫氣流入處理區域持續約0.1秒至約10秒範圍內的持續時間來淨化處理區域,例如在從大約0.5秒到大約5秒的範圍內。
在其他具體實施例中,使用脈衝CVD方法沉積鎢間隙填充材料274,方法包括將半導體裝置結構200交替暴露於含鎢前驅物氣體和還原氣體而不淨化處理區域的重複循環。用於鎢間隙填充脈衝CVD方法的處理條件可以與上述用於鎢間隙填充ALD製程的那些相同、實質相同或在相同範圍內。
在一些具體實施例中,如圖2F所示,襯墊層230、成核層240和鎢間隙填充材料274是單體的並且它們之間沒有界面。鎢間隙填充材料274、襯墊層230和成核層240一起形成含鎢層。
在典型的半導體製造方案中,化學機械拋光(CMP)製程可以用於在沉積鎢間隙填充材料274到特徵222中之後,從半導體裝置結構200的場區域220u去除鎢材料的過載(以及設置在其下方的阻擋層)。
可根據本文提供的教示內容進行適當修改的處理系統的示例,包括集成處理系統或可從位於美國加利福尼亞州聖克拉拉的應用材料公司購買的其他合適的處理系統。預期其他處理系統(包括來自其他製造商的那些)可以適用於受益於本文描述的態樣。圖3示出了根據本揭示內容的實施方式的示例多腔室處理系統300或群集工具的示意性俯視圖,其可用於完成PVD金屬的梯度氧化和蝕刻,以及隨後的蝕刻後處理製程。如圖3所示,複數個處理腔室302耦合到第一移送腔室304。第一移送腔室304也連接到第一對直通腔室306。第一移送腔室304具有居中設置的移送機器人(未示出),用於在直通腔室306和處理腔室302之間移送基板。直通腔室306耦合到第二移送腔室310,第二移送腔室310耦合到經配置以執行預清潔製程的處理腔室314和經配置以執行外延(或替代地,執行PVD沉積)製程的處理腔室316。第二移送腔室310具有居中設置的移送機器人(未顯示),用於在一組裝載閘室312與處理腔室314或處理腔室316之間傳送基板。工廠介面320透過裝載閘室312連接到第二移送腔室310。工廠介面320耦合到裝載閘室312相對側上的一個或多個艙330。艙330通常是可從無塵室存取的前開式晶圓傳送盒(FOUP)。
在各種操作之前,首先可以將基板轉移到處理腔室314,在處理腔室314中執行預清潔製程以從基板的電晶體的源極/汲極區域的暴露表面去除污染物,例如碳或氧化物污染物。
然後將基板轉移到一個或多個處理腔室302。在一些實施方式中,處理腔室302可以在基板中蝕刻通孔或溝槽。在一些實施方式中,基板被提供給蝕刻室以執行溝槽形成製程,蝕刻室不是包含處理腔室314、316和一個或多個處理腔室302的處理系統的一部分。在其他操作中,基板具有形成在其中的溝槽。一旦在介電材料中形成溝槽,就將基板轉移到處理腔室314以進行清潔。
然後將基板轉移到處理腔室316和/或處理腔室302中的至少一個,其中執行操作。例如,基板被轉移到處理腔室302中的至少一個,在處理腔室302中執行金屬沉積操作以形成種晶層。金屬可以沉積在任何合適的腔室中,例如PVD、原子層沉積(ALD)、外延(EPI)或其他合適的腔室。
可將基板轉移到可執行梯度氧化操作的處理腔室302中的一者。梯度氧化可以在電感耦合電漿(ICP)反應器或其他合適的電漿處理腔室中進行。梯度氧化操作被配置為氧化形成在基板上的金屬層的不需要的部分。
可將基板轉移到處理腔室302中的一者,在其中執行蝕刻操作以選擇性地移除沉積金屬層的氧化部分。例如,蝕刻操作可以在蝕刻室中進行。或者,可以在執行梯度氧化的ICP反應器中執行蝕刻操作。
在蝕刻操作之後,可將基板轉移到處理腔室302中的一個,在其中執行蝕刻後處理製程以將氧化鎢還原為鎢並可選地從鎢表面去除污染物。例如,可以在執行梯度氧化和回蝕的ICP反應器中執行蝕刻後處理製程。蝕刻後處理製程可以是CVT製程,例如,如本文所述的氫和氧處理製程。
在蝕刻後處理製程之後,一部分沉積金屬層(例如,種晶材料)將沿特徵或溝槽的側壁表面和底表面保留。然後可以將基板轉移到處理腔室302或316之一,在其中執行間隙填充操作。間隙填充操作可以在CVD、ALD或其他合適的室中進行。例如,製程腔室302或316可以沉積諸如鎢的金屬或用於從溝槽底部的種晶層生長的其他合適材料或用於形成微電子裝置的一部分的特徵。
系統控制器380耦合到處理系統300以用於控制處理系統300或其組件。例如,系統控制器380可以使用處理系統300的處理腔室302、304、306、310、312、314、316、320、330的直接控制,或透過控制與處理腔室302、304、306、310、312、314、316、320、330、360相關聯的控制器來控制處理系統300的操作。在操作中,系統控制器380使得能夠從各個腔室收集資料和反饋以協調處理系統300的性能。
系統控制器380通常包括中央處理單元(CPU) 382、記憶體384和支援電路386。CPU 382可以是可以在工業環境中使用的任何形式的通用處理器中的一種。記憶體384、非暫態性電腦可讀取媒體或機械可讀取儲存媒體可由CPU 382存取,並可為一或更多種記憶體,諸如隨機存取記憶體(RAM)、唯讀記憶體(ROM)、磁碟機、硬碟、或位於本地或遠端的任何其他形式的數位儲存器。支援電路386耦合至CPU 382,並可包含快取、時脈電路、輸入輸出子系統、電源供應器、以及類似者。通常可以在CPU 382的控制下透過執行存儲在記憶體384(或特定處理腔室的記憶體)中的電腦指令代碼(例如電腦程式產品或軟體常式),來實現本文揭示的各種實施方式。換言之,電腦程式產品有形地體現在記憶體384(或非暫態性電腦可讀取媒體或機器可讀取儲存裝置)上。當CPU 382執行電腦指令代碼時,CPU 382根據各種實施方式控制腔室執行操作。
系統控制器380被配置為執行諸如存儲在記憶體384中的方法100的方法。
在一些具體實施例中,第一處理腔室302包含:氧源332,氧源332流體耦合到第一處理腔室302的處理區域340,其中氧源332被配置為將含氧氣體輸送到處理區域340。第一處理腔室302可進一步包含:第一流量控制閥333,第一流量控制閥333被配置為控制從氧源332提供到處理區域340的含氧氣體的流量。在一些具體實施例中,第一處理腔室302進一步包含:氫源334,氫源334流體耦合到第一處理腔室302的處理區域340,其中氫源334被配置為將含氫氣體輸送到處理區域340。第一處理腔室302可進一步包含:第二流量控制閥335,第二流量控制閥335被配置為控制從氫源334提供到處理區域340的含氫氣體的流量。第一處理腔室302可進一步包含:蝕刻氣體源336,蝕刻氣體源336流體耦合到第一處理腔室302的處理區域340,其中蝕刻氣體源336被配置為將蝕刻氣體輸送到處理區域340。第一處理腔室302可進一步包含:第三流量控制閥337,第三流量控制閥337被配置為控制從蝕刻氣體源336提供到處理區域340的蝕刻氣體的流量。第一處理腔室302可進一步包含:電感耦合電漿源338,電感耦合電漿源338經配置為在處理區域340中產生電漿,其中電漿包括含氫氣體和含氧氣體。
在一些具體實施例中,系統控制器380經配置以控制第一流量控制閥333,使得一定量的含氧氣體提供給設置在第一處理腔室302的處理區域340中的基板的表面,以透過在第一處理腔室302的處理區域340中產生電漿而優先氧化設置在形成在基板中的特徵的側壁與場區域上的一個或多個含鎢層;控制第三流量控制閥337,使得一定量的蝕刻氣體提供給基板的表面,以在第一處理腔室302中執行優先蝕刻設置在基板中形成的特徵的側壁的場區域上的一個或多個含鎢層的優先氧化部分;和控制第一流量控制閥333和第二流量控制閥335以將一定量的含氧氣體和含氫氣體輸送到處理區域340,以將一個或多個含鎢層暴露於蝕刻後處理製程,蝕刻後處理製程包括:透過產生包含含氧氣體和含氫氣體的電感耦合電漿,以使含鎢層暴露於氫和氧電漿處理製程。
在一些具體實施例中,比較用本文所述的氧化/回蝕/後蝕刻處理處理的鎢表面上的雜質水平的XPS分析表明,氟百分比從回蝕後的4.1%減少到蝕刻後處理後的 0.3%,氮百分比從回蝕後的8.0%降至蝕刻後處理後的0.9%,並且硼百分比從回蝕後的0.8%降至蝕刻後處理後的0.4%。
本揭示的先前描述的具體實施例具有許多優點,包括方法利用電感耦合電漿(ICP)O 2電漿,其包括在基板上形成的特徵(例如,溝槽或通孔)內的擴散限制氣流以產生氧缺乏反應。在一些具體實施例中,ICP O 2電漿(一種具有高離子/自由基比的低弱能量O 2電漿)的使用被創建,以增強場區域中的氧化並在到達溝槽結構或間隙的底部之前耗盡活性氧物質。這在特徵中提供了良好的選擇性(>7),並且實現了頂場金屬去除,同時種晶材料保留在特徵的側壁表面和底表面上以用於金屬間隙填充。方法實現了具有高晶圓處理量的多循環能力。這些方法透過去除場金屬同時在間隙或溝槽的底部保留種晶金屬,來克服金屬間隙填充期間的接縫和空隙的挑戰。此外,蝕刻後處理製程從含鎢層的表面去除諸如氟、硼和/或氮的污染物,同時將氧化鎢還原為鎢。以此方式,可以執行無縫的自下而上的金屬間隙填充。然而,本揭示內容並不要求所有的有利特徵和所有優點都需要結合到本揭示內容的每個具體實施例中。
雖然前述內容係關於本揭示內容的具體實施例,但可發想其他與進一步的具體實施例而不脫離前述內容的基本範圍,且前述內容的範圍係由下列申請專利範圍判定。
100:方法 110-160:操作 200:半導體裝置結構 210:裝置基板 210b:背面 210f:正面 220:介電層 220u:場區域 222:特徵 222b:底表面 222s:側壁表面 230:襯墊層 232:PVD製程 234:懸垂部分 240:成核層 242:成核層沉積製程 246:含鎢層 252:回蝕製程 262:蝕刻後製程 264:污染物 272:鎢間隙填充製程 274:鎢間隙填充材料 300:多腔室處理系統 302:處理腔室 304:第一移送腔室 306:直通腔室 310:第二移送腔室 312:負載鎖腔室 314:處理腔室 316:處理腔室 320:工廠介面 330:艙 332:氧源 333:第一流量控制閥 334:氫源 335:第二流量控制閥 336:氣體源 337:第三流量控制閥 338:電漿源 340:處理區域 360:處理腔室 380:系統控制器 382:中央處理單元(CPU) 384:記憶體 386:支援電路
可參考多個具體實施例以更特定地說明以上簡要總結的本揭示內容,以更詳細瞭解本揭示內容的上述特徵,附加圖式圖示說明了其中一些具體實施例。然而應注意到,附加圖式僅圖示說明本揭示內容的典型具體實施例,且因此不應被視為限制本揭示內容的範圍,因為揭示內容可允許其他等效的具體實施例。
圖1示出了根據本揭示內容的一個或多個具體實施例的用於製造半導體裝置的方法的流程圖。
圖2A至2F圖示了根據本揭示內容的一個或多個具體實施例的製造半導體裝置的各個階段的視圖。
圖3示出了根據本揭示內容的一個或多個具體實施例的多腔室處理工具的一個示例的示意性俯視圖。
為了協助瞭解,已盡可能使用相同的元件符號標定圖式中共有的相同元件。已思及到,一個具體實施例的元件與特徵,可無需進一步的敘述即可被有益地併入其他具體實施例中。
國內寄存資訊(請依寄存機構、日期、號碼順序註記) 無 國外寄存資訊(請依寄存國家、機構、日期、號碼順序註記) 無
100:方法
110-160:操作

Claims (20)

  1. 一種在一基板上填充一特徵的方法,該方法包括以下步驟: 執行一梯度氧化製程以氧化一襯墊層的暴露部分,其中該梯度氧化製程優先氧化該襯墊層的一懸垂部分,該懸垂部分阻礙或阻擋形成在一基板的一場區域內的一個或多個特徵的頂部開口; 執行一回蝕製程以去除或減少該襯墊層的該氧化懸垂部分; 將該襯墊層暴露於一化學氣相傳輸(CVT)製程以去除該梯度氧化製程和該回蝕製程殘留的金屬氧化物;和 執行一鎢間隙填充製程以填充或部分填充一個或多個特徵。
  2. 如請求項1所述之方法,其中該襯墊層為一含鎢層,且該金屬氧化物為氧化鎢。
  3. 如請求項2所述之方法,其中該CVT製程是將該氧化鎢還原為鎢的一電漿製程。
  4. 如請求項2所述之方法,其中該CVT製程包括將該含鎢層暴露於包含氫和氧的一電感耦合電漿(ICP)。
  5. 如請求項4所述之方法,其中將該含鎢層暴露於一ICP是在攝氏400度或更低的一溫度下執行的,並且包括供應一處理氣體,該處理氣體包含大於或等於氫氣與氧氣的一總流量的氫氣的90%。
  6. 如請求項5所述之方法,其中在不破壞真空下在一處理腔室中執行該梯度氧化製程、執行該回蝕製程和將該襯墊層暴露於該CVT製程。
  7. 如請求項6所述之方法,其中該一個或多個特徵包括一底表面和至少一個側壁,並且該襯墊層形成在該至少一個側壁和該底表面之上。
  8. 一種填充形成在一基板上的一特徵的方法,該方法包括以下步驟: 在一基板的一表面上沉積一個或多個含鎢層,其中 該基板包括形成在該基板的該表面的一場區域內的複數個特徵, 該複數個特徵中的每一個特徵包括一側壁表面和一底表面,並且 在該複數個特徵的該場區域、側壁表面和底表面上方形成該沉積的一個或多個含鎢層; 將該基板的該表面暴露於一梯度氧化製程,其中該梯度氧化製程形成佈置在該複數個特徵的該場區域上方的該等含鎢層的優先氧化區域; 優先蝕刻形成在該基板的該表面上的該沉積的一個或多個含鎢層的該等優先氧化區域,其中在執行該優先蝕刻該等優先氧化區域的該製程之後,該沉積的一個或多個含鎢層的一第一部分保留在該複數個特徵中的每一個特徵的該底表面上,並且該沉積的一個或多個含鎢層的一第二部分保留在該複數個特徵中的每一個特徵的該側壁表面上; 將該沉積的一個或多個含鎢層的至少該第二部分暴露於一蝕刻後處理製程,以將氧化的鎢還原為鎢並從該沉積的一個或多個含鎢層的表面去除污染物;和 用一第二鎢層填充該等特徵,其中用該第二鎢層填充該等特徵的該製程包括:從在該側壁表面上的該沉積的一個或多個含鎢層的該第二部分以及保留在每個該等特徵的該底表面上的該沉積的一個或多個含鎢層的該第一部分優先生長該第二鎢層。
  9. 如請求項8所述之方法,其中該蝕刻後處理製程包括一電感耦合電漿。
  10. 如請求項9所述之方法,其中該蝕刻後處理製程進一步包括:將該等含鎢層暴露於H 2、O 2、Ar或其組合中的一種或多種。
  11. 如請求項9所述之方法,其中該蝕刻後處理製程包括:將該等含鎢層暴露於一氫和氧電漿處理。
  12. 如請求項11所述之方法,其中該氫和氧電漿處理在攝氏400度或更低的溫度下進行,並且包括供應一處理氣體,該處理氣體包含大於或等於氫氣與氧氣的一總流量的氫氣的90%。
  13. 如請求項12所述之方法,其中該側壁表面由選自氧化矽、氮化矽、氮氧化矽或其組合的一介電質材料限定。
  14. 如請求項13所述之方法,其中在該基板的該表面上沉積該一層或多層含鎢層包括以下步驟: 透過一物理氣相沉積製程在該複數個特徵上沉積一鎢襯墊層;和 透過一原子層沉積(ALD)製程在該鎢襯墊層上沉積一硼-鎢成核層。
  15. 如請求項14所述之方法,其中用該第二鎢層填充該等特徵包括一化學氣相沉積(CVD)間隙填充製程。
  16. 如請求項8所述之方法,其中該蝕刻後處理製程包括一化學氣相傳輸(CVT)製程。
  17. 如請求項16所述之方法,其中該CVT製程包括一揮發製程和一還原製程。
  18. 如請求項17所述之方法,其中該揮發製程透過以下反應(I)WO 2+2H 2O→WO 2(OH) 2+H 2進行,該還原製程透過以下反應(II)WO 2(OH) 2+3H 2→W+4H 2O進行。
  19. 如請求項8所述之方法,其中將該基板的該表面暴露於一梯度氧化製程、優先蝕刻形成在該基板的該表面上的該沉積的一個或多個含鎢層的該等優先氧化區域、以及至少暴露該沉積的一個或多個含鎢層的該第二部分至一蝕刻後處理製程是在設置在一群集工具上的一第一處理腔室中執行。
  20. 一種群集工具,包括: 一第一處理腔室,包括: 一氧源,該氧源流體耦合到該第一處理腔室的一處理區域,其中該氧源被配置為將一含氧氣體輸送到該處理區域; 一第一流量控制閥,該第一流量控制閥被配置為控制從該氧源提供到該處理區域的含氧氣體的該流量; 一氫源,該氫源流體耦合到該第一處理腔室的該處理區域,其中該氫源被配置為將一含氫氣體輸送到該處理區域; 一第二流量控制閥,該第二流量控制閥被配置為控制從該氫源提供到該處理區域的該含氫氣體的該流量; 一蝕刻氣體源,該蝕刻氣體源流體耦合到該第一處理腔室的該處理區域,其中該蝕刻氣體源被配置為將一蝕刻氣體輸送到該處理區域; 一第三流量控制閥,該第三流量控制閥經配置以控制該蝕刻氣體源向該處理區域提供的該蝕刻氣體的該流量;和 一電感耦合電漿源,該電感耦合電漿源經配置為在該處理區域中產生一電漿,其中該電漿包括該含氫氣體和該含氧氣體;和 一控制器,該控制器經配置以: 控制該第一流量控制閥,使得一定量的含氧氣體提供給設置在該第一處理腔室的該處理區域中的一基板的一表面,以透過在第一處理腔室的該處理區域中產生該電漿而優先氧化設置在形成在該基板中的特徵的側壁與一場區域上的一個或多個含鎢層; 控制該第三流量控制閥,使得一定量的蝕刻氣體提供給該基板的該表面,以在該第一處理腔室中執行優先蝕刻設置在該基板中形成的特徵的側壁的該場區域上的一個或多個含鎢層的該等優先氧化部分;和 控制該第一流量控制閥和該第二流量控制閥以將一定量的含氧氣體和含氫氣體輸送到該處理區域,以將該一個或多個含鎢層暴露於該蝕刻後處理製程,該蝕刻後處理製程包括:透過產生包含該含氧氣體和該含氫氣體的一電感耦合電漿,以使該等含鎢層暴露於一氫和氧電漿處理製程。
TW112115976A 2022-06-22 2023-04-28 用於鎢間隙填充之鎢表面之處理 TW202403965A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US202263354354P 2022-06-22 2022-06-22
US63/354,354 2022-06-22

Publications (1)

Publication Number Publication Date
TW202403965A true TW202403965A (zh) 2024-01-16

Family

ID=89323442

Family Applications (1)

Application Number Title Priority Date Filing Date
TW112115976A TW202403965A (zh) 2022-06-22 2023-04-28 用於鎢間隙填充之鎢表面之處理

Country Status (3)

Country Link
US (1) US20230420295A1 (zh)
TW (1) TW202403965A (zh)
WO (1) WO2023249683A1 (zh)

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9275865B2 (en) * 2012-10-31 2016-03-01 Applied Materials, Inc. Plasma treatment of film for impurity removal
US9972504B2 (en) * 2015-08-07 2018-05-15 Lam Research Corporation Atomic layer etching of tungsten for enhanced tungsten deposition fill
US10163696B2 (en) * 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
JP7213827B2 (ja) * 2017-04-24 2023-01-27 アプライド マテリアルズ インコーポレイテッド 高アスペクト比構造における間隙充填方法
US11417568B2 (en) * 2020-04-10 2022-08-16 Applied Materials, Inc. Methods for selective deposition of tungsten atop a dielectric layer for bottom up gapfill

Also Published As

Publication number Publication date
WO2023249683A1 (en) 2023-12-28
US20230420295A1 (en) 2023-12-28

Similar Documents

Publication Publication Date Title
US10319604B2 (en) Methods for self-aligned patterning
US10777453B2 (en) Low resistivity films containing molybdenum
US11094544B2 (en) Methods of forming self-aligned vias
JP6727359B2 (ja) シームレスのコバルト間隙充填を可能にする方法
US10573522B2 (en) Method for preventing line bending during metal fill process
JP6494940B2 (ja) 異なるサイズのフィーチャへのボイドフリータングステン充填
KR20220082023A (ko) 몰리브덴 충진
US20190385838A1 (en) In-Situ Pre-Clean For Selectivity Improvement For Selective Deposition
US20240047269A1 (en) Molybdenum deposition in features
TW202403965A (zh) 用於鎢間隙填充之鎢表面之處理
US20230343644A1 (en) Method of in-situ selective metal removal via gradient oxidation for gapfill
TWI329340B (en) Method for manufacturing semiconductor device
US20240006236A1 (en) Plasma enhanced tungsten nucleation for low resistivity
KR20220116263A (ko) 금속 배리어 층들의 도핑
US20240014072A1 (en) Nitrogen plasma treatment for bottom-up growth
TWI719269B (zh) 金屬膜之沉積
TW202415791A (zh) 低電阻率的電漿增強鎢成核
TW202412182A (zh) 用於自下而上生長的氮氣電漿處理
US20240105509A1 (en) Middle of line dielectric layer engineering for via void prevention
WO2019236909A1 (en) Reduction of diffusion across film interfaces
WO2024054441A1 (en) Integrated pvd tungsten liner and seamless cvd tungsten fill
KR20240002965A (ko) 복합 배리어 층들