TWI550697B - Method of manufacturing a semiconductor device and detecting defects thereof - Google Patents

Method of manufacturing a semiconductor device and detecting defects thereof Download PDF

Info

Publication number
TWI550697B
TWI550697B TW103139108A TW103139108A TWI550697B TW I550697 B TWI550697 B TW I550697B TW 103139108 A TW103139108 A TW 103139108A TW 103139108 A TW103139108 A TW 103139108A TW I550697 B TWI550697 B TW I550697B
Authority
TW
Taiwan
Prior art keywords
geometric
semiconductor device
fabricating
detecting
region
Prior art date
Application number
TW103139108A
Other languages
Chinese (zh)
Other versions
TW201618167A (en
Inventor
林志峰
車行遠
Original Assignee
力晶科技股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 力晶科技股份有限公司 filed Critical 力晶科技股份有限公司
Priority to TW103139108A priority Critical patent/TWI550697B/en
Priority to CN201410697104.2A priority patent/CN105590876B/en
Publication of TW201618167A publication Critical patent/TW201618167A/en
Application granted granted Critical
Publication of TWI550697B publication Critical patent/TWI550697B/en

Links

Description

半導體元件的製作以及檢測方法 Semiconductor component fabrication and detection method

本發明係關於一種半導體元件的製作方法,特別是關於一種在製程中施行缺陷檢測步驟的半導體元件的製作方法。 The present invention relates to a method of fabricating a semiconductor device, and more particularly to a method of fabricating a semiconductor device that performs a defect detecting step in a process.

隨著半導體製程技術的持續演進,半導體元件的尺寸持續微縮。一般而言,在製作半導體元件的過程中,必須搭配進行缺陷檢測步驟,以偵測出半導體元件內各部件的尺寸和間距是否落在容許的範圍內,藉以判別出可能的不良品。 As semiconductor process technology continues to evolve, the size of semiconductor components continues to shrink. In general, in the process of fabricating a semiconductor device, it is necessary to perform a defect detecting step to detect whether the size and pitch of each component in the semiconductor component fall within an allowable range, thereby identifying a possible defective product.

第1圖是半導體基板上具有閘極結構的俯視圖。在此製程階段,半導體基板10上會具有主動區域12以及絕緣結構14,其中主動區域12會被絕緣結構14包圍,半導體基板10上另設置有閘極結構16、20,致使閘極結構16、20可以橫跨過對應的主動區域12。藉由此設計佈局,主動區域12和閘極結構16、20的重疊區域可作為後續電晶體元件的載子流通區域。 Fig. 1 is a plan view showing a gate structure on a semiconductor substrate. In this process stage, the semiconductor substrate 10 has an active region 12 and an insulating structure 14, wherein the active region 12 is surrounded by the insulating structure 14, and the semiconductor substrate 10 is further provided with gate structures 16, 20, resulting in the gate structure 16, 20 can span the corresponding active area 12. With this design layout, the overlap region of the active region 12 and the gate structures 16, 20 can serve as a carrier flow region for subsequent transistor elements.

需注意的是,由於黃光微影製程及/或蝕刻製程缺陷,閘極結構16可能會在製程中產生不預期的缺陷。舉例而言,原本預定要互相分離的閘極結構16a、16b間可能會產生不預期的連續區,或稱為缺陷區18。此缺陷區18會連接相鄰的閘極結構16a、16b,致使後續製得的半導體元件喪失其應有的電性。因此,有必要在製程過程中檢測並標記出此缺陷區18,以避免其相 對應的半導體元件被誤判為良品。然而,由於半導體基板10上一般會存在有柱狀殘留物22,例如是製備閘極結構16、20過程中所產生的蝕刻殘留物,此殘留物22在缺陷檢測的過程中會產生嚴重的檢測雜訊,致使無法有效判別出缺陷區18的存在。 It should be noted that the gate structure 16 may cause unexpected defects in the process due to defects in the yellow lithography process and/or the etch process. For example, an unexpected continuation zone, or defect zone 18, may be created between gate structures 16a, 16b that are intended to be separated from each other. This defective region 18 will connect adjacent gate structures 16a, 16b, causing the subsequently fabricated semiconductor component to lose its electrical properties. Therefore, it is necessary to detect and mark this defect area 18 during the process to avoid its phase. The corresponding semiconductor component is misjudged as a good product. However, since the columnar residue 22 is generally present on the semiconductor substrate 10, for example, etching residues generated during the preparation of the gate structures 16, 20, the residue 22 may be severely detected during defect detection. The noise makes it impossible to effectively discriminate the existence of the defective area 18.

因此,有必要提出一種半導體元件的製作方法,特別是關於一種包括施行缺陷檢測步驟的半導體元件的製作方法,以解決上述無法判別出缺陷區之缺失。 Therefore, it is necessary to propose a method of fabricating a semiconductor device, and more particularly to a method of fabricating a semiconductor device including performing a defect detecting step to solve the above-described inability to discriminate the defect region.

有鑑於此,有必要提供一種半導體元件的製作方法,以克服上述習知技術之缺失。 In view of the above, it is necessary to provide a method of fabricating a semiconductor device to overcome the above-described drawbacks of the prior art.

根據本發明之一實施例,係提供一種半導體元件的製作方法,包括下列步驟。首先,提供半導體基板,其上劃分出元件區域和週邊區域。接著,於元件區域內形成多個第一幾何單元,並於週邊區域形成多個第二幾何單元,其中各第二幾何單元的臨界尺寸係相等於各第一幾何單元的臨界尺寸。之後,全面沉積一介電層,以同時覆蓋住各第一幾何單元和各第二幾何單元。最後,於介電層上形成多個焊接墊,其中各焊接墊位於第二幾何單元的正上方。 According to an embodiment of the present invention, a method of fabricating a semiconductor device is provided, comprising the following steps. First, a semiconductor substrate is provided on which an element region and a peripheral region are divided. Then, a plurality of first geometric units are formed in the element region, and a plurality of second geometric units are formed in the peripheral region, wherein the critical dimensions of the second geometric units are equal to the critical dimensions of the first geometric units. Thereafter, a dielectric layer is fully deposited to cover each of the first geometric unit and each of the second geometric units. Finally, a plurality of solder pads are formed on the dielectric layer, wherein each solder pad is located directly above the second geometric unit.

10‧‧‧半導體基板 10‧‧‧Semiconductor substrate

12‧‧‧主動區域 12‧‧‧Active area

14‧‧‧絕緣結構 14‧‧‧Insulation structure

16、20‧‧‧閘極結構 16, 20‧‧ ‧ gate structure

16a、16b‧‧‧閘極結構 16a, 16b‧‧‧ gate structure

18‧‧‧缺陷區 18‧‧‧Defect area

22‧‧‧殘留物 22‧‧‧Residues

100‧‧‧電子檔案 100‧‧‧Electronic files

102、104、106‧‧‧幾何圖案 102, 104, 106‧‧‧ geometric patterns

106a、106b‧‧‧次幾何圖案 106a, 106b‧‧‧ geometric patterns

108‧‧‧分離區域 108‧‧‧Separation area

200‧‧‧第一光罩 200‧‧‧first mask

202、204、206、216‧‧‧幾何圖案 202, 204, 206, 216‧‧‧ geometric patterns

210‧‧‧塊狀區域 210‧‧‧Blocked area

216a、216b‧‧‧幾何圖案 216a, 216b‧‧‧ geometric patterns

218‧‧‧分離區域 218‧‧‧Separation area

230、330‧‧‧中心區域 230, 330‧‧‧ central area

232、332‧‧‧環狀區域 232, 332‧‧‧ annular area

300‧‧‧第二光罩 300‧‧‧second mask

310‧‧‧矩形圖案 310‧‧‧Rectangular pattern

400‧‧‧半導體基板 400‧‧‧Semiconductor substrate

402、404、406‧‧‧第一幾何單元 402, 404, 406‧‧‧ first geometry unit

408、418‧‧‧間距 408, 418‧‧‧ spacing

412‧‧‧殘留物 412‧‧‧Residues

414‧‧‧淺溝渠絕緣結構 414‧‧‧Shallow trench insulation structure

416‧‧‧第二幾何單元 416‧‧‧Second geometry unit

416a、416b‧‧‧次幾何單元 416a, 416b‧‧‧ geometric units

420‧‧‧閘極氧化層 420‧‧ ‧ gate oxide layer

422‧‧‧閘極電極 422‧‧‧gate electrode

424‧‧‧墊層 424‧‧‧ cushion

426‧‧‧遮罩層 426‧‧‧mask layer

430‧‧‧元件區域 430‧‧‧Component area

432‧‧‧週邊區域 432‧‧‧ surrounding area

510、512、514‧‧‧介電層 510, 512, 514‧‧ dielectric layers

520‧‧‧接觸墊 520‧‧‧Contact pads

524‧‧‧內連線 524‧‧‧Interconnection

526‧‧‧接觸插塞 526‧‧‧Contact plug

530‧‧‧焊接墊 530‧‧‧ solder pad

801、802、803、804、805、806‧‧‧步驟 801, 802, 803, 804, 805, 806 ‧ ‧ steps

910、912、914、916、918、920、922、924‧‧‧次幾何圖案 910, 912, 914, 916, 918, 920, 922, 924 ‧ ‧ geometric patterns

第1圖是習知半導體製程中半導體基板上具有閘極結構的俯視圖。 FIG. 1 is a plan view showing a gate structure on a semiconductor substrate in a conventional semiconductor process.

第2圖是以電子檔案的形式儲存於電腦可讀式儲存媒介的半導體元件設計佈局的局部俯視圖。 Figure 2 is a partial plan view of a semiconductor component design layout stored in a computer-readable storage medium in the form of an electronic file.

第3圖是具有幾何圖案的第一光罩俯視圖。 Figure 3 is a top plan view of a first mask with a geometric pattern.

第4圖是具有塊狀幾何圖案的第二光罩俯視圖。 Figure 4 is a top plan view of a second mask having a block geometry pattern.

第5圖是半導體基板上具有幾何圖案的俯視示意圖。 Figure 5 is a top plan view of a geometric pattern on a semiconductor substrate.

第6圖是沿著第5圖內的A-A’切線和B-B’切線所繪示的剖面示意圖。 Fig. 6 is a schematic cross-sectional view taken along line A-A' and tangent to B-B' in Fig. 5.

第7圖是半導體基板上形成有焊接墊的俯視示意圖。 Fig. 7 is a schematic plan view showing a solder pad formed on a semiconductor substrate.

第8圖是半導體元件製作方法的流程圖。 Fig. 8 is a flow chart showing a method of fabricating a semiconductor device.

第9圖是各種具有臨界尺寸的幾何圖案的示意圖。 Figure 9 is a schematic illustration of various geometric patterns having critical dimensions.

在下文中,將參照附圖說明細節,該些附圖中之內容亦構成說明書細節描述的一部份,並且以可實行該實施例之特例描述方式來繪示。下文實施例已描述足夠的細節俾使該領域之一般技藝人士得以具以實施。當然,亦可採行其他的實施例,或是在不違背文中所述實施例的前提下作出任何結構性、邏輯性、及電性上的改變。因此,下文之細節描述不應被視為是限制,反之,其中所包含的實施例將由隨附的申請專利範圍來加以界定。 In the following, the details will be described with reference to the drawings, which also form part of the detailed description of the specification, and are described in the manner of the specific examples in which the embodiment can be practiced. The following examples have been described in sufficient detail to enable those of ordinary skill in the art to practice. Of course, other embodiments may be employed, or any structural, logical, or electrical changes may be made without departing from the embodiments described herein. Therefore, the following detailed description is not to be considered as limiting, but the embodiments included therein are defined by the scope of the accompanying claims.

第2圖是以電子檔案的形式儲存於電腦可讀式儲存媒介(computer-readable storage media,CRSM)的半導體元件設計佈局局部俯視圖。在此階段,半導體元件的設計佈局電子檔案100會被儲存於適當的電腦可讀式儲存媒介中,以供後續的電腦運算處理。如第2圖所示,電子檔案100的設計佈局主要係對應至半導體元件中的元件區域,因此其內部的幾何圖案102、104、106可具有不同輪廓、尺寸和間距,以定義出電路中的源/汲極、閘極、接觸插塞、內連線等部件。根據本實施例,電子檔案100內的幾何圖案102、104、106係用以定義出電路中的閘極結構的位置,其中,幾何圖案102係對應至條狀延伸的閘極結構;幾何圖案104係對應至L形的閘極結構;幾何圖案106係對應至以T形相向設置的閘極結構。進一步而言,幾何圖案106另包括兩個次幾何圖案106a,此次幾何圖案106a、106b係以T形底部頭 對頭的方式相向設置,致使兩者間存在有分離區域108。 Fig. 2 is a partial plan view showing the layout of a semiconductor element stored in a computer-readable storage medium (CRSM) in the form of an electronic file. At this stage, the design layout electronic file 100 of the semiconductor component is stored in a suitable computer readable storage medium for subsequent computer processing. As shown in FIG. 2, the design layout of the electronic file 100 mainly corresponds to the element regions in the semiconductor component, so that the internal geometric patterns 102, 104, 106 can have different contours, sizes and spacings to define the circuits. Source/drain, gate, contact plug, interconnect, etc. According to this embodiment, the geometric patterns 102, 104, 106 in the electronic file 100 are used to define the position of the gate structure in the circuit, wherein the geometric pattern 102 corresponds to a strip-shaped extended gate structure; the geometric pattern 104 Corresponding to the L-shaped gate structure; the geometric pattern 106 corresponds to the gate structure disposed in a T-shaped direction. Further, the geometric pattern 106 further includes two sub-geometric patterns 106a, and the geometric patterns 106a, 106b are T-shaped bottom heads. The manner of the heads is opposite to each other such that there is a separation region 108 between the two.

需注意的是,由於幾何圖案102、104、106的輪廓、尺寸和間距不盡相同,當這些輪廓、尺寸和間距不等的幾何圖案102、104、106經由後續製程而被轉移至半導體基板上時,具有較小間距的幾何圖案相較於具有較大間距的幾何圖案會更容易發生結構缺陷,舉例而言,此結構缺陷可能是造成分離圖案彼此互連之缺陷。然而,受制於製程殘留物的存在,導致這些缺陷無法有效地被檢測出。因此,本發明係提供一種可以有效檢測出此缺陷的半導體元件製程,以解決上述缺失,下文係就此檢測方式進一步的詳述。 It should be noted that since the contours, sizes and spacings of the geometric patterns 102, 104, 106 are not the same, when the geometric patterns 102, 104, 106 of different contours, sizes and pitches are transferred to the semiconductor substrate via subsequent processes, When the geometric pattern having a smaller pitch is more likely to cause structural defects than the geometric pattern having a larger pitch, for example, this structural defect may be a defect that causes the separation patterns to be interconnected with each other. However, due to the presence of process residues, these defects cannot be effectively detected. Accordingly, the present invention provides a semiconductor device process that can effectively detect such defects to address the above-mentioned deficiencies, which are further detailed below.

同時參照第2圖和第8圖,其中第8圖是半導體元件製作方法的流程圖。接著,施行步驟801,判斷出佈局圖案中具有臨界尺寸(critical dimension)的幾何圖案,這些幾何圖案亦可以被稱為是臨界幾何圖案。具體來說,由於幾何圖案106a、106b間的分離區域108相較於其他的幾何圖案102、104具有更小的尺寸,因此幾何圖案106a、106b容易在後續製程中產生製程缺陷。在此情況下,可以標示出此具有較小尺寸的幾何圖案106a、106b,以作為後續檢測之用。 Referring also to FIGS. 2 and 8, FIG. 8 is a flow chart showing a method of fabricating a semiconductor device. Next, step 801 is performed to determine a geometric pattern having a critical dimension in the layout pattern, which may also be referred to as a critical geometric pattern. In particular, since the separation regions 108 between the geometric patterns 106a, 106b have smaller dimensions than the other geometric patterns 102, 104, the geometric patterns 106a, 106b are susceptible to process defects in subsequent processes. In this case, the geometric pattern 106a, 106b having a smaller size can be marked for subsequent detection.

第3圖是具有幾何圖案的第一光罩俯視圖。在標示出上述的幾何圖案106a、106b之後,接著可以將電子檔案100輸出製作成第一光罩200,以在第一光罩200上對應地形成上述的幾何圖案。其中,第一光罩200可以被區分成一中心區域230和一環狀區域232,中心區域230可以對應至後續半導體元件的元件區域,或稱核心區域,而環狀區域232可以對應至後續半導體元件的週邊區域。具體來說,中心區域230內會被設置有幾何圖案202、204、206,此幾何圖案202、204、206的輪廓和相對位置係對應於電子檔案100內幾何圖案102、104、106的輪廓和相對位置;而環狀區域232內會具 有幾何圖案216a、216b,且彼此間具有一分離區域218,此幾何圖案216a、216b的輪廓即是對應於上述電子檔案100內的幾何圖案106a、106b的輪廓。較佳來說,幾何圖案216可以作為一單元圖案,以週期性的方式排列在環狀區域232內的各塊狀區域210內,且位於各塊狀區域210內的佈局圖案亦會進一步週期性地環繞住中心區域230,以形成另一週期排列圖案。需注意的是,幾何圖案216的輪廓和尺寸會相同於幾何圖案206的輪廓和尺寸。 Figure 3 is a top plan view of a first mask with a geometric pattern. After the above-described geometric patterns 106a, 106b are marked, the electronic file 100 output can then be fabricated into the first mask 200 to correspondingly form the geometric pattern described above on the first mask 200. Wherein, the first mask 200 can be divided into a central region 230 and an annular region 232, the central region 230 can correspond to an element region of a subsequent semiconductor component, or a core region, and the annular region 232 can correspond to a subsequent semiconductor component. The surrounding area. In particular, the central region 230 will be provided with geometric patterns 202, 204, 206, the contours and relative positions of the geometric patterns 202, 204, 206 corresponding to the contours of the geometric patterns 102, 104, 106 within the electronic archive 100 and Relative position; and the annular area 232 will have There are geometric patterns 216a, 216b and a separation region 218 between them, the contours of the geometric patterns 216a, 216b being contours corresponding to the geometric patterns 106a, 106b within the electronic archive 100 described above. Preferably, the geometric pattern 216 can be arranged as a unit pattern in a periodic manner in each of the block regions 210 in the annular region 232, and the layout pattern in each of the block regions 210 is further periodic. The central area 230 is surrounded to form another periodic arrangement pattern. It should be noted that the outline and size of the geometric pattern 216 will be the same as the outline and size of the geometric pattern 206.

除了上述的光罩200之外,本發明實施例的半導體製程亦另會採用其他光罩,藉以形成位於其他階層的電路佈局圖案。第4圖是具有塊狀幾何圖案的第二光罩俯視圖。此第二光罩300亦具有一中心區域330和一環狀區域332,其中環狀區域332內會具有多個沿著中心區域330的周圍而設置的矩形圖案310。較佳來說,此矩形圖案310係用以定義出半導體元件週邊區域的焊接墊,例如是用作打線用的焊接墊或是覆晶封裝的球狀柵陣列(Flip Chip Ball Grid Array,FCBGA)焊接墊。在後續製程中,可以設置金屬細線或錫球在焊接墊上,致使半導體元件可以透過焊接墊而電連接至外部電路。 In addition to the photomask 200 described above, the semiconductor process of the embodiment of the present invention also employs other photomasks to form circuit layout patterns at other levels. Figure 4 is a top plan view of a second mask having a block geometry pattern. The second mask 300 also has a central region 330 and an annular region 332, wherein the annular region 332 has a plurality of rectangular patterns 310 disposed along the circumference of the central region 330. Preferably, the rectangular pattern 310 is used to define a solder pad of a peripheral region of the semiconductor device, for example, a solder pad for wire bonding or a flip chip ball grid array (FCBGA). Solder pad. In a subsequent process, a thin metal wire or solder ball may be placed on the solder pad, so that the semiconductor component can be electrically connected to the external circuit through the solder pad.

需注意的是,上述第一光罩200內各塊狀區域210的位置較佳會對應至第二光罩300內各矩形圖案310的位置。換句話說,在製備第一光罩200時,必須考量光罩300內各矩形圖案310的位置,致使第一光罩200內群聚的幾何圖案216可以被第二光罩300內的各矩形圖案310涵蓋。 It should be noted that the positions of the block regions 210 in the first mask 200 preferably correspond to the positions of the rectangular patterns 310 in the second mask 300. In other words, in preparing the first reticle 200, the position of each rectangular pattern 310 in the reticle 300 must be considered, so that the geometric pattern 216 clustered in the first reticle 200 can be rectangular by the second reticle 300. The pattern 310 is covered.

在製得上述的光罩200、300之後,接著可以施行步驟802,施行沉積製程、光阻塗布、光微影製程、蝕刻製程以及其他適當的半導體製程,以將第一光罩200內的佈局圖案相應地轉移至半導體基板上的元件區域和環繞元件區域的週邊區域內,以於半導體基板上形成多個幾何單元。根據本實施例,上述製程係為閘極結構製程,其步驟可至少包括:首先,依序在半導 體基板上沉積氧化層、導電層以及蓋層。之後,進行光阻塗布和光微影製程,以將第一光罩200內的佈局圖案轉移至蓋層上方的光阻層中,而形成圖案化光阻層。繼以進行一道或多道的蝕刻製程,將圖案化光阻層內的佈局圖案轉移至下方的蓋層內,而形成圖案化蓋層。之後在圖案化蓋層的覆蓋下,進行蝕刻製程,以依序形成圖案化導電層以及成圖案化氧化層,藉以獲得如5圖和第6圖所示之結構。需注意的是,在施行步驟802之前,亦可以先在半導體基板上的部份區域內形成淺溝渠絕緣結構,致使後續形成的幾何單元可以被設置於淺溝渠絕緣結構上。 After the reticle 200, 300 is fabricated, a step 802 can be performed to perform a deposition process, a photoresist coating process, a photolithography process, an etch process, and other suitable semiconductor processes to lay out the layout within the first reticle 200. The pattern is correspondingly transferred into the element region on the semiconductor substrate and the peripheral region surrounding the element region to form a plurality of geometric units on the semiconductor substrate. According to this embodiment, the process is a gate structure process, and the steps may include at least: first, sequentially in the semiconductor An oxide layer, a conductive layer, and a cap layer are deposited on the bulk substrate. Thereafter, a photoresist coating and a photolithography process are performed to transfer the layout pattern in the first mask 200 to the photoresist layer above the cap layer to form a patterned photoresist layer. Subsequent to one or more etching processes, the layout pattern in the patterned photoresist layer is transferred into the underlying cap layer to form a patterned cap layer. Then, under the coverage of the patterned cap layer, an etching process is performed to sequentially form the patterned conductive layer and the patterned oxide layer to obtain structures as shown in FIG. 5 and FIG. It should be noted that before the step 802 is performed, a shallow trench isolation structure may be formed in a partial region on the semiconductor substrate, so that the subsequently formed geometric unit can be disposed on the shallow trench isolation structure.

其中,上述氧化層之成份可以選自氧化矽或含有過渡元素之高介電常數介電層,其可以作為後續閘極結構的閘極氧化層。導電層之成份可以選自多晶矽層或其他合適的半導體導電材料,其可以作為後續閘極結構的閘極電極層。蓋層之成份可以選自氮化矽、氮氧化矽、碳化矽或其他合適的介電材料,其係作為蝕刻製程的蝕刻遮罩。 Wherein, the composition of the oxide layer may be selected from ruthenium oxide or a high-k dielectric layer containing a transition element, which may serve as a gate oxide layer of a subsequent gate structure. The composition of the conductive layer may be selected from a polysilicon layer or other suitable semiconductor conductive material that may serve as a gate electrode layer for a subsequent gate structure. The composition of the cap layer may be selected from the group consisting of tantalum nitride, hafnium oxynitride, tantalum carbide or other suitable dielectric materials as an etch mask for the etching process.

第5圖是半導體基板上具有幾何圖案的俯視示意圖,第6圖是沿著第5圖內的A-A’切線和B-B’切線所繪示的剖面示意圖。在經由上述的半導體製程後,半導體基板400上至少會設置有淺溝渠絕緣結構414、第一幾何單元402、404、406和第二幾何單元416。其中,第一幾何單元402、404、406和第二幾何單元416會分別被設置於元件區域430內和週邊區域432內,且其可以是閘極結構。此閘極結構由下至上各自包括有閘極氧化層420、閘極電極422、墊層424以及遮罩層426,但不限於此。需注意的是,由於半導體基板400較佳係為一晶粒,其可以經由後續的切割製程而與週邊的其他晶粒互相分離。在此情況下,上述的週邊區域432會被切割道區域包圍,使得第二幾何單元416可以被設置在切割道區域和元件區域430之間。 Fig. 5 is a schematic plan view showing a geometric pattern on a semiconductor substrate, and Fig. 6 is a schematic cross-sectional view taken along line A-A' and tangent to B-B' in Fig. 5. After passing through the semiconductor process described above, at least the shallow trench isolation structure 414, the first geometry units 402, 404, 406 and the second geometry unit 416 are disposed on the semiconductor substrate 400. The first geometric units 402, 404, 406 and the second geometric unit 416 are disposed within the component region 430 and the peripheral region 432, respectively, and may be gate structures. The gate structure includes a gate oxide layer 420, a gate electrode 422, a pad layer 424, and a mask layer 426 from bottom to top, but is not limited thereto. It should be noted that since the semiconductor substrate 400 is preferably a die, it can be separated from other peripheral grains of the periphery by a subsequent cutting process. In this case, the peripheral region 432 described above may be surrounded by the scribe lane region such that the second geometry unit 416 may be disposed between the scribe lane region and the component region 430.

進一步來說,第一幾何單元402、404、406的輪廓係對應至第一光罩200內的幾何圖案202、204、206的輪廓;而第二幾何單元416的輪廓會對應至第一光罩200內的幾何圖案216的輪廓。此外,半導體基板400上的第一幾何單元406和第二幾何單元416會具有相同的輪廓和尺寸,且其各自包括次幾何單元406a、406b以及次幾何單元416a、416b。在此情況下,半導體基板400上次幾何單元406a、406b的頭對頭間距408較佳會相同於半導體基板400上次幾何單元416a、416b的頭對頭間距418。換言之,各第一幾何單元406的臨界尺寸係相等於各第二幾何單元416的臨界尺寸。 Further, the contours of the first geometric unit 402, 404, 406 correspond to the contours of the geometric patterns 202, 204, 206 within the first reticle 200; and the contour of the second geometric unit 416 corresponds to the first reticle The outline of the geometric pattern 216 within 200. Moreover, the first geometry unit 406 and the second geometry unit 416 on the semiconductor substrate 400 will have the same profile and dimensions, and each of them includes sub-geometry units 406a, 406b and sub-geometry units 416a, 416b. In this case, the head-to-head spacing 408 of the last geometry cells 406a, 406b of the semiconductor substrate 400 is preferably the same as the head-to-head spacing 418 of the last geometry cells 416a, 416b of the semiconductor substrate 400. In other words, the critical dimension of each first geometric unit 406 is equal to the critical dimension of each second geometric unit 416.

接著,施行缺陷檢測步驟,以判斷元件區域430內的次幾何單元406a、406b是否具有缺陷,例如檢測次幾何單元406a、406b是否均彼此分離及/或落在製程容許值內。然而,由於此時半導體基板400上殘留有先前研磨或蝕刻製程所產生的殘留物412,例如呈現棒狀的蝕刻殘留物,此殘留物412會在缺陷檢測過程中會產生高強度的干擾訊號,致使檢測儀器無法有效判斷元件區域430內的次幾何單元406a、406b的輪廓及/或間距。 Next, a defect detection step is performed to determine if the sub-geometry units 406a, 406b within the component region 430 have defects, such as detecting whether the sub-geometry cells 406a, 406b are all separated from each other and/or fall within the process tolerance. However, since the residue 412 generated by the previous polishing or etching process remains on the semiconductor substrate 400 at this time, for example, a rod-shaped etching residue is present, the residue 412 may generate a high-intensity interference signal during the defect detection process. The detection instrument is rendered incapable of effectively determining the contour and/or spacing of the sub-geometry units 406a, 406b within the component region 430.

相對照下,對於位於週邊區域432內的次幾何單元416a、416b而言,由於其係呈現密集地週期性排列,此設計佈局可以對檢測儀器產生較強的偵測訊號,而不至於被殘留物412產生的雜訊影響。此外,由於半導體基板400上各次幾何單元416a、416b間的臨界尺寸係相等於各次幾何單元406a、406b的臨界尺寸,因此可藉由施行步驟803,選擇性地對週邊區域432內的次幾何單元416a、416b進行缺陷檢測,以作為判斷元件區域430內的次幾何單元406a、406的輪廓及/或間距是否達到製程需求的基準。若週邊區域432內的次幾何單元416a、416b具有缺陷,例如相鄰閘極結構的互連缺陷,則施行步驟804,以標示出含有缺陷的次幾何單元416a、416b。之後,可以選擇性地對半導體基板400再次進行蝕刻製程,以確保元件區域430內相鄰 的閘極結構可以彼此分離。此外,在標示出缺陷之後,亦可以選擇性地不再進行蝕刻製程,而僅是將此訊息紀錄在資料庫中,以供後續其他檢測步驟之用。 In contrast, for the sub-geometry units 416a, 416b located in the peripheral region 432, the design layout can generate a strong detection signal to the detecting instrument without being left to be retained due to the dense periodic arrangement of the lines. The noise generated by object 412. In addition, since the critical dimension between each geometric unit 416a, 416b on the semiconductor substrate 400 is equal to the critical dimension of each geometric unit 406a, 406b, the step 803 can be selectively performed on the peripheral region 432. Geometry units 416a, 416b perform defect detection as a basis for determining whether the contours and/or spacing of sub-geometry units 406a, 406 within component region 430 have reached process requirements. If the sub-geometric cells 416a, 416b within the peripheral region 432 have defects, such as interconnect defects of adjacent gate structures, then step 804 is performed to mark the sub-geometry cells 416a, 416b containing the defects. Thereafter, the semiconductor substrate 400 can be selectively etched again to ensure adjacent regions within the component region 430. The gate structures can be separated from one another. In addition, after the defect is marked, the etching process can be selectively stopped, and only the message is recorded in the database for subsequent detection steps.

之後,可以在第一幾何單元402、404、406和第二幾何單元416的覆蓋下進行離子佈植製程,以於半導體基板400內形成多個摻雜區,以作為半導體元件之源/汲極區域。 Thereafter, an ion implantation process may be performed under the coverage of the first geometric unit 402, 404, 406 and the second geometric unit 416 to form a plurality of doped regions in the semiconductor substrate 400 to serve as a source/drain of the semiconductor device. region.

第7圖是半導體基板上形成有焊接墊的俯視示意圖。接著,施行步驟805,可以在半導體基板400上沉積介電層510、512、514,以覆蓋住第一幾何單元402、404、406和第二幾何單元416,且介電層510、512、514可以設置有接觸墊520、內連線524及/或接觸插塞526。需注意的是,由於上述製程並未移除位於元件區域430內的殘留物412,因此殘留物412亦有可能被介電層510、512、514覆蓋住。 Fig. 7 is a schematic plan view showing a solder pad formed on a semiconductor substrate. Next, performing step 805, dielectric layers 510, 512, 514 may be deposited on the semiconductor substrate 400 to cover the first geometric units 402, 404, 406 and the second geometric unit 416, and the dielectric layers 510, 512, 514 Contact pads 520, interconnects 524, and/or contact plugs 526 can be provided. It should be noted that since the above process does not remove the residue 412 located in the element region 430, the residue 412 may also be covered by the dielectric layers 510, 512, 514.

之後,施行步驟806,進行金屬沉積製程,以於介電層514上形成一金屬層。繼以進行光微影以及蝕刻製程,將第二光罩300的矩形圖案310轉移至金屬層中,以於介電層514上形成多個焊接墊530。由於第二光罩300內的矩形圖案310的位置係對應至第一光罩200內幾何圖案216的位置,因此由矩形圖案310所定義出的焊接墊530會被相應地設置於半導體基板400上各幾何單元416的正上方。 Thereafter, in step 806, a metal deposition process is performed to form a metal layer on the dielectric layer 514. Following the photolithography and etching process, the rectangular pattern 310 of the second mask 300 is transferred into the metal layer to form a plurality of solder pads 530 on the dielectric layer 514. Since the position of the rectangular pattern 310 in the second mask 300 corresponds to the position of the geometric pattern 216 in the first mask 200, the solder pads 530 defined by the rectangular pattern 310 are correspondingly disposed on the semiconductor substrate 400. Directly above each geometry unit 416.

當形成焊接墊530時,會使得接觸墊520、內連線524及/或接觸插塞526被設置在焊接墊530和幾何單元416間。需注意的是,由於幾何單元416較佳係設置在淺溝渠絕緣結構414上,且其不會電連接至接觸墊520、內連線524、接觸插塞526及焊接墊530,因此幾何單元416此時仍是處於一 電浮置狀態。在此情況下,即便在週邊區域432內設置了幾何單元416,其也不會影響最終半導體元件的電性功能。 When solder pads 530 are formed, contact pads 520, interconnects 524, and/or contact plugs 526 are disposed between solder pads 530 and geometry unit 416. It should be noted that since the geometry unit 416 is preferably disposed on the shallow trench isolation structure 414 and it is not electrically connected to the contact pad 520, the interconnect 524, the contact plug 526, and the solder pad 530, the geometry unit 416 Still at one time Electric floating state. In this case, even if the geometry unit 416 is disposed within the peripheral region 432, it does not affect the electrical function of the final semiconductor component.

最後,在完成焊接墊530以及後續製程後,可以沿著半導體基板400周圍的切割道進行切割,以形成晶粒。需注意的是,由於本實施例用於檢測的幾何單元416不會製作在切割道內,因此在進行切割製程時不會造成相鄰週邊區域432內介電層510、512、514產生剝離,因而可以增加製程的良率。 Finally, after the solder pads 530 and subsequent processes are completed, the dicing around the semiconductor substrate 400 can be diced to form dies. It should be noted that since the geometric unit 416 for detecting in this embodiment is not fabricated in the dicing street, the dielectric layer 510, 512, 514 in the adjacent peripheral region 432 is not peeled off during the cutting process. Therefore, the yield of the process can be increased.

根據上述實施例,係提供了一種包括檢測製程的半導體元件製作方法,由於週邊區域432內的幾何圖案416係呈現密集地週期性設置,因此相對於元件區域430內的幾何圖案406,其可以產生較強的檢測訊號,並且利用焊接墊干擾因素較少、缺陷檢測感度較高的特性,因而克服了習知技術的檢測製程的問題。 In accordance with the above-described embodiments, a method of fabricating a semiconductor device including a sensing process is provided that can be generated relative to the geometric pattern 406 within the component region 430 since the geometric pattern 416 within the peripheral region 432 exhibits a dense periodic arrangement. The detection signal of the prior art is overcome by the strong detection signal and the use of the solder pad with less interference factors and higher defect detection sensitivity.

需注意的是,具有臨界尺寸的幾何圖案406不僅限於上述的T形次幾何圖案406a/406b,其亦可以具有其他輪廓。第9圖是各種具有臨界尺寸的幾何圖案的示意圖。如第9圖所示,幾何圖案內的次幾何圖案可以是包括橫向或縱向T形次幾何圖案910、916;橫向或縱向弧形梳狀次幾何圖案918、912、914;橫向或縱向矩形梳狀次幾何圖案922、920;或是凸面次幾何圖案924。因此於周邊區域432的各塊狀區域410內可分別形成不同輪廓的幾何圖案,例如一塊狀區域內形成橫向T形次幾何圖案910,另一塊狀區域內形成縱向弧形梳狀次幾何圖案912,以同時監測元件區域430內相對應的幾何圖案。 It should be noted that the geometric pattern 406 having a critical dimension is not limited to the T-shaped sub-geometric patterns 406a/406b described above, but may have other contours as well. Figure 9 is a schematic illustration of various geometric patterns having critical dimensions. As shown in FIG. 9, the sub-geometric pattern within the geometric pattern may include lateral or longitudinal T-shaped sub-geometric patterns 910, 916; transverse or longitudinal curved comb-like sub-geometric patterns 918, 912, 914; lateral or longitudinal rectangular combs Secondary geometric patterns 922, 920; or convex sub-geometric patterns 924. Therefore, different contour geometric patterns can be formed in each of the block regions 410 of the peripheral region 432, for example, a lateral T-shaped sub-geometric pattern 910 is formed in the block region, and a longitudinal arc comb-like sub-geometry is formed in the other block region. Pattern 912 is used to simultaneously monitor corresponding geometric patterns within component region 430.

以上所述僅為本發明之較佳實施例,凡依本發明申請專利範圍所做之均等變化與修飾,皆應屬本發明之涵蓋範圍。 The above are only the preferred embodiments of the present invention, and all changes and modifications made to the scope of the present invention should be within the scope of the present invention.

801、802、803、804、805、806‧‧‧步驟 801, 802, 803, 804, 805, 806 ‧ ‧ steps

Claims (14)

一種半導體元件的製作以及檢測方法,包括:提供一半導體基板,其包括一元件區域和一週邊區域;於該元件區域內的該半導體基板上形成一第一幾何單元;於該週邊區域的該半導體基板上形成複數個第二幾何單元,其中各該第二幾何單元的臨界尺寸(critical dimension)係相等於該第一幾何單元的臨界尺寸,其中形成該第一幾何單元以及各該第二幾何單元步驟包括:依序在該半導體基板上沉積一氧化層、一導電層以及一蓋層;以及進行一蝕刻製程,以依序圖案化該蓋層、該導電層以及該氧化層;全面沉積一介電層,以同時覆蓋住該第一幾何單元和各該第二幾何單元;於該介電層上形成一焊接墊,其中該焊接墊位於該些第二幾何單元的正上方;以及對該些第二幾何單元進行一缺陷檢測。 A method of fabricating and detecting a semiconductor device, comprising: providing a semiconductor substrate including an element region and a peripheral region; forming a first geometric unit on the semiconductor substrate in the device region; and the semiconductor in the peripheral region Forming a plurality of second geometric units on the substrate, wherein a critical dimension of each of the second geometric units is equal to a critical dimension of the first geometric unit, wherein the first geometric unit and each of the second geometric units are formed The method includes: sequentially depositing an oxide layer, a conductive layer and a cap layer on the semiconductor substrate; and performing an etching process to sequentially pattern the cap layer, the conductive layer and the oxide layer; An electric layer to cover both the first geometric unit and each of the second geometric units; forming a solder pad on the dielectric layer, wherein the solder pad is located directly above the second geometric units; The second geometry unit performs a defect detection. 如請求項1所述半導體元件的製作以及檢測方法,其中該週邊區域係環繞該元件區域。 A method of fabricating and detecting a semiconductor device according to claim 1, wherein the peripheral region surrounds the component region. 如請求項1所述半導體元件的製作以及檢測方法,其中該第一幾何單元和各該第二幾何單元係分別包括複數個次幾何單元。 The method of fabricating and detecting a semiconductor device according to claim 1, wherein the first geometric unit and each of the second geometric unit respectively comprise a plurality of sub-geometric units. 如請求項3所述半導體元件的製作以及檢測方法,其中各該次幾何單元間具有一分離區域,且各該分離區域的尺寸係對應至該臨界尺寸。 The method of fabricating and detecting a semiconductor device according to claim 3, wherein each of the geometric units has a separation region, and the size of each of the separation regions corresponds to the critical dimension. 如請求項1所述半導體元件的製作以及檢測方法,其中該第一幾何單元的外觀輪廓相同於各該第二幾何單元的外觀輪廓。 The method of fabricating and detecting a semiconductor device according to claim 1, wherein the first geometric unit has an outer contour identical to an outer contour of each of the second geometric units. 如請求項1所述半導體元件的製作以及檢測方法,其中在形成該第一幾何單元以及各該第二幾何單元時,會同時於該半導體基板上形成一蝕刻殘留物。 The method of fabricating and detecting a semiconductor device according to claim 1, wherein when the first geometric unit and each of the second geometric units are formed, an etching residue is simultaneously formed on the semiconductor substrate. 如請求項6所述半導體元件的製作以及檢測方法,其中該蝕刻殘留物會被該介電層覆蓋。 The method of fabricating and detecting a semiconductor device according to claim 6, wherein the etching residue is covered by the dielectric layer. 如請求項1所述半導體元件的製作以及檢測方法,其中該第一幾何單元係為一閘極結構。 The method of fabricating and detecting a semiconductor device according to claim 1, wherein the first geometric unit is a gate structure. 如請求項1所述半導體元件的製作以及檢測方法,另包括:在該第一幾何單元的覆蓋下施行一離子佈植製程,以於該半導體基板內形成複數個摻雜區。 The method of fabricating and detecting a semiconductor device according to claim 1, further comprising: performing an ion implantation process under the cover of the first geometric unit to form a plurality of doped regions in the semiconductor substrate. 如請求項1所述半導體元件的製作以及檢測方法,其中各該第二幾何單元係處於電浮置狀態。 The method of fabricating and detecting a semiconductor device according to claim 1, wherein each of the second geometric units is in an electrically floating state. 如請求項1所述半導體元件的製作以及檢測方法,在形成該介電層前,另包括施行一檢測步驟,以判斷各該第二幾何單元的圖案輪廓是否位於製程容許值內。 The method of fabricating and detecting a semiconductor device according to claim 1, before performing the forming of the dielectric layer, further comprising performing a detecting step to determine whether a pattern outline of each of the second geometric units is within a process tolerance. 如請求項1所述半導體元件的製作以及檢測方法,其中該週邊區包括一塊狀區域,該些第二幾何單元係週期性排列於該塊狀區域內。 The method of fabricating and detecting a semiconductor device according to claim 1, wherein the peripheral region comprises a block-shaped region, and the second geometric cells are periodically arranged in the block region. 如請求項1所述半導體元件的製作以及檢測方法,另包括:於該半導體基板上形成一淺溝渠絕緣結構;以及 於該淺溝渠絕緣結構上形成該些第二幾何單元。 The method of fabricating and detecting a semiconductor device according to claim 1, further comprising: forming a shallow trench isolation structure on the semiconductor substrate; The second geometric units are formed on the shallow trench insulation structure. 如請求項1所述半導體元件的製作以及檢測方法,另包括一環繞該週邊區域的切割道。 The method of fabricating and detecting a semiconductor device according to claim 1, further comprising a scribe line surrounding the peripheral region.
TW103139108A 2014-11-11 2014-11-11 Method of manufacturing a semiconductor device and detecting defects thereof TWI550697B (en)

Priority Applications (2)

Application Number Priority Date Filing Date Title
TW103139108A TWI550697B (en) 2014-11-11 2014-11-11 Method of manufacturing a semiconductor device and detecting defects thereof
CN201410697104.2A CN105590876B (en) 2014-11-11 2014-11-27 Method for manufacturing and detecting semiconductor element

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
TW103139108A TWI550697B (en) 2014-11-11 2014-11-11 Method of manufacturing a semiconductor device and detecting defects thereof

Publications (2)

Publication Number Publication Date
TW201618167A TW201618167A (en) 2016-05-16
TWI550697B true TWI550697B (en) 2016-09-21

Family

ID=55930351

Family Applications (1)

Application Number Title Priority Date Filing Date
TW103139108A TWI550697B (en) 2014-11-11 2014-11-11 Method of manufacturing a semiconductor device and detecting defects thereof

Country Status (2)

Country Link
CN (1) CN105590876B (en)
TW (1) TWI550697B (en)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN109065536B (en) * 2018-08-22 2020-04-17 长江存储科技有限责任公司 Wafer and chip

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW201442242A (en) * 2013-03-13 2014-11-01 Semiconductor Energy Lab Semiconductor device

Family Cites Families (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7939348B2 (en) * 2007-08-28 2011-05-10 Chartered Semiconductor Manufacturing, Ltd. E-beam inspection structure for leakage analysis
CN102122637B (en) * 2010-01-08 2013-09-11 中芯国际集成电路制造(上海)有限公司 Detection structure, detection method and method for forming detection structure

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW201442242A (en) * 2013-03-13 2014-11-01 Semiconductor Energy Lab Semiconductor device

Also Published As

Publication number Publication date
CN105590876B (en) 2018-04-13
CN105590876A (en) 2016-05-18
TW201618167A (en) 2016-05-16

Similar Documents

Publication Publication Date Title
KR102264601B1 (en) Magnetic random access device and method of manufacturing the same
US7319254B2 (en) Semiconductor memory device having resistor and method of fabricating the same
TWI553781B (en) Pitch-halving integrated circuit process and integrated circuit structure made thereby
TW201839992A (en) Semiconductor device and manufacturing method thereof
US9966302B2 (en) Device manufacture and packaging method thereof
US8936948B2 (en) Method of fabricating semiconductor device
TWI726370B (en) Semiconductor device with reduced critical dimensions and method of manufacturing the same
KR100475074B1 (en) Manufacturing method of storage node of capacitor for semiconductor device
US11257673B2 (en) Dual spacer metal patterning
TWI550697B (en) Method of manufacturing a semiconductor device and detecting defects thereof
US20140008808A1 (en) Method for fabricating a semiconductor device with formation of conductive lines
TWI538015B (en) Method for fabricating semiconductor device
US9748139B1 (en) Method of fabricating dual damascene structure
KR100648634B1 (en) Method for manufacturing semiconductor device
TWI438866B (en) Crack stopping structure and method for fabricating the same
JP2007012773A (en) Semiconductor device with multilayered wiring
US8916051B2 (en) Method of forming via hole
KR20080088679A (en) Method for manufacturing of semiconductor device
JP2003051470A (en) Manufacturing method of semiconductor device, design method of mask pattern, and program
JP2006108571A (en) Semiconductor device
US10529571B1 (en) Method of fabricating patterned structure
CN109830459B (en) Method for forming fuse structure
KR101159678B1 (en) Semiconductor device and method for manufacturing the same
JP2010232669A (en) Semiconductor device and method of manufacturing semiconductor
KR101037419B1 (en) Semiconductor device and method for forming using the same