TWI543236B - Substrate processing apparatus - Google Patents

Substrate processing apparatus Download PDF

Info

Publication number
TWI543236B
TWI543236B TW104125397A TW104125397A TWI543236B TW I543236 B TWI543236 B TW I543236B TW 104125397 A TW104125397 A TW 104125397A TW 104125397 A TW104125397 A TW 104125397A TW I543236 B TWI543236 B TW I543236B
Authority
TW
Taiwan
Prior art keywords
substrate
shielding plate
chamber
processing apparatus
cup
Prior art date
Application number
TW104125397A
Other languages
Chinese (zh)
Other versions
TW201618159A (en
Inventor
島井基行
橋本光治
Original Assignee
斯克林集團公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 斯克林集團公司 filed Critical 斯克林集團公司
Publication of TW201618159A publication Critical patent/TW201618159A/en
Application granted granted Critical
Publication of TWI543236B publication Critical patent/TWI543236B/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/304Mechanical treatment, e.g. grinding, polishing, cutting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Cleaning Or Drying Semiconductors (AREA)

Description

基板處理裝置 Substrate processing device

本發明係關於一種處理基板之基板處理裝置。 The present invention relates to a substrate processing apparatus for processing a substrate.

自習知以來,在半導體基板(以下,簡稱為「基板」)之製造步驟中,使用多種類之基板處理裝置而對基板施以各種處理。例如,藉由將藥液供給於在表面上形成有抗蝕劑之圖案之基板,而對基板之表面進行蝕刻等之處理。此外,於蝕刻處理結束後,也進行除去基板上之抗蝕劑或洗淨基板之處理。 Since the manufacturing process of a semiconductor substrate (hereinafter, simply referred to as "substrate"), various processes have been applied to the substrate using a variety of substrate processing apparatuses. For example, the surface of the substrate is subjected to etching or the like by supplying the chemical liquid to the substrate on which the pattern of the resist is formed on the surface. Further, after the etching process is completed, the process of removing the resist on the substrate or cleaning the substrate is also performed.

在日本專利特開2014-67778號公報(文獻1)之基板處理裝置中,設置有覆蓋基板之上表面之大致圓板狀的遮蔽板即頂板。於頂板之下表面,設置有自上方側壓抵被基板支撐部支撐之基板之基板壓抵部,與將基板支撐部和頂板卡合之卡合部。頂板係在供給藥液於基板而進行處理時,以與基板支撐部卡合之狀態與基板支撐部一起旋轉。 In the substrate processing apparatus of Japanese Laid-Open Patent Publication No. 2014-67778 (Document 1), a top plate which is a substantially disk-shaped shielding plate covering the upper surface of the substrate is provided. The lower surface of the top plate is provided with a substrate pressing portion that presses the substrate supported by the substrate supporting portion from the upper side, and an engaging portion that engages the substrate supporting portion and the top plate. The top plate rotates together with the substrate supporting portion in a state of being engaged with the substrate supporting portion when the chemical liquid is supplied to the substrate for processing.

然而,由於如文獻1般之遮蔽板會與使用於基板之處理之藥液接觸,因此係由具有高耐藥性之樹脂等而形成。於遮蔽板較大之情況下,為了防止因本身重量而導致遮蔽板變形之情況,而考慮於樹脂製之圓板狀之遮蔽板內部設置圓板狀之金屬芯材。於此情況下,上述之基板壓抵部及卡合部(即,連結基板支撐部與遮蔽板之連結部)係連接於金屬芯材之外周緣部。 However, since the shielding plate as in Document 1 is in contact with the chemical liquid used for the treatment of the substrate, it is formed of a resin having high resistance or the like. When the shielding plate is large, in order to prevent deformation of the shielding plate due to its own weight, a disk-shaped metal core material is provided inside the disk-shaped shielding plate made of resin. In this case, the substrate pressing portion and the engaging portion (that is, the connecting portion between the connecting substrate supporting portion and the shielding plate) are connected to the outer peripheral edge portion of the metal core member.

另一方面,在如文獻1般之基板處理裝置中,具有一邊加熱基板一邊進行藥液處理之情況。此時,由於遮蔽板之溫度也上昇,因此若設置有上述般之金屬芯材,則有金屬芯材於圓周方向上熱膨脹而使遮蔽板於上下方向上翹曲之可能性。如此,若遮蔽板熱變形,則認為有連接於金屬芯材之上述基板壓抵部與連結部之相對位置變化,而使基板壓抵部無法充分地壓抵基板之可能性。 On the other hand, in the substrate processing apparatus as described in Document 1, there is a case where the chemical solution is processed while heating the substrate. At this time, since the temperature of the shielding plate also rises, when the metal core material as described above is provided, the metal core material thermally expands in the circumferential direction to warp the shielding plate in the vertical direction. As described above, when the shield plate is thermally deformed, it is considered that the relative position of the substrate pressing portion and the connecting portion connected to the metal core member is changed, and the substrate pressing portion cannot be sufficiently pressed against the substrate.

本發明係針對處理基板之基板處理裝置,其目的在於抑制遮蔽板之熱變形而抑制連結部與基板壓抵部之相對位置變化之情況。 The present invention is directed to a substrate processing apparatus for processing a substrate, and an object thereof is to suppress thermal deformation of a shielding plate and to suppress a change in a relative position between a connecting portion and a substrate pressing portion.

本發明之基板處理裝置係具備:基板支撐部,其以水平狀態自下方側支撐基板;遮蔽板,其與上述基板之上表面對向配置,而連結於上述基板支撐部;及基板旋轉機構,其以朝向上下方向之中心軸為中心而將上述基板與上述基板支撐部及上述遮蔽板一起旋轉;上述遮蔽板係具備:板狀之遮蔽板本體部,其具有覆蓋上述基板之上述上表面之上方之下表面;遮蔽板補強部,其設置於上述遮蔽板本體部之內部或上部;連結部,其於較上述基板之外周緣更靠徑向外側而與上述基板支撐部連結;及基板壓抵部,其於上述基板之上述外周緣附近自上方側壓抵上述基板;上述遮蔽板補強部係具備:中央部;及複數個周邊部,其分別從上述中央部至上述基板之上述外周緣附近朝徑向外側擴展,並且相互地於圓周方向上離開;上述連結部及上述基板壓抵部係配置於上述複數個周邊部之徑向外側之端部。 A substrate processing apparatus according to the present invention includes: a substrate supporting portion that supports the substrate from a lower side in a horizontal state; a shielding plate that is disposed to face the upper surface of the substrate and that is coupled to the substrate supporting portion; and a substrate rotating mechanism The substrate is rotated together with the substrate supporting portion and the shielding plate around a central axis of the vertical direction. The shielding plate includes a plate-shaped shielding plate main portion having a surface covering the upper surface of the substrate. a lower surface; a shielding plate reinforcing portion provided inside or above the shielding plate main portion; and a connecting portion connected to the substrate supporting portion on a radially outer side of the outer periphery of the substrate; and a substrate pressing The abutting portion presses the substrate from the upper side in the vicinity of the outer peripheral edge of the substrate; the shielding plate reinforcing portion includes a central portion; and a plurality of peripheral portions respectively from the central portion to the outer periphery of the substrate The vicinity is expanded radially outward, and is apart from each other in the circumferential direction; the connecting portion and the substrate pressing portion are disposed on A radially outer end portion of the plurality of peripheral.

根據該基板處理裝置,可抑制遮蔽板之熱變形而抑制 連結部與基板壓抵部之相對位置變化之情況。 According to the substrate processing apparatus, it is possible to suppress thermal deformation of the shield plate and suppress The relative position of the connecting portion and the substrate pressing portion changes.

在本發明之一較佳實施形態中,上述連結部係具備複數個連結要素,上述基板壓抵部係具備複數個基板壓抵要素,在上述複數個周邊部中,配置有各連結要素之周邊部及配置有各基板壓抵要素之周邊部係分別不同。 In a preferred embodiment of the present invention, the connecting portion includes a plurality of connecting elements, and the substrate pressing portion includes a plurality of substrate pressing elements, and the peripheral portions of the connecting elements are disposed in the plurality of peripheral portions The peripheral portion and the peripheral portion in which the substrate pressing elements are disposed are different from each other.

在本發明之其他較佳實施形態中,上述遮蔽板補強部之全體係由上述遮蔽板本體部所被覆。 In still another preferred embodiment of the present invention, the entire system of the shielding plate reinforcing portion is covered by the shielding plate main portion.

在本發明之其他較佳實施形態中,上述遮蔽板補強部係由碳材料所形成,上述遮蔽板補強部係被固定於上述遮蔽板本體部之上表面。 In another preferred embodiment of the present invention, the shielding plate reinforcing portion is formed of a carbon material, and the shielding plate reinforcing portion is fixed to an upper surface of the shielding plate main portion.

在本發明之其他較佳實施形態中,進而具備加熱上述基板之加熱部。 According to still another preferred embodiment of the present invention, the heating unit for heating the substrate is further provided.

上述之目的及其他目的、特徵、態樣及優點係參照添附之圖式並藉由以下所進行之本發明之詳細說明而可明確瞭解。 The above and other objects, features, aspects and advantages of the present invention will become apparent from the accompanying drawings.

1‧‧‧基板處理裝置 1‧‧‧Substrate processing unit

9‧‧‧基板 9‧‧‧Substrate

10‧‧‧控制部 10‧‧‧Control Department

12‧‧‧腔室 12‧‧‧ chamber

15‧‧‧基板旋轉機構 15‧‧‧Substrate rotation mechanism

16‧‧‧承液部 16‧‧‧ Liquid Department

17‧‧‧外罩 17‧‧‧ Cover

18‧‧‧氣液供給部 18‧‧‧Gas and Liquid Supply Department

19‧‧‧氣液排出部 19‧‧‧ gas and liquid discharge

81‧‧‧環狀開口 81‧‧‧ annular opening

91‧‧‧(基板之)上表面 91‧‧‧ (substrate) upper surface

92‧‧‧(基板之)下表面 92‧‧‧ (substrate) lower surface

100‧‧‧擴大密閉空間 100‧‧‧Expanding confined spaces

120‧‧‧腔室空間 120‧‧‧chamber space

121‧‧‧腔室本體 121‧‧‧ chamber body

122‧‧‧腔室蓋部 122‧‧‧Cell cover

123、123a‧‧‧頂板 123, 123a‧‧‧ top board

131‧‧‧腔室開閉機構 131‧‧‧Case opening and closing mechanism

141‧‧‧基板支撐部 141‧‧‧Substrate support

142‧‧‧基板壓抵部 142‧‧‧Substrate pressure part

151‧‧‧定子部 151‧‧‧ stator

152‧‧‧轉子部 152‧‧‧Rotor Department

160‧‧‧側方空間 160‧‧‧Side space

161‧‧‧杯部 161‧‧‧ Cup

162‧‧‧杯部移動機構 162‧‧‧ Cup mobile agency

163‧‧‧杯對向部 163‧‧‧ Cup Opposite Department

164‧‧‧外側壁部(伸縮管) 164‧‧‧Outer side wall (expansion tube)

165‧‧‧承液凹部 165‧‧‧Containment recess

166‧‧‧回收口 166‧‧‧Recovery

180‧‧‧加熱噴嘴 180‧‧‧heating nozzle

181‧‧‧上部噴嘴 181‧‧‧ upper nozzle

182‧‧‧下部噴嘴 182‧‧‧ lower nozzle

183、813‧‧‧藥液供給源 183, 813‧‧ ‧ drug supply source

184、814‧‧‧純水供給源 184, 814‧‧‧ pure water supply source

185、815‧‧‧IPA供給源 185, 815‧‧‧IPA supply source

187‧‧‧第2氣體供給源 187‧‧‧2nd gas supply source

188‧‧‧第1氣體供給源 188‧‧‧1st gas supply source

191‧‧‧第1排出路 191‧‧‧1st discharge road

192‧‧‧第2排出路 192‧‧‧2nd discharge road

193、197‧‧‧氣液分離部 193, 197‧‧ ‧ gas-liquid separation department

194‧‧‧外側排氣部 194‧‧‧Outside exhaust

195‧‧‧藥液回收部 195‧‧‧Drug Recycling Department

196、199‧‧‧排液部 196, 199‧‧ ‧ drainage department

198‧‧‧內側排氣部 198‧‧‧Inside exhaust

210‧‧‧腔室底部 210‧‧‧Bottom of the chamber

211‧‧‧底中央部(下面對向部) 211‧‧‧ bottom central (below opposite)

212‧‧‧底內側壁部 212‧‧‧Bottom side wall

213‧‧‧環狀底部 213‧‧‧ring bottom

214‧‧‧腔室側壁部 214‧‧‧The side wall of the chamber

215‧‧‧底外側壁部 215‧‧‧Bottom outer wall

216‧‧‧基底部 216‧‧‧ base

217‧‧‧下部環狀空間 217‧‧‧Lower annular space

218‧‧‧排出口 218‧‧‧Export

222‧‧‧板保持部 222‧‧‧ Board Maintenance Department

223‧‧‧筒部 223‧‧‧ Tube

224、239‧‧‧凸緣部 224, 239‧‧‧Flange

227‧‧‧頂蓋部 227‧‧‧Top cover

228‧‧‧蓋下筒部 228‧‧‧ Covering the tube

231‧‧‧遮蔽板本體部 231‧‧‧Shaping plate body

232、232a‧‧‧遮蔽板補強部 232, 232a‧‧ ‧ shield plate reinforcement

233‧‧‧連結部 233‧‧‧Connecting Department

237‧‧‧被保持部 237‧‧‧ Keeped Department

237a‧‧‧被保持要素 237a‧‧‧Retained elements

238‧‧‧支柱部 238‧‧‧ Pillars

241‧‧‧第1卡合部 241‧‧‧1st engagement

242‧‧‧第2卡合部 242‧‧‧2nd merging department

251、252‧‧‧端頭密封 251, 252‧‧‧ end seal

311‧‧‧(遮蔽板本體部之)上表面 311‧‧‧ (the upper part of the shield body)

312‧‧‧(遮蔽板本體部之)下表面 312‧‧‧ (the upper part of the shield body)

321‧‧‧中央部 321‧‧‧ Central Department

322、322a‧‧‧周邊部 322, 322a‧‧‧ peripherals

323‧‧‧狹縫 323‧‧‧slit

411‧‧‧第1接觸部 411‧‧‧1st contact

413‧‧‧支撐部基底 413‧‧‧Support base

421‧‧‧第2接觸部 421‧‧‧2nd contact

611‧‧‧杯側壁部 611‧‧‧ cup side wall

612‧‧‧杯上面部 612‧‧‧ cup upper face

J1‧‧‧中心軸 J1‧‧‧ central axis

S11~S16‧‧‧步驟 S11~S16‧‧‧Steps

圖1係一實施形態之基板處理裝置之剖面圖。 Fig. 1 is a cross-sectional view showing a substrate processing apparatus according to an embodiment.

圖2係基板處理裝置之剖面圖。 2 is a cross-sectional view of a substrate processing apparatus.

圖3係基板支撐部之俯視圖。 Fig. 3 is a plan view of the substrate supporting portion.

圖4係頂板之仰視圖。 Figure 4 is a bottom view of the top panel.

圖5係頂板之剖面圖。 Figure 5 is a cross-sectional view of the top plate.

圖6係遮蔽板補強部之仰視圖。 Fig. 6 is a bottom view of the shield plate reinforcing portion.

圖7係顯示氣液供給部及氣液排出部之方塊圖。 Fig. 7 is a block diagram showing a gas-liquid supply unit and a gas-liquid discharge unit.

圖8係顯示基板處理裝置中之處理流程之圖。 Fig. 8 is a view showing a processing flow in the substrate processing apparatus.

圖9係基板處理裝置之剖面圖。 Figure 9 is a cross-sectional view of a substrate processing apparatus.

圖10係其他之頂板之剖面圖。 Figure 10 is a cross-sectional view of another top plate.

圖11係其他之遮蔽板補強部之仰視圖。 Figure 11 is a bottom plan view of another shield plate reinforcing portion.

圖12係其他之遮蔽板補強部之仰視圖。 Fig. 12 is a bottom view of another shield plate reinforcing portion.

圖1係顯示本發明之一實施形態之基板處理裝置1之剖面圖。基板處理裝置1係將處理液供給於大致圓板狀之半導體基板9(以下,簡稱為「基板9」)而對基板9一片片地進行處理之單片式裝置。在圖1中,於基板處理裝置1之一部分之構成之剖面裡,省略賦予平行斜線(在其他剖面圖中亦相同)。 Fig. 1 is a cross-sectional view showing a substrate processing apparatus 1 according to an embodiment of the present invention. The substrate processing apparatus 1 is a one-chip device in which a processing liquid is supplied to a substantially disk-shaped semiconductor substrate 9 (hereinafter simply referred to as "substrate 9"), and the substrate 9 is processed one by one. In FIG. 1, in the cross section of the configuration of one portion of the substrate processing apparatus 1, the parallel oblique lines are omitted (the same applies to the other cross-sectional views).

基板處理裝置1係具備:腔室12、頂板123、腔室開閉機構131、基板支撐部141、基板旋轉機構15、承液部16、及外罩17。外罩17係覆蓋腔室12之上方及側方。 The substrate processing apparatus 1 includes a chamber 12, a top plate 123, a chamber opening and closing mechanism 131, a substrate supporting portion 141, a substrate rotating mechanism 15, a liquid receiving portion 16, and a cover 17. The outer cover 17 covers the upper side and the side of the chamber 12.

腔室12係具備腔室本體121與腔室蓋部122。腔室12係呈以朝向上下方向之中心軸J1為中心之大致圓筒狀。腔室本體121係具備腔室底部210與腔室側壁部214。腔室底部210係具備:大致圓板狀之底中央部211;大致圓筒狀之底內側壁部212,其自底中央部211之外緣部朝下方擴展;大致圓環板狀之環狀底部213,其自底內側壁部212之下端朝徑向外側擴展;大致圓筒狀之底外側壁部215,其自環狀底部213之外緣部朝上方擴展;及大致圓環板狀之基底部216,其自底外側壁部215之上端部朝徑向外側擴展。 The chamber 12 is provided with a chamber body 121 and a chamber cover portion 122. The chamber 12 has a substantially cylindrical shape centering on the central axis J1 in the vertical direction. The chamber body 121 is provided with a chamber bottom portion 210 and a chamber side wall portion 214. The bottom portion 210 of the chamber includes a bottom portion 211 having a substantially disk shape, and a bottom inner wall portion 212 having a substantially cylindrical shape extending downward from an outer edge portion of the bottom center portion 211; a substantially annular plate-shaped ring shape a bottom portion 213 extending radially outward from a lower end of the bottom inner side wall portion 212; a substantially cylindrical bottom outer side wall portion 215 extending upward from an outer edge portion of the annular bottom portion 213; and a substantially annular plate shape The base portion 216 extends radially outward from the upper end portion of the bottom outer side wall portion 215.

腔室側壁部214係呈以中心軸J1為中心之大致圓筒狀。腔室側壁部214係自基底部216之內緣部朝上方突出。形成腔 室側壁部214之構件係如後述般,兼作為承液部16之一部分。在以下之說明中,將被腔室側壁部214、底外側壁部215、環狀底部213、底內側壁部212、及底中央部211之外緣部所包圍之空間稱為下部環狀空間217。於下部環狀空間217之底部,係設置有排出腔室12內之氣體及液體之排出口218。 The chamber side wall portion 214 has a substantially cylindrical shape centering on the central axis J1. The chamber side wall portion 214 protrudes upward from the inner edge portion of the base portion 216. Cavity The member of the chamber side wall portion 214 also serves as a part of the liquid receiving portion 16 as will be described later. In the following description, the space surrounded by the chamber side wall portion 214, the bottom outer side wall portion 215, the annular bottom portion 213, the bottom inner side wall portion 212, and the outer edge portion of the bottom central portion 211 is referred to as a lower annular space. 217. At the bottom of the lower annular space 217, a discharge port 218 for the gas and liquid in the discharge chamber 12 is provided.

在基板9被支撐於基板支撐部141之情況下,基板9之下表面92係與腔室底部210之底中央部211之上表面對向。在以下之說明中,將腔室底部210之底中央部211稱為「下面對向部211」。 In the case where the substrate 9 is supported by the substrate supporting portion 141, the lower surface 92 of the substrate 9 is opposed to the upper surface of the bottom central portion 211 of the chamber bottom portion 210. In the following description, the bottom center portion 211 of the chamber bottom portion 210 is referred to as "lower facing portion 211".

腔室蓋部122係呈垂直於中心軸J1之大致有蓋圓筒狀,其包含腔室12之上部。腔室蓋部122係具備:大致圓板狀之頂蓋部227;及大致圓筒狀之蓋下筒部228,其自頂蓋部227之外周緣朝下方擴展。腔室蓋部122係封閉腔室本體121之上部開口。在圖1中,係顯示腔室蓋部122自腔室本體121朝上方離開之狀態。如圖2所示般,於腔室蓋部122封閉腔室本體121之上部開口時,蓋下筒部228之下端部係與腔室側壁部214之上部相接。 The chamber cover portion 122 has a substantially covered cylindrical shape perpendicular to the central axis J1 and includes an upper portion of the chamber 12. The chamber cover portion 122 includes a substantially disk-shaped top cover portion 227 and a substantially cylindrical cover lower tubular portion 228 that extends downward from the outer peripheral edge of the top cover portion 227. The chamber cover 122 closes the upper opening of the chamber body 121. In Fig. 1, the state in which the chamber cover portion 122 is separated upward from the chamber body 121 is shown. As shown in FIG. 2, when the chamber cover portion 122 closes the upper portion of the chamber body 121, the lower end portion of the lower lid portion 228 is in contact with the upper portion of the chamber side wall portion 214.

圖1所示之腔室開閉機構131係使腔室12之可動部即腔室蓋部122相對於腔室12之其他部位即腔室本體121於上下方向上相對地移動。腔室開閉機構131係使腔室蓋部122進行升降之蓋部升降機構。於藉由腔室開閉機構131使腔室蓋部122於上下方向移動時,頂板123亦與腔室蓋部122一起於上下方向移動。在基板處理裝置1中,如圖2所示般,腔室蓋部122係與腔室本體121相接而封閉上部開口,進而,藉由腔室蓋部122朝向腔室本體121按壓,而使腔室12之內部空間即腔室空間120密閉。 The chamber opening and closing mechanism 131 shown in Fig. 1 moves the chamber cover portion 122, which is a movable portion of the chamber 12, in the vertical direction with respect to the other portion of the chamber 12, that is, the chamber body 121. The chamber opening and closing mechanism 131 is a lid elevating mechanism that elevates and lowers the chamber lid portion 122. When the chamber lid portion 122 is moved in the vertical direction by the chamber opening and closing mechanism 131, the top plate 123 also moves in the vertical direction together with the chamber lid portion 122. In the substrate processing apparatus 1, as shown in FIG. 2, the chamber cover portion 122 is in contact with the chamber body 121 to close the upper opening, and is further pressed by the chamber cover portion 122 toward the chamber body 121. The internal space of the chamber 12, that is, the chamber space 120 is hermetically sealed.

圖3係顯示基板支撐部141之俯視圖。在圖3中,以兩點鏈線一併顯示基板9。如圖1及圖3所示般,基板支撐部141係以中心軸J1為中心之大致圓環狀之構件。基板支撐部141係配置於腔室蓋部122與腔室本體121之間之空間即腔室空間120,而以水平狀態自下方側支撐基板9之外周緣部(即,包含外周緣之外周緣附近之部位)。亦即,基板9係以上表面91垂直於中心軸J1地朝向上方側之狀態而藉由基板支撐部141所支撐。於基板支撐部141之徑向內側,係配置有上述之下面對向部211。 FIG. 3 is a plan view showing the substrate supporting portion 141. In Fig. 3, the substrate 9 is displayed together with a two-dot chain line. As shown in FIGS. 1 and 3, the substrate supporting portion 141 is a substantially annular member centered on the central axis J1. The substrate supporting portion 141 is disposed in a space between the chamber cover portion 122 and the chamber body 121, that is, the chamber space 120, and supports the outer peripheral portion of the substrate 9 from the lower side in a horizontal state (ie, including the outer periphery of the periphery) Nearby parts). In other words, the substrate 9 is supported by the substrate supporting portion 141 in a state in which the upper surface 91 is oriented upward on the central axis J1. The lower facing portion 211 is disposed on the radially inner side of the substrate supporting portion 141.

基板支撐部141係具備:支撐部基底413、複數個第1接觸部411、及複數個第1卡合部241。支撐部基底413係以中心軸J1為中心之大致圓環板狀之構件。複數個第1接觸部411係以中心軸J1為中心而配置於圓周方向上。各第1接觸部411係自支撐部基底413之上表面向上突出之大致圓柱狀之構件(即,支撐銷)。複數個第1接觸部411係自下方側支撐基板9之外緣部的複數個基板支撐要素。 The substrate supporting portion 141 includes a supporting portion base 413 , a plurality of first contact portions 411 , and a plurality of first engaging portions 241 . The support base 413 is a substantially annular plate-shaped member centered on the central axis J1. The plurality of first contact portions 411 are arranged in the circumferential direction around the central axis J1. Each of the first contact portions 411 is a substantially columnar member (ie, a support pin) that protrudes upward from the upper surface of the support portion base 413. The plurality of first contact portions 411 are a plurality of substrate supporting elements that support the outer edge portion of the substrate 9 from the lower side.

複數個第1卡合部241係於較基板9之外周緣更靠徑向外側,以中心軸J1為中心而配置於圓周方向上。各第1卡合部241係自支撐部基底413之上表面向上突出之大致圓柱狀之構件(即,卡合銷)。複數個第1卡合部241係如後所述般,被使用於頂板123與基板支撐部141之連結。複數個第1卡合部241係連結頂板123與基板支撐部141之複數個連結要素。在圖1中,為了方便圖示,將第1卡合部241與第1接觸部411描繪於同一剖面上,但在圖3所示之例子中,第1卡合部241與第1接觸部411並未位於同一剖面上。複數個第1卡合部241係與複數個第1接觸部411在 圓周方向上被配置在不同之位置。在圖3所示之例子中,於支撐部基底413上設置有6個第1接觸部411與3個第1卡合部241。 The plurality of first engaging portions 241 are disposed radially outward of the outer periphery of the substrate 9 and are disposed in the circumferential direction about the central axis J1. Each of the first engaging portions 241 is a substantially cylindrical member (that is, an engaging pin) that protrudes upward from the upper surface of the support portion base 413. The plurality of first engaging portions 241 are used to connect the top plate 123 and the substrate supporting portion 141 as will be described later. The plurality of first engaging portions 241 connect a plurality of connecting elements of the top plate 123 and the substrate supporting portion 141. In FIG. 1, the first engagement portion 241 and the first contact portion 411 are drawn in the same cross section for convenience of illustration. However, in the example shown in FIG. 3, the first engagement portion 241 and the first contact portion are provided. 411 is not on the same section. The plurality of first engaging portions 241 are in contact with the plurality of first contact portions 411 They are arranged at different positions in the circumferential direction. In the example shown in FIG. 3, six first contact portions 411 and three first engagement portions 241 are provided on the support base 413.

圖4係顯示頂板123之仰視圖。在圖4中,以兩點鏈線一併顯示基板9。如圖1、圖2及圖4所示般,頂板123係呈垂直於中心軸J1之大致圓環板狀。頂板123係被收容於密閉空間即腔室空間120,而配置於腔室蓋部122之下方,且於基板支撐部141之上方。頂板123係於中央具有開口。如圖2所示般,被支撐於基板支撐部141之基板9之上表面91係在腔室空間120中,與垂直於中心軸J1之頂板123之下表面312對向。頂板123係遮蔽基板9之上方之遮蔽板。頂板123之直徑係較基板9之直徑更大,頂板123之外周緣係遍及全周而位於較基板9之外周緣更靠徑向外側。 4 is a bottom view showing the top plate 123. In Fig. 4, the substrate 9 is displayed together with a two-dot chain line. As shown in FIGS. 1, 2, and 4, the top plate 123 has a substantially annular plate shape perpendicular to the central axis J1. The top plate 123 is housed in the chamber space 120 which is a sealed space, and is disposed below the chamber cover portion 122 and above the substrate supporting portion 141. The top plate 123 has an opening in the center. As shown in FIG. 2, the upper surface 91 of the substrate 9 supported by the substrate supporting portion 141 is in the chamber space 120, opposed to the lower surface 312 of the top plate 123 perpendicular to the central axis J1. The top plate 123 shields the shielding plate above the substrate 9. The diameter of the top plate 123 is larger than the diameter of the substrate 9, and the outer periphery of the top plate 123 is located radially outward of the outer periphery of the substrate 9 over the entire circumference.

圖5係將頂板123之一部分放大顯示之剖面圖。如圖1、圖4及圖5所示般,頂板123係具備:遮蔽板本體部231、遮蔽板補強部232、基板壓抵部142、連結部233及被保持部237。遮蔽板本體部231係大致圓環板狀之構件,且具有覆蓋基板9之上表面91之上方之上述之下表面312。遮蔽板本體部231係例如由具有較高之耐藥性之樹脂而形成。遮蔽板本體部231係例如由鐵氟龍(Teflon)(註冊商標)等之氟樹脂而形成。遮蔽板補強部232係大致板狀之構件,且被固定於遮蔽板本體部231之內部而支撐遮蔽板本體部231。在圖5所示之例子中,遮蔽板補強部232之全體係由遮蔽板本體部231所被覆。遮蔽板補強部232係例如由具有較高之強度及耐熱性之金屬而形成。具體而言,遮蔽板補強部232係由鈦(Ti)或不銹鋼而形成。遮蔽板補強部232係具有較遮蔽板本體部231更高之強度,而抑制遮蔽板本體部231因本身重量產生變形之情況。 Fig. 5 is a cross-sectional view showing a part of the top plate 123 in an enlarged manner. As shown in FIGS. 1 , 4 , and 5 , the top plate 123 includes a shielding plate main body portion 231 , a shielding plate reinforcing portion 232 , a substrate pressing portion 142 , a connecting portion 233 , and a held portion 237 . The shield main body portion 231 is a substantially annular plate-shaped member and has the lower surface 312 covering the upper surface 91 of the substrate 9. The shield main body portion 231 is formed, for example, of a resin having high resistance. The shield main body portion 231 is formed of, for example, a fluororesin such as Teflon (registered trademark). The shielding plate reinforcing portion 232 is a substantially plate-shaped member and is fixed to the inside of the shielding plate main body portion 231 to support the shielding plate main body portion 231. In the example shown in FIG. 5, the entire system of the shield plate reinforcing portion 232 is covered by the shield main body portion 231. The shielding plate reinforcing portion 232 is formed of, for example, a metal having high strength and heat resistance. Specifically, the shield plate reinforcing portion 232 is formed of titanium (Ti) or stainless steel. The shielding plate reinforcing portion 232 has a higher strength than the shielding plate main portion 231, and suppresses deformation of the shielding plate main body portion 231 due to its own weight.

如圖2所示般,基板壓抵部142係於基板9之外周緣部(即,包含外周緣之外周緣附近之部位)自上方側壓抵基板9。如圖1、圖2及圖4所示般,基板壓抵部142係具備複數個第2接觸部421。複數個第2接觸部421係以中心軸J1為中心而配置於圓周方向上。各第2接觸部421係自遮蔽板本體部231之下表面312向下突出之大致圓柱狀之構件(即,壓抵銷)。複數個第2接觸部421係自上方側壓抵基板9之外周緣部的複數個基板壓抵要素。在圖1及圖2中,為了方便圖示,將第2接觸部421與第1接觸部411描繪於同一剖面上,但實際上,複數個第2接觸部421係與複數個第1接觸部411在圓周方向上被配置在不同之位置。在以下之說明中,將基板支撐部141與基板壓抵部142合稱為「基板保持部」。 As shown in FIG. 2, the substrate pressing portion 142 is pressed against the substrate 9 from the upper side at the outer peripheral edge portion of the substrate 9 (that is, a portion including the outer periphery of the outer peripheral edge). As shown in FIGS. 1 , 2 , and 4 , the substrate pressing portion 142 includes a plurality of second contact portions 421 . The plurality of second contact portions 421 are arranged in the circumferential direction around the central axis J1. Each of the second contact portions 421 is a substantially cylindrical member (ie, a pressing pin) that protrudes downward from the lower surface 312 of the shield main body portion 231. The plurality of second contact portions 421 are pressed against the plurality of substrate pressing elements on the outer peripheral edge portion of the substrate 9 from the upper side. In FIGS. 1 and 2, the second contact portion 421 and the first contact portion 411 are drawn on the same cross section for convenience of illustration. Actually, the plurality of second contact portions 421 and a plurality of first contact portions are actually provided. 411 is arranged at different positions in the circumferential direction. In the following description, the substrate supporting portion 141 and the substrate pressing portion 142 are collectively referred to as a "substrate holding portion".

如圖2所示般,連結部233係於較基板9之外周緣更靠徑向外側而與基板支撐部141連結。藉此,頂板123係連結於基板支撐部141。如圖1、圖2及圖4所示般,連結部233係具備複數個連結要素即第2卡合部242。複數個第2卡合部242係於較基板9之外周緣更靠徑向外側,以中心軸J1為中心而配置於圓周方向上。各第2卡合部242係自遮蔽板本體部231之下表面312向下突出之大致圓柱狀之構件(即,卡合銷)。於各第2卡合部242之下端面係設置有朝向上方凹陷之凹部。如圖2所示般,藉由於第2卡合部242之該凹部嵌合有第1卡合部241之上端部,而使頂板123連結於基板支撐部141。複數個第2卡合部242係與複數個第2接觸部421在圓周方向上被配置在不同之位置。在圖4所示之例子中,於頂板123上設置有12個第2接觸部421與較第2接觸部421更少之3個第2卡合部242。 As shown in FIG. 2, the connection portion 233 is connected to the substrate support portion 141 so as to be radially outward of the outer periphery of the substrate 9. Thereby, the top plate 123 is connected to the substrate support portion 141. As shown in FIG. 1 , FIG. 2 and FIG. 4 , the connection portion 233 includes a second engagement portion 242 which is a plurality of connection elements. The plurality of second engaging portions 242 are disposed radially outward of the outer periphery of the substrate 9 and are disposed in the circumferential direction about the central axis J1. Each of the second engaging portions 242 is a substantially cylindrical member (ie, a snap pin) that protrudes downward from the lower surface 312 of the shield main body portion 231. A recessed portion that is recessed upward is provided on the lower end surface of each of the second engaging portions 242. As shown in FIG. 2, the top plate 123 is coupled to the substrate supporting portion 141 by fitting the upper end portion of the first engaging portion 241 to the concave portion of the second engaging portion 242. The plurality of second engaging portions 242 and the plurality of second contact portions 421 are disposed at different positions in the circumferential direction. In the example shown in FIG. 4, the second top contact portion 421 and the three second engagement portions 242 which are smaller than the second contact portion 421 are provided on the top plate 123.

圖6係顯示遮蔽板補強部232之仰視圖。在圖6中,以兩點鏈線顯示遮蔽板補強部232之周圍之遮蔽板本體部231之輪廓(在圖11及圖12中亦相同)。遮蔽板補強部232係具備中央部321及複數個周邊部322。中央部321係呈以中心軸J1為中心之大致圓環板狀。複數個周邊部322係自中央部321至基板9之外周緣部朝徑向外側分別擴展之大致帶狀之構件。各周邊部322係朝徑向外側呈大致直線狀地延伸。複數個周邊部322係相互地於以中心軸J1為中心之圓周方向上離開。各周邊部322之徑向外側之端部係位於遮蔽板本體部231之外周部(即,外周緣附近之部位)。中央部321及複數個周邊部322係連成一體之構件。在圖6所示之例子中,15根之周邊部322係自中央部321至較基板9之外周緣更靠徑向外側呈放射狀地擴展。 FIG. 6 is a bottom view showing the shield plate reinforcing portion 232. In Fig. 6, the outline of the shield main body portion 231 around the shield plate reinforcing portion 232 is shown by a two-dot chain line (the same applies to Figs. 11 and 12). The shielding plate reinforcing portion 232 includes a central portion 321 and a plurality of peripheral portions 322. The central portion 321 has a substantially annular plate shape centering on the central axis J1. The plurality of peripheral portions 322 are substantially strip-shaped members that extend from the central portion 321 to the outer peripheral edge portion of the substrate 9 toward the outside in the radial direction. Each of the peripheral portions 322 extends substantially linearly outward in the radial direction. The plurality of peripheral portions 322 are spaced apart from each other in the circumferential direction centering on the central axis J1. The radially outer end portions of the peripheral portions 322 are located on the outer peripheral portion of the shield main body portion 231 (i.e., the portion near the outer peripheral edge). The central portion 321 and the plurality of peripheral portions 322 are integrally connected. In the example shown in FIG. 6, the peripheral portions 322 of the 15 are radially expanded from the central portion 321 to the outer periphery of the outer periphery of the substrate 9.

連結部233及基板壓抵部142係配置於複數個周邊部322之徑向外側之端部。詳細而言,連結部233之複數個第2卡合部242及基板壓抵部142之複數個第2接觸部421係配置於複數個周邊部322之徑向外側之端部。如圖5所示般,各第2卡合部242及各第2接觸部421係自下方側插入於上下方向貫通遮蔽板本體部231及周邊部322之貫通孔,藉由在周邊部322之上方側以螺帽等固定,而連接(即,締結)於周邊部322。 The connection portion 233 and the substrate pressing portion 142 are disposed at the radially outer end portions of the plurality of peripheral portions 322. Specifically, the plurality of second contact portions 421 of the plurality of second engagement portions 242 and the substrate pressing portions 142 of the connection portion 233 are disposed at the radially outer end portions of the plurality of peripheral portions 322 . As shown in FIG. 5, each of the second engaging portions 242 and the second contact portions 421 are inserted into the through holes penetrating the shielding plate main body portion 231 and the peripheral portion 322 in the vertical direction from the lower side, and are formed in the peripheral portion 322. The upper side is fixed by a nut or the like, and is joined (ie, joined) to the peripheral portion 322.

如圖6所示般,在複數個周邊部322中,較佳為配置有各第2卡合部242之周邊部322及配置有各第2接觸部421之周邊部322係分別不同。換言之,於配置有各第2卡合部242之周邊部322並不配置第2接觸部421及其他之第2卡合部242。再者,於配置有各第2接觸部421之周邊部322係不配置第2卡合部242 及其他之第2接觸部421。在圖6所示之例子中,於15根之周邊部322之各別之端部,僅配置1個第2卡合部242或是第2接觸部421。 As shown in FIG. 6, in the plurality of peripheral portions 322, it is preferable that the peripheral portion 322 in which each of the second engaging portions 242 is disposed and the peripheral portion 322 in which the second contact portions 421 are disposed are different from each other. In other words, the second contact portion 421 and the other second engagement portion 242 are not disposed in the peripheral portion 322 in which the second engagement portions 242 are disposed. Further, the second engaging portion 242 is not disposed in the peripheral portion 322 in which each of the second contact portions 421 is disposed. And other second contact portions 421. In the example shown in FIG. 6, only one second engagement portion 242 or the second contact portion 421 is disposed at each end portion of the peripheral portion 322 of the fifteen.

如圖1所示般,被保持部237係自遮蔽板本體部231之上表面311向上突出。在圖1所示之狀態中,頂板123係藉由被保持部237利用腔室蓋部122懸吊而被支撐。腔室蓋部122係於中央部具有大致環狀之板保持部222。板保持部222係具備:筒部223,其呈以中心軸J1為中心之大致圓筒狀;及凸緣部224,其呈以中心軸J1為中心之大致圓環板狀。凸緣部224係自筒部223之下端朝徑向內側擴展。 As shown in FIG. 1, the held portion 237 protrudes upward from the upper surface 311 of the shield main body portion 231. In the state shown in FIG. 1, the top plate 123 is supported by the holding portion 237 suspended by the chamber cover portion 122. The chamber cover portion 122 has a substantially annular plate holding portion 222 at the center portion. The plate holding portion 222 includes a cylindrical portion 223 having a substantially cylindrical shape centered on the central axis J1, and a flange portion 224 having a substantially annular plate shape centering on the central axis J1. The flange portion 224 is expanded radially inward from the lower end of the tubular portion 223.

被保持部237係具備複數個被保持要素237a。複數個被保持要素237a係於以中心軸J1為中心之圓周方向上隔開大致等角度間隔而配置。各被保持要素237a係具備:支柱部238,其自遮蔽板本體部231向上方側延伸;凸緣部239,其自支柱部238之上端朝徑向外側延伸。支柱部238係位於板保持部222之筒部223之徑向內側。凸緣部239係位於板保持部222之凸緣部224之上方,而與凸緣部224於上下方向對向。被保持部237之凸緣部239之下表面,藉由相接於板保持部222之凸緣部224之上表面,而可使頂板123以自腔室蓋部122懸吊之方式被安裝於腔室蓋部122上。 The held portion 237 includes a plurality of held elements 237a. The plurality of held elements 237a are arranged at substantially equal angular intervals in the circumferential direction around the central axis J1. Each of the retained elements 237a includes a pillar portion 238 that extends upward from the shield main body portion 231, and a flange portion 239 that extends radially outward from the upper end of the pillar portion 238. The pillar portion 238 is located radially inward of the tubular portion 223 of the plate holding portion 222. The flange portion 239 is located above the flange portion 224 of the plate holding portion 222 and faces the flange portion 224 in the vertical direction. The lower surface of the flange portion 239 of the held portion 237 is attached to the upper surface of the flange portion 224 of the plate holding portion 222, so that the top plate 123 can be suspended from the chamber cover portion 122. On the chamber cover portion 122.

基板旋轉機構15係所謂的中空馬達。基板旋轉機構15係具備:定子部151,其呈以中心軸J1為中心之環狀;及呈環狀之轉子部152。轉子部152係包含大致圓環狀之永久磁鐵。永久磁鐵之表面係例如以聚四氟乙烯樹脂(PTFE;polytetrafluoroethylene)成模。轉子部152係在腔室12之腔室空間120中而配置於下部環狀空間217內。於轉子部152之上部係經由 連接構件而安裝有基板支撐部141之支撐部基底413。支撐部基底413係配置於轉子部152之上方。 The substrate rotating mechanism 15 is a so-called hollow motor. The substrate rotating mechanism 15 includes a stator portion 151 having an annular shape centered on the central axis J1 and a rotor portion 152 having an annular shape. The rotor portion 152 includes a substantially annular permanent magnet. The surface of the permanent magnet is molded, for example, with polytetrafluoroethylene (PTFE). The rotor portion 152 is disposed in the chamber space 120 of the chamber 12 and disposed in the lower annular space 217. Above the rotor portion 152 via The support base 413 of the substrate supporting portion 141 is attached to the connecting member. The support base 413 is disposed above the rotor portion 152.

定子部151係在腔室12外而配置於轉子部152之周圍。換言之,定子部151係在腔室空間120之外側而配置於轉子部152之徑向外側。在本實施形態中,定子部151係被固定於腔室底部210之底外側壁部215及基底部216,而位於承液部16之下方。定子部151係包含排列於以中心軸J1為中心之圓周方向上之複數個線圈。 The stator portion 151 is disposed outside the chamber 12 and disposed around the rotor portion 152. In other words, the stator portion 151 is disposed on the outer side of the chamber space 120 and disposed on the radially outer side of the rotor portion 152. In the present embodiment, the stator portion 151 is fixed to the bottom outer wall portion 215 and the base portion 216 of the chamber bottom portion 210 and is located below the liquid receiving portion 16. The stator portion 151 includes a plurality of coils arranged in a circumferential direction around the central axis J1.

藉由供給電流於定子部151,於定子部151與轉子部152之間,產生以中心軸J1為中心之旋轉力。藉此,轉子部152係以中心軸J1為中心而以水平狀態旋轉。藉由運作於定子部151與轉子部152之間之磁力,轉子部152係在腔室12內不直接也不間接接觸於腔室12而進行浮游,以中心軸J1為中心而將基板9與基板支撐部141一起以浮游狀態進行旋轉。如圖2所示,在頂板123連結於基板支撐部141之狀態下,藉由基板旋轉機構15,基板9係與基板支撐部141及頂板123一起以中心軸J1為中心而進行旋轉。 By supplying a current to the stator portion 151, a rotational force centering on the central axis J1 is generated between the stator portion 151 and the rotor portion 152. Thereby, the rotor portion 152 is rotated in a horizontal state centering on the central axis J1. By operating the magnetic force between the stator portion 151 and the rotor portion 152, the rotor portion 152 is floated in the chamber 12 without directly or indirectly contacting the chamber 12, and the substrate 9 is centered on the central axis J1. The substrate supporting portion 141 is rotated together in a floating state. As shown in FIG. 2, in a state in which the top plate 123 is coupled to the substrate supporting portion 141, the substrate 9 is rotated about the central axis J1 together with the substrate supporting portion 141 and the top plate 123 by the substrate rotating mechanism 15.

如圖1所示,承液部16係具備:杯部161、杯部移動機構162、杯對向部163及外側壁部164。杯部161係呈以中心軸J1為中心之環狀,其遍及全周地位於腔室12之徑向外側。杯部移動機構162係使杯部161於上下方向移動。換言之,杯部移動機構162係使杯部161相對於腔室本體121而於上下方向相對地移動。杯部移動機構162係配置於杯部161之徑向外側。杯部移動機構162係配置於與上述之腔室開閉機構131在圓周方向上不同之位 置。杯對向部163係位於杯部161之下方,與杯部161於上下方向上對向。杯對向部163係形成腔室側壁部214之構件之一部分。杯對向部163係具有位於腔室側壁部214之徑向外側之環狀之承液凹部165。於承液凹部165之底部係設置有將於承液部16之杯部161等被承接之處理液回收之回收口166。上述之排出口218係與該回收口166獨立設置。 As shown in FIG. 1, the liquid receiving portion 16 includes a cup portion 161, a cup moving mechanism 162, a cup opposing portion 163, and an outer side wall portion 164. The cup portion 161 has an annular shape centering on the central axis J1 and is located radially outward of the chamber 12 over the entire circumference. The cup moving mechanism 162 moves the cup portion 161 in the vertical direction. In other words, the cup moving mechanism 162 relatively moves the cup portion 161 in the vertical direction with respect to the chamber body 121. The cup moving mechanism 162 is disposed on the radially outer side of the cup portion 161. The cup moving mechanism 162 is disposed in a circumferential direction different from the above-described chamber opening and closing mechanism 131. Set. The cup opposing portion 163 is located below the cup portion 161 and faces the cup portion 161 in the up and down direction. The cup opposing portion 163 forms part of a member of the chamber sidewall portion 214. The cup opposing portion 163 has an annular liquid receiving recess 165 located radially outward of the chamber side wall portion 214. A recovery port 166 for recovering the treatment liquid to be received by the cup portion 161 or the like of the liquid receiving portion 16 is provided at the bottom of the liquid-receiving recess 165. The discharge port 218 described above is provided separately from the recovery port 166.

杯部161係具備:杯側壁部611及杯上面部612。杯側壁部611係呈以中心軸J1為中心之大致圓筒狀。杯上面部612係呈以中心軸J1為中心之大致圓環板狀,自杯側壁部611之上端部朝徑向內側及徑向外側擴展。在圖1所示之狀態中,杯側壁部611之下部係位於杯對向部163之承液凹部165內。 The cup portion 161 includes a cup side wall portion 611 and a cup upper surface portion 612. The cup side wall portion 611 has a substantially cylindrical shape centering on the central axis J1. The cup upper surface portion 612 has a substantially annular plate shape centering on the central axis J1, and extends from the upper end portion of the cup side wall portion 611 toward the radially inner side and the radially outer side. In the state shown in FIG. 1, the lower portion of the cup side wall portion 611 is located in the liquid receiving recess 165 of the cup opposing portion 163.

外側壁部164係呈以中心軸J1為中心之大致圓筒狀,其可於上下方向伸縮。在圖1所示之例子中,外側壁部164係分別為圓周狀之複數個凸折線與各個為圓周狀之複數個凹折線於上下方向上交互地排列之伸縮管。在以下之說明中,將外側壁部164稱為「伸縮管164」。伸縮管164係位於腔室側壁部214及杯側壁部611之徑向外側,其遍及全周地設置於腔室側壁部214及杯側壁部611之周圍。伸縮管164係由不使氣體或液體通過之材料而形成。 The outer wall portion 164 has a substantially cylindrical shape centering on the central axis J1 and is expandable and contractible in the vertical direction. In the example shown in FIG. 1, the outer side wall portion 164 is a telescopic tube in which a plurality of circumferentially-shaped convex fold lines and a plurality of circumferentially-shaped concave fold lines are alternately arranged in the vertical direction. In the following description, the outer side wall portion 164 is referred to as a "expansion tube 164". The bellows 164 is located radially outward of the chamber side wall portion 214 and the cup side wall portion 611, and is disposed around the chamber side wall portion 214 and the cup side wall portion 611 over the entire circumference. The bellows 164 is formed of a material that does not pass a gas or a liquid.

伸縮管164之上端部係遍及全周地連接於杯部161之杯上面部612之外緣部下面。換言之,伸縮管164之上端部係經由杯上面部612間接地連接於杯側壁部611。伸縮管164與杯上面部612之連接部係被密封,而可防止氣體或液體之通過。伸縮管164之下端部係經由杯對向部163而間接地連接於腔室本體121。即便伸縮管164之下端部與杯對向部163之連接部,亦可防止氣體或液 體之通過。伸縮管164係追隨由杯部移動機構162所進行之杯部161之移動(即,杯部161之相對於腔室本體121之相對移動)而變形,而變更上下方向之高度。 The upper end portion of the bellows 164 is connected to the lower surface of the outer edge portion of the cup upper surface portion 612 of the cup portion 161 over the entire circumference. In other words, the upper end portion of the bellows 164 is indirectly connected to the cup side wall portion 611 via the cup upper surface portion 612. The connection between the extension tube 164 and the upper surface portion 612 is sealed to prevent the passage of gas or liquid. The lower end of the bellows 164 is indirectly connected to the chamber body 121 via the cup opposing portion 163. Even if the lower end portion of the bellows 164 is connected to the cup facing portion 163, gas or liquid can be prevented. The passage of the body. The bellows 164 is deformed following the movement of the cup portion 161 by the cup moving mechanism 162 (that is, the relative movement of the cup portion 161 with respect to the chamber body 121), and the height in the vertical direction is changed.

於腔室蓋部122之中央,安裝有以中心軸J1為中心之大致圓柱狀之上部噴嘴181。上部噴嘴181係與基板9之上表面91之中央部對向而被固定於腔室蓋部122。上部噴嘴181係可插入於頂板123中央之開口。於腔室底部210之下面對向部211之中央係安裝有下部噴嘴182。下部噴嘴182係於中央具有液噴吐口而與基板9之下表面92之中央部對向。 A substantially cylindrical upper nozzle 181 centering on the central axis J1 is attached to the center of the chamber cover 122. The upper nozzle 181 is fixed to the chamber cover portion 122 opposite to the central portion of the upper surface 91 of the substrate 9. The upper nozzle 181 is an opening that can be inserted into the center of the top plate 123. A lower nozzle 182 is mounted to the center of the facing portion 211 below the bottom portion 210 of the chamber. The lower nozzle 182 has a liquid ejection port at the center and faces the central portion of the lower surface 92 of the substrate 9.

於下面對向部211係進而安裝有複數個加熱噴嘴180。複數個加熱噴嘴180係例如於以中心軸J1為中心之圓周方向上以大致等角度間隔而被配置。複數個加熱噴嘴180係朝向基板9而供給較基板9更高溫之流體之流體供給部,亦可為加熱基板9之加熱部。在圖1所示之例子中,自加熱噴嘴180朝向基板9供給有加熱過之氣體(以下,稱作「加熱氣體」)。換言之,加熱噴嘴180係供給加熱基板9之加熱氣體之加熱氣體供給部。自加熱噴嘴180亦可供給有例如加熱過之液體、或是加熱過之氣體與液體之混合流體。 Further, a plurality of heating nozzles 180 are further attached to the opposing portion 211. The plurality of heating nozzles 180 are disposed at substantially equiangular intervals, for example, in the circumferential direction around the central axis J1. The plurality of heating nozzles 180 are supplied to the substrate 9 to supply a fluid supply portion of a fluid having a higher temperature than the substrate 9, or may be a heating portion for heating the substrate 9. In the example shown in FIG. 1, a heated gas (hereinafter referred to as "heated gas") is supplied from the heating nozzle 180 toward the substrate 9. In other words, the heating nozzle 180 is supplied to the heating gas supply unit that heats the heating gas of the substrate 9. The self-heating nozzle 180 may also be supplied with, for example, a heated liquid or a mixed fluid of a heated gas and a liquid.

圖7係顯示氣液供給部18及氣液排出部19之方塊圖。氣液供給部18係除了上述之上部噴嘴181、下部噴嘴182及加熱噴嘴180還具備:藥液供給源183、純水供給源184、IPA(異丙醇;isopropyl alcohol)供給源185、第1氣體供給源188及第2氣體供給源187。該等之供給源係既可設置於基板處理裝置1,亦可設置於基板處理裝置1之外部。 FIG. 7 is a block diagram showing the gas-liquid supply unit 18 and the gas-liquid discharge unit 19. The gas-liquid supply unit 18 further includes a chemical liquid supply source 183, a pure water supply source 184, an IPA (isopropyl alcohol) supply source 185, and a first one, in addition to the upper nozzle 181, the lower nozzle 182, and the heating nozzle 180. The gas supply source 188 and the second gas supply source 187. These supply sources may be provided in the substrate processing apparatus 1 or may be provided outside the substrate processing apparatus 1.

藥液供給源183係經由閥而連接於上部噴嘴181。純水供給源184及IPA供給源185亦分別經由閥而連接於上部噴嘴181。第1氣體供給源188亦經由閥而連接於上部噴嘴181。下部噴嘴182係經由閥而連接於純水供給源184。複數個加熱噴嘴180係經由閥而連接於第2氣體供給源187。 The chemical solution supply source 183 is connected to the upper nozzle 181 via a valve. The pure water supply source 184 and the IPA supply source 185 are also connected to the upper nozzle 181 via valves, respectively. The first gas supply source 188 is also connected to the upper nozzle 181 via a valve. The lower nozzle 182 is connected to the pure water supply source 184 via a valve. A plurality of heating nozzles 180 are connected to the second gas supply source 187 via a valve.

於被設置在承液部16之承液凹部165之回收口166連接有第1排出路191,且第1排出路191係連接於氣液分離部193。氣液分離部193係分別經由閥而連接於外側排氣部194、藥液回收部195及排液部196。於設置在腔室本體121之排出口218連接有第2排出路192,且第2排出路192係連接於氣液分離部197。氣液分離部197係分別經由閥而連接於內側排氣部198及排液部199。氣液供給部18及氣液排出部19之各構成係由控制部10所控制。腔室開閉機構131、基板旋轉機構15及杯部移動機構162(參照圖1)亦由控制部10所控制。 The first discharge passage 191 is connected to the recovery port 166 of the liquid receiving recess 165 provided in the liquid receiving portion 16, and the first discharge passage 191 is connected to the gas-liquid separation portion 193. The gas-liquid separation unit 193 is connected to the outer exhaust unit 194, the chemical liquid recovery unit 195, and the liquid discharge unit 196 via valves. The second discharge path 192 is connected to the discharge port 218 provided in the chamber body 121, and the second discharge path 192 is connected to the gas-liquid separation unit 197. The gas-liquid separation unit 197 is connected to the inner exhaust unit 198 and the liquid discharge unit 199 via valves, respectively. The respective configurations of the gas-liquid supply unit 18 and the gas-liquid discharge unit 19 are controlled by the control unit 10. The chamber opening and closing mechanism 131, the substrate rotating mechanism 15, and the cup moving mechanism 162 (see FIG. 1) are also controlled by the control unit 10.

自藥液供給源183供給於上部噴嘴181之藥液係從上部噴嘴181之前端之噴吐口朝向基板9之上表面91之中央部噴吐。自藥液供給源183經由上部噴嘴181而供給於基板9之藥液係例如利用化學反應對基板進行處理之處理液、聚合物除去液、或是氫氟酸或氫氧化四甲銨水溶液等之蝕刻液。 The chemical solution supplied from the chemical solution supply source 183 to the upper nozzle 181 is ejected from the ejection opening at the front end of the upper nozzle 181 toward the central portion of the upper surface 91 of the substrate 9. The chemical liquid supplied from the chemical solution supply source 183 to the substrate 9 via the upper nozzle 181 is, for example, a treatment liquid for treating the substrate by a chemical reaction, a polymer removal liquid, or a hydrofluoric acid or a tetramethylammonium hydroxide aqueous solution. Etching solution.

純水供給源184係經由上部噴嘴181及下部噴嘴182供給純水(DIW:deionized water(去離子水))於基板9。自純水供給源184供給至上部噴嘴181之純水係從上部噴嘴181之前端之噴吐口朝向基板9之上表面91之中央部噴吐。自純水供給源184供給至下部噴嘴182之純水係從下部噴嘴182之前端之噴吐口朝向基板 9之下表面92之中央部噴吐。自IPA供給源185供給至上部噴嘴181之異丙醇(IPA)係從上部噴嘴181之前端之噴吐口朝向基板9之上表面91之中央部噴吐。 The pure water supply source 184 supplies pure water (DIW: deionized water) to the substrate 9 via the upper nozzle 181 and the lower nozzle 182. The pure water supplied from the pure water supply source 184 to the upper nozzle 181 is ejected from the ejection opening at the front end of the upper nozzle 181 toward the central portion of the upper surface 91 of the substrate 9. The pure water supplied from the pure water supply source 184 to the lower nozzle 182 is directed from the discharge port at the front end of the lower nozzle 182 toward the substrate 9 The lower part of the surface 92 is spouted. The isopropyl alcohol (IPA) supplied from the IPA supply source 185 to the upper nozzle 181 is ejected from the ejection opening at the front end of the upper nozzle 181 toward the central portion of the upper surface 91 of the substrate 9.

將上述之藥液、純水及IPA總稱為處理液,藥液供給源813、純水供給源814、IPA供給源815及上部噴嘴181係包含於供給處理液於基板9之上表面91之處理液供給部。在基板處理裝置1中,供給上述藥液、純水及IPA以外之處理液之其他供給源,亦可包含於該處理液供給部。 The above-mentioned chemical solution, pure water, and IPA are collectively referred to as a treatment liquid, and the chemical solution supply source 813, the pure water supply source 814, the IPA supply source 815, and the upper nozzle 181 are included in the treatment of the supply processing liquid on the upper surface 91 of the substrate 9. Liquid supply unit. In the substrate processing apparatus 1, another supply source for supplying the chemical liquid, the pure water, and the processing liquid other than the IPA may be included in the processing liquid supply unit.

第2氣體供給源187係經由複數個加熱噴嘴180供給加熱氣體於基板9之下表面92。在圖7所示之例子中,例如,自加熱噴嘴180供給有如氮(N2)氣體之高溫之惰性氣體。此外,於自加熱噴嘴180供給加熱之惰性氣體之情況下,在基板處理裝置1中之防爆對策係可簡化或是不需要。第1氣體供給源188係經由上部噴嘴181供給氣體於基板9之上表面91。在圖7所示之例子中,例如,自上部噴嘴181之前端之噴吐口供給有如氮(N2)氣體之惰性氣體。該噴吐口係例如配置於上述之上部噴嘴181之噴吐口之周圍。 The second gas supply source 187 supplies the heating gas to the lower surface 92 of the substrate 9 via a plurality of heating nozzles 180. In the example shown in Fig. 7, for example, an inert gas such as a nitrogen (N 2 ) gas is supplied from the heating nozzle 180. Further, in the case where the heated inert gas is supplied from the heating nozzle 180, the explosion-proof countermeasure in the substrate processing apparatus 1 can be simplified or unnecessary. The first gas supply source 188 supplies gas to the upper surface 91 of the substrate 9 via the upper nozzle 181. In the example shown in Fig. 7, for example, an inert gas such as nitrogen (N 2 ) gas is supplied from the discharge port at the front end of the upper nozzle 181. The discharge port is disposed, for example, around the discharge port of the upper nozzle 181.

圖8係顯示基板處理裝置1中之基板9之處理流程之圖。在基板處理裝置1中,如圖1所示,在腔室蓋部122自腔室本體121離開而位於上方,且杯部161自腔室蓋部122離開而位於下方之狀態下,基板9係藉由外部之搬送機構而被搬入至腔室12內,並藉由基板支撐部141自下方側支撐(步驟S11)。以下,將圖1所示之腔室12及杯部161之狀態稱為「開放狀態」。腔室蓋部122與腔室側壁部214之間之開口係呈以中心軸J1為中心之環狀,以下稱作「環狀開口81」。在基板處理裝置1中,藉由腔室蓋部122自 腔室本體121離開,而於基板9之周圍(即,徑向外側)形成有環狀開口81。在步驟S11中,基板9係經由環狀開口81而搬入。 FIG. 8 is a view showing a processing flow of the substrate 9 in the substrate processing apparatus 1. In the substrate processing apparatus 1, as shown in FIG. 1, the substrate cover portion 122 is separated from the chamber body 121 and positioned above, and the cup portion 161 is separated from the chamber cover portion 122 to be positioned below, and the substrate 9 is attached. It is carried into the chamber 12 by the external transfer mechanism, and is supported by the substrate support portion 141 from the lower side (step S11). Hereinafter, the state of the chamber 12 and the cup portion 161 shown in Fig. 1 will be referred to as an "open state". The opening between the chamber cover portion 122 and the chamber side wall portion 214 is an annular shape centering on the central axis J1, and is hereinafter referred to as "annular opening 81". In the substrate processing apparatus 1, by the chamber cover portion 122 The chamber body 121 is separated, and an annular opening 81 is formed around the substrate 9 (i.e., radially outward). In step S11, the substrate 9 is carried in through the annular opening 81.

若搬入基板9,杯部161係自圖1所示之位置上升至圖9所示之位置,而遍及全周地位於環狀開口81之徑向外側。在以下之說明中,將圖9所示之腔室12及杯部161之狀態稱作「第1密閉狀態」。再者,將圖9所示之杯部161之位置稱作「承液位置」,將圖1所示之杯部161之位置稱作「退避位置」。杯部移動機構162係使杯部161於上下方向上在環狀開口81之徑向外側之承液位置與較承液位置更下方之退避位置之間移動。如圖9所示,在承液位置,承液部16之杯部161係遍及全周地配置於基板9及基板支撐部141之周圍。 When the substrate 9 is carried in, the cup portion 161 rises from the position shown in FIG. 1 to the position shown in FIG. 9, and is located radially outward of the annular opening 81 over the entire circumference. In the following description, the state of the chamber 12 and the cup portion 161 shown in FIG. 9 is referred to as a "first sealed state". The position of the cup portion 161 shown in FIG. 9 is referred to as a "liquid holding position", and the position of the cup portion 161 shown in FIG. 1 is referred to as a "retracted position". The cup moving mechanism 162 moves the cup portion 161 between the liquid receiving position on the radially outer side of the annular opening 81 in the vertical direction and the retracted position lower than the liquid receiving position. As shown in FIG. 9, at the liquid receiving position, the cup portion 161 of the liquid receiving portion 16 is disposed around the substrate 9 and the substrate supporting portion 141 over the entire circumference.

在位於承液位置之杯部161,杯側壁部611係與環狀開口81於徑向上對向。再者,杯上面部612之內緣部之上面係遍及全周地與腔室蓋部122之外緣部下端之端頭密封252相接。於腔室蓋部122與杯部161之杯上面部612之間係形成有防止氣體或液體通過之密封部。藉此,形成由腔室本體121、腔室蓋部122、及承液部16(具體而言,係杯部161、伸縮管164及杯對向部163)包圍之密閉空間(以下,稱作「擴大密閉空間100」)。擴大密閉空間100係藉由腔室蓋部122及腔室本體121之間之腔室空間120與杯部161及杯對向部163之間之側方空間160經由環狀開口81連通而形成之一個空間。 At the cup portion 161 at the liquid receiving position, the cup side wall portion 611 is opposed to the annular opening 81 in the radial direction. Further, the upper surface of the inner edge portion of the cup upper surface portion 612 is in contact with the tip seal 252 at the lower end of the outer edge portion of the chamber cover portion 122 over the entire circumference. A seal portion for preventing passage of gas or liquid is formed between the chamber cover portion 122 and the cup upper surface portion 612 of the cup portion 161. Thereby, a sealed space surrounded by the chamber body 121, the chamber cover portion 122, and the liquid receiving portion 16 (specifically, the cup portion 161, the bellows 164, and the cup opposing portion 163) is formed (hereinafter referred to as "Expanding the confined space 100"). The enlarged sealed space 100 is formed by the communication between the chamber space 120 between the chamber cover portion 122 and the chamber body 121 and the side space 160 between the cup portion 161 and the cup opposing portion 163 via the annular opening 81. A space.

在第1密閉狀態中,基板壓抵部142之複數個第2接觸部421接觸於基板9之外緣部。於頂板123之下表面312及基板支撐部141之支撐部基底413上係設置有於上下方向對向之複數對 磁鐵(省略圖示)。以下,將各對之磁鐵也稱作「磁鐵對」。在基板處理裝置1中,複數個磁鐵對在圓周方向上以等角度間隔配置於與第1接觸部411、第2接觸部421、第1卡合部241及第2卡合部242不同之位置。在基板壓抵部142接觸於基板9之狀態下,藉由於磁鐵對之間作用之磁力(引力),而於頂板123運作有向下之力。藉此,基板壓抵部142將基板9朝基板支撐部141按壓。 In the first sealed state, the plurality of second contact portions 421 of the substrate pressing portion 142 are in contact with the outer edge portion of the substrate 9. The lower surface 312 of the top plate 123 and the support base 413 of the substrate supporting portion 141 are provided with a plurality of pairs in the up and down direction Magnet (not shown). Hereinafter, each pair of magnets is also referred to as a "magnet pair." In the substrate processing apparatus 1, a plurality of magnet pairs are disposed at different angular intervals in the circumferential direction from the first contact portion 411, the second contact portion 421, the first engagement portion 241, and the second engagement portion 242. . In a state where the substrate pressing portion 142 is in contact with the substrate 9, the downward force is applied to the top plate 123 by the magnetic force (gravitational force) acting between the pair of magnets. Thereby, the substrate pressing portion 142 presses the substrate 9 toward the substrate supporting portion 141.

在基板處理裝置1中,基板壓抵部142藉由利用頂板123之自身重量,及磁鐵對之磁力將基板9朝基板支撐部141按壓,而可在基板壓抵部142與基板支撐部141之間自上下夾持並穩固地保持基板9。只要能穩固地保持基板9,亦可省略上述磁鐵對。 In the substrate processing apparatus 1, the substrate pressing portion 142 can press the substrate 9 toward the substrate supporting portion 141 by the weight of the top plate 123 and the magnetic force of the magnet, and can be applied to the substrate pressing portion 142 and the substrate supporting portion 141. The substrate 9 is held between the upper and lower sides and firmly held. The magnet pair described above may be omitted as long as the substrate 9 can be held firmly.

在第1密閉狀態中,被保持部237之凸緣部239係於板保持部222之凸緣部224之上方離開,板保持部222與被保持部237係未接觸。換言之,由板保持部222對頂板123之保持係被解除。因此,頂板123係自腔室蓋部122獨立,而與被保持於基板保持部(即,基板支撐部141及基板壓抵部142)之基板9及基板保持部一起由基板旋轉機構15進行旋轉。 In the first sealed state, the flange portion 239 of the held portion 237 is separated from the flange portion 224 of the plate holding portion 222, and the plate holding portion 222 is not in contact with the held portion 237. In other words, the holding of the top plate 123 by the plate holding portion 222 is released. Therefore, the top plate 123 is independent of the chamber cover portion 122, and is rotated by the substrate rotating mechanism 15 together with the substrate 9 and the substrate holding portion held by the substrate holding portion (that is, the substrate supporting portion 141 and the substrate pressing portion 142). .

此外,在第1密閉狀態中,第1卡合部241之上端部係嵌合於第2卡合部242之下端部之凹部。藉此,頂板123係於以中心軸J1為中心之圓周方向上與基板支撐部141之支撐部基底413卡合。換言之,第1卡合部241及第2卡合部242係限制頂板123之相對於基板支撐部141在旋轉方向上之相對位置(即,固定在圓周方向上之相對位置)之位置限制構件。於腔室蓋部122下降時,以第1卡合部241與第2卡合部242嵌合之方式藉由基板旋轉機構15控制支撐部基底413之旋轉位置。 Further, in the first sealed state, the upper end portion of the first engaging portion 241 is fitted into the concave portion at the lower end portion of the second engaging portion 242. Thereby, the top plate 123 is engaged with the support base 413 of the substrate supporting portion 141 in the circumferential direction around the central axis J1. In other words, the first engaging portion 241 and the second engaging portion 242 are position restricting members that restrict the relative position of the top plate 123 with respect to the substrate supporting portion 141 in the rotational direction (that is, the relative position fixed in the circumferential direction). When the chamber cover portion 122 is lowered, the rotation position of the support portion base 413 is controlled by the substrate rotation mechanism 15 so that the first engagement portion 241 and the second engagement portion 242 are fitted.

接著,藉由基板旋轉機構15以既定之旋轉數(比較低之旋轉數,以下,稱作「定常旋轉數」)開始基板9之旋轉。其次,朝向旋轉之基板9之下表面92,自複數個加熱噴嘴180噴吐加熱氣體。藉此,加熱基板9。此外,藉由外側排氣部194及內側排氣部198(參照圖7),經由回收口166及排出口218,開始擴大密閉空間100內之氣體之排出。接著,朝向旋轉之基板9之上表面91之中央部而開始自上部噴嘴181供給藥液(步驟S12)。朝基板9之上表面91之藥液噴吐係僅於基板9之中央部進行,而不於中央部以外之部位進行。來自上部噴嘴181之藥液係例如連續地供給於旋轉之基板9之上表面91。上表面91上之藥液係藉由基板9之旋轉而朝基板9之外周部擴展,上表面91全體係由藥液所被覆。 Next, the rotation of the substrate 9 is started by the substrate rotating mechanism 15 at a predetermined number of rotations (a relatively low number of rotations, hereinafter referred to as "constant rotation number"). Next, the heating gas is ejected from the plurality of heating nozzles 180 toward the lower surface 92 of the rotating substrate 9. Thereby, the substrate 9 is heated. Further, the outer exhaust portion 194 and the inner exhaust portion 198 (see FIG. 7) are used to expand the discharge of the gas in the sealed space 100 through the recovery port 166 and the discharge port 218. Next, the supply of the chemical liquid from the upper nozzle 181 is started toward the central portion of the upper surface 91 of the substrate 9 that is rotated (step S12). The chemical liquid ejection to the upper surface 91 of the substrate 9 is performed only at the central portion of the substrate 9, and is not performed at a portion other than the central portion. The chemical liquid from the upper nozzle 181 is continuously supplied to the upper surface 91 of the substrate 9 which is rotated, for example. The chemical liquid on the upper surface 91 is expanded toward the outer periphery of the substrate 9 by the rotation of the substrate 9, and the entire surface 91 is covered with the chemical liquid.

自上部噴嘴181之藥液供給中,來自加熱噴嘴180之加熱氣體之噴吐係持續著。藉此,一邊將基板9加熱至大致所需之溫度,一邊藉由藥液對於上表面91進行藥液處理(例如,聚合物除去處理或蝕刻處理)。其結果,可提升對於基板9之藥液處理的均勻性。由於頂板123之下表面312係近接於基板9之上表面91,因此相對於基板9之藥液處理係在頂板123之下表面312與基板9之上表面91之間之極為狹小的空間中進行。於該空間係自上部噴嘴181供給有惰性氣體。因此,可於低氧環境下進行對於基板9之藥液處理。 In the supply of the chemical solution from the upper nozzle 181, the ejection of the heated gas from the heating nozzle 180 continues. Thereby, the substrate 9 is heated to a substantially desired temperature, and the upper surface 91 is subjected to a chemical treatment (for example, a polymer removal treatment or an etching treatment) by the chemical liquid. As a result, the uniformity of the chemical treatment for the substrate 9 can be improved. Since the lower surface 312 of the top plate 123 is closely adjacent to the upper surface 91 of the substrate 9, the liquid chemical treatment with respect to the substrate 9 is performed in a very narrow space between the lower surface 312 of the top plate 123 and the upper surface 91 of the substrate 9. . An inert gas is supplied from the upper nozzle 181 in this space. Therefore, the chemical liquid treatment for the substrate 9 can be performed in a low oxygen environment.

在擴大密閉空間100中,自旋轉之基板9之上表面91朝徑向外側飛散之藥液係經由環狀開口81而被承接於杯部161之內周面,朝承液凹部165導引。朝承液凹部165導引之藥液係藉由回收口166回收而流入於氣液分離部193(參照圖7)。流入氣液分 離部193之藥液係由藥液回收部195回收,經由過濾器等將雜質等除去後,再行利用。 In the enlarged sealed space 100, the chemical liquid which is scattered outward in the radial direction from the upper surface 91 of the substrate 9 that is rotated is guided to the inner circumferential surface of the cup portion 161 via the annular opening 81, and is guided toward the liquid receiving recess 165. The chemical liquid guided to the liquid-receiving recess 165 is recovered by the recovery port 166 and flows into the gas-liquid separation unit 193 (see FIG. 7). Inflow of gas and liquid The chemical solution of the separation unit 193 is recovered by the chemical solution recovery unit 195, and impurities and the like are removed through a filter or the like, and then used.

若從來自上部噴嘴181之藥液供給開始經過既定時間(例如,60~120秒),則停止來自上部噴嘴181之藥液供給及來自加熱噴嘴180之加熱氣體供給。接著,藉由基板旋轉機構15,在既定時間(例如,1~3秒)內使基板9之旋轉數較定常旋轉數更高,而自基板9除去藥液。 When a predetermined time (for example, 60 to 120 seconds) elapses from the supply of the chemical liquid from the upper nozzle 181, the supply of the chemical from the upper nozzle 181 and the supply of the heated gas from the heating nozzle 180 are stopped. Next, the substrate rotating mechanism 15 removes the chemical liquid from the substrate 9 by making the number of rotations of the substrate 9 higher than the constant number of rotations for a predetermined period of time (for example, 1 to 3 seconds).

接著,腔室蓋部122及杯部161係同步朝下方移動。然後,如圖2所示,腔室蓋部122之外緣部下端之端頭密封251係藉由與腔室側壁部214之上部相接,而封閉環狀開口81,腔室空間120係以與側方空間160隔絕之狀態被密封。杯部161係與圖1同樣地位於退避位置。以下,將圖2所示之腔室12及杯部161之狀態稱作「第2密閉狀態」。在第2密閉狀態中,基板9係與腔室12之內壁直接對向,而於該等之間不存在其他之承液部。 Next, the chamber cover portion 122 and the cup portion 161 are moved downward in synchronization. Then, as shown in FIG. 2, the tip seal 251 at the lower end of the outer edge portion of the chamber cover portion 122 closes the annular opening 81 by contacting the upper portion of the chamber side wall portion 214, and the chamber space 120 is The state isolated from the side space 160 is sealed. The cup portion 161 is located at the retracted position in the same manner as in Fig. 1 . Hereinafter, the state of the chamber 12 and the cup portion 161 shown in FIG. 2 will be referred to as a "second sealed state". In the second sealed state, the substrate 9 is directly opposed to the inner wall of the chamber 12, and there is no other liquid receiving portion between the substrates.

在第2密閉狀態中,亦與第1密閉狀態同樣地,藉由基板壓抵部142將基板9朝基板支撐部141按壓,而可在基板壓抵部142與基板支撐部141之間自上下夾持且穩固地保持基板9。此外,解除由板保持部222對頂板123之保持,頂板123係自腔室蓋部122獨立而連結於基板支撐部141,並與基板支撐部141及基板9一起旋轉。 In the second sealed state, similarly to the first sealed state, the substrate 9 is pressed against the substrate supporting portion 141 by the substrate pressing portion 142, and the substrate pressing portion 142 and the substrate supporting portion 141 can be moved up and down. The substrate 9 is held and firmly held. Further, the holding of the top plate 123 by the plate holding portion 222 is released, and the top plate 123 is coupled to the substrate supporting portion 141 independently of the chamber cover portion 122, and rotates together with the substrate supporting portion 141 and the substrate 9.

若腔室空間120被密閉,則停止由外側排氣部194(參照圖7)進行氣體之排出,維持經由排出口218之藉由內側排氣部198進行之腔室空間120內之氣體之排出。接著,開始自純水供給源184朝基板9之純水之供給(步驟S13)。 When the chamber space 120 is sealed, the discharge of the gas by the outer exhaust portion 194 (see FIG. 7) is stopped, and the discharge of the gas in the chamber space 120 by the inner exhaust portion 198 via the discharge port 218 is maintained. . Next, supply of pure water from the pure water supply source 184 to the substrate 9 is started (step S13).

來自純水供給源184之純水係自上部噴嘴181連續地供給於基板9之上表面91之中央部。此外,來自純水供給源184之純水係亦自下部噴嘴182連續地供給於基板9之下表面92之中央部。來自上部噴嘴181及下部噴嘴182噴吐之純水係作為洗淨液而供給於基板9。 The pure water from the pure water supply source 184 is continuously supplied from the upper nozzle 181 to the central portion of the upper surface 91 of the substrate 9. Further, the pure water system from the pure water supply source 184 is also continuously supplied from the lower nozzle 182 to the central portion of the lower surface 92 of the substrate 9. The pure water sprayed from the upper nozzle 181 and the lower nozzle 182 is supplied to the substrate 9 as a cleaning liquid.

純水係藉由基板9之旋轉而朝上表面91及下表面92之外周部擴展,自基板9之外周緣朝徑向外側飛散。自基板9飛散之純水係由腔室12之內壁(即,腔室蓋部122及腔室側壁部214之內壁)承接,經由排出口218、第2排出路192、氣液分離部197及排液部199(參照圖7)而廢棄(在後述之基板9之乾燥處理中亦相同)。藉此,在腔室空間120中,與藉由純水對基板9之洗淨處理一起,亦實質地進行腔室12內之洗淨。 The pure water is expanded toward the outer peripheral portion of the upper surface 91 and the lower surface 92 by the rotation of the substrate 9, and is scattered outward in the radial direction from the outer periphery of the substrate 9. The pure water scattered from the substrate 9 is received by the inner wall of the chamber 12 (i.e., the inner wall of the chamber cover portion 122 and the chamber side wall portion 214), through the discharge port 218, the second discharge path 192, and the gas-liquid separation portion. 197 and the liquid discharge unit 199 (see FIG. 7) are discarded (the same applies to the drying process of the substrate 9 to be described later). Thereby, in the chamber space 120, the cleaning in the chamber 12 is substantially performed together with the cleaning treatment of the substrate 9 by pure water.

若自純水之供給開始經過既定時間,則停止來自純水供給源184之純水供給。接著,自複數個加熱噴嘴180,朝向基板9之下表面92噴吐加熱氣體。藉此,加熱基板9。 When the supply of the pure water starts to pass the predetermined time, the supply of pure water from the pure water supply source 184 is stopped. Next, the heating gas is ejected toward the lower surface 92 of the substrate 9 from the plurality of heating nozzles 180. Thereby, the substrate 9 is heated.

接著,自上部噴嘴181將IPA供給於基板9之上表面91,在上表面91上將純水置換為IPA(步驟S14)。若自IPA之供給開始經過既定時間,則停止來自IPA供給源185之IPA供給。其後,在來自加熱噴嘴180之加熱氣體之噴吐持續之狀態下,使基板9之旋轉數充分地較定常旋轉數更高。藉此,自基板9上除去IPA,而進行基板9之乾燥處理(步驟S15)。若自基板9之乾燥開始經過既定時間,則停止基板9之旋轉。基板9之乾燥處理係亦可為由內側排氣部198對腔室空間120減壓,而在較大氣壓低的減壓環境下進行。 Next, IPA is supplied from the upper nozzle 181 to the upper surface 91 of the substrate 9, and pure water is replaced with IPA on the upper surface 91 (step S14). If the predetermined time elapses from the supply of the IPA, the IPA supply from the IPA supply source 185 is stopped. Thereafter, in a state where the ejection of the heated gas from the heating nozzle 180 continues, the number of rotations of the substrate 9 is sufficiently higher than the constant number of rotations. Thereby, the IPA is removed from the substrate 9, and the drying process of the substrate 9 is performed (step S15). When the predetermined time elapses from the drying of the substrate 9, the rotation of the substrate 9 is stopped. The drying process of the substrate 9 may be performed by depressurizing the chamber space 120 by the inner exhaust portion 198, and under a reduced pressure environment having a low atmospheric pressure.

其後,如圖1所示,腔室蓋部122與頂板123上升,腔室12成為開放狀態。在步驟S15中,由於頂板123與基板支撐部141一起旋轉,因此液體幾乎不殘留於頂板123之下表面312。所以,於腔室蓋部122上升時不會有液體自頂板123落下於基板9上之情況。基板9係藉由外部之搬送機構自腔室12搬出(步驟S16)。 Thereafter, as shown in FIG. 1, the chamber cover portion 122 and the top plate 123 are raised, and the chamber 12 is opened. In step S15, since the top plate 123 rotates together with the substrate supporting portion 141, the liquid hardly remains on the lower surface 312 of the top plate 123. Therefore, when the chamber cover portion 122 is raised, there is no possibility that liquid falls from the top plate 123 onto the substrate 9. The substrate 9 is carried out from the chamber 12 by an external transfer mechanism (step S16).

如以上之說明般,在基板處理裝置1中,頂板123係具備:遮蔽板本體部231、遮蔽板補強部232、連結部233、及基板壓抵部142。遮蔽板本體部231係具有覆蓋基板9之上表面91之上方之下表面312之板狀之構件,遮蔽板補強部232係設置於遮蔽板本體部231之內部。連結部233係於較基板9之外周緣更靠徑向外側而與基板支撐部141連結,基板壓抵部142係於基板9之外周緣附近自上方側壓抵基板9。遮蔽板補強部232係具備:中央部321、及複數個周邊部322。複數個周邊部322係分別從中央部321至基板9之外周緣附近朝徑向外側擴展,並且相互地於圓周方向上離開。連結部233及基板壓抵部142係配置於複數個周邊部322之徑向外側之端部。 As described above, in the substrate processing apparatus 1, the top plate 123 includes the shielding plate main portion 231, the shielding plate reinforcing portion 232, the connecting portion 233, and the substrate pressing portion 142. The shield main body portion 231 has a plate-like member that covers the upper lower surface 312 of the upper surface 91 of the substrate 9, and the shield plate reinforcing portion 232 is provided inside the shield main body portion 231. The connection portion 233 is connected to the substrate support portion 141 on the outer side in the radial direction of the outer periphery of the substrate 9 , and the substrate pressure-receiving portion 142 is pressed against the substrate 9 from the upper side in the vicinity of the outer periphery of the substrate 9 . The shielding plate reinforcing portion 232 includes a central portion 321 and a plurality of peripheral portions 322. The plurality of peripheral portions 322 extend outward in the radial direction from the central portion 321 to the outer periphery of the outer periphery of the substrate 9, respectively, and are apart from each other in the circumferential direction. The connection portion 233 and the substrate pressing portion 142 are disposed at the radially outer end portions of the plurality of peripheral portions 322.

如此,藉由利用遮蔽板補強部232支撐遮蔽板本體部231,而可抑制遮蔽板本體部231因本身重量而導致變形之情況。此外,藉由複數個周邊部322在圓周方向上相互離開,即便在因頂板123之溫度變化而使遮蔽板補強部232熱變形之情況下,仍可抑制各周邊部322之熱變形(特別是,朝圓周方向之熱變形),而可抑制遮蔽板補強部232及遮蔽板本體部231於上下方向翹曲之情況。換言之,可抑制遮蔽板補強部232及遮蔽板本體部231熱變形而使外周部朝上下方向移動之情況。藉此,可抑制配置於複數個周邊部 322之徑向外側之端部之連結部233與基板壓抵部142之相對位置產生變化之情況。其結果,即便在頂板123之溫度變化之情況下,仍可一邊維持頂板123與基板支撐部141之連結,一邊藉由基板壓抵部142穩固地壓著基板9,而可在與基板支撐部141之間穩固地保持基板9。 By supporting the shield main body portion 231 by the shield plate reinforcing portion 232 as described above, it is possible to suppress deformation of the shield plate main body portion 231 due to its own weight. Further, since the plurality of peripheral portions 322 are apart from each other in the circumferential direction, even when the shield plate reinforcing portion 232 is thermally deformed due to the temperature change of the top plate 123, the thermal deformation of each of the peripheral portions 322 can be suppressed (especially In the case of thermal deformation in the circumferential direction, the shielding plate reinforcing portion 232 and the shielding plate main portion 231 can be prevented from being warped in the vertical direction. In other words, it is possible to prevent the shield plate reinforcing portion 232 and the shield plate main portion 231 from being thermally deformed and moving the outer peripheral portion in the vertical direction. Thereby, it can be suppressed from being disposed in a plurality of peripheral portions The relative position of the connecting portion 233 at the radially outer end portion of the 322 and the substrate pressing portion 142 may vary. As a result, even when the temperature of the top plate 123 is changed, the substrate 9 can be firmly pressed by the substrate pressing portion 142 while maintaining the connection between the top plate 123 and the substrate supporting portion 141, and the substrate supporting portion can be firmly supported. The substrate 9 is firmly held between 141.

如上述般,在複數個周邊部322中,配置有連結部233之各第2卡合部242之周邊部322、及配置有基板壓抵部142之各第2接觸部421之周邊部322係分別不同。藉此,可使各周邊部322之圓周方向上之寬度變小。換言之,可使各周邊部322變細。因此,可進而抑制周邊部322之熱變形(特別是,朝圓周方向之熱變形)。其結果,可更加抑制遮蔽板本體部231及遮蔽板補強部232熱變形而於上下方向翹曲之情況。此外,藉由使複數個周邊部332變細,可實現遮蔽板補強部232及頂板123之輕量化。其結果,可抑制基板旋轉機構15之輸出增大。 As described above, in the plurality of peripheral portions 322, the peripheral portion 322 of each of the second engaging portions 242 of the connecting portion 233 and the peripheral portion 322 of each of the second contact portions 421 in which the substrate pressing portion 142 is disposed are arranged. Different. Thereby, the width of each peripheral portion 322 in the circumferential direction can be made small. In other words, the peripheral portions 322 can be made thinner. Therefore, thermal deformation (particularly, thermal deformation in the circumferential direction) of the peripheral portion 322 can be further suppressed. As a result, it is possible to further suppress the warpage of the shield main body portion 231 and the shield plate reinforcing portion 232 from being warped in the vertical direction. Further, by making the plurality of peripheral portions 332 thinner, the weight of the shield plate reinforcing portion 232 and the top plate 123 can be reduced. As a result, an increase in the output of the substrate rotating mechanism 15 can be suppressed.

在基板處理裝置1中,遮蔽板補強部232之全體係由遮蔽板本體部231所被覆。藉此,可防止遮蔽板補強部232與藥液等接觸而使遮蔽板補強部232之材料溶出之情況。因此,可提升遮蔽板補強部232之材料選擇的自由度。該頂板123之構造係特別適合於遮蔽板補強部232包含因與藥液之接觸而較易溶出之金屬或半金屬之情況。可藉由遮蔽板補強部232包含金屬或半金屬,而以較低成本製造具有較高強度之遮蔽板補強部232。作為遮蔽板補強部232包含半金屬之情況,例如可考慮由碳化矽(SiC)形成遮蔽板補強部232之情況。 In the substrate processing apparatus 1, the entire system of the shielding plate reinforcing portion 232 is covered by the shielding plate main portion 231. Thereby, it is possible to prevent the shielding plate reinforcing portion 232 from coming into contact with the chemical liquid or the like and eluting the material of the shielding plate reinforcing portion 232. Therefore, the degree of freedom in material selection of the shield plate reinforcing portion 232 can be improved. The structure of the top plate 123 is particularly suitable for the case where the shielding plate reinforcing portion 232 contains a metal or a semi-metal which is more easily dissolved by contact with the chemical liquid. The shielding plate reinforcing portion 232 having a higher strength can be manufactured at a lower cost by the shielding plate reinforcing portion 232 containing metal or semi-metal. In the case where the shielding plate reinforcing portion 232 includes a semi-metal, for example, a case where the shielding plate reinforcing portion 232 is formed of tantalum carbide (SiC) can be considered.

如上述般,在基板處理裝置1中,即便在頂板123之 溫度變化之情況下,亦可一邊維持頂板123與基板支撐部141之連結,一邊穩固地保持基板9。因此,基板處理裝置1之構造係特別適合於設置有將較基板9更高溫之流體供給至基板9之流體供給部之基板處理裝置。在圖1所示之例子中,設置有與基板9之下表面92對向之複數個加熱噴嘴180以作為流體供給部,但亦可設置有其他之各種流體供給部。例如,在基板處理裝置1中,亦可設置有將經加熱之氣體供給至基板9之上表面91之流體供給部。 As described above, in the substrate processing apparatus 1, even in the top plate 123 When the temperature is changed, the substrate 9 can be stably held while maintaining the connection between the top plate 123 and the substrate supporting portion 141. Therefore, the structure of the substrate processing apparatus 1 is particularly suitable for a substrate processing apparatus provided with a fluid supply unit that supplies a fluid having a higher temperature than the substrate 9 to the substrate 9. In the example shown in Fig. 1, a plurality of heating nozzles 180 opposed to the lower surface 92 of the substrate 9 are provided as a fluid supply portion, but other various fluid supply portions may be provided. For example, in the substrate processing apparatus 1, a fluid supply unit that supplies the heated gas to the upper surface 91 of the substrate 9 may be provided.

在基板處理裝置1之頂板123中,遮蔽板補強部232未必一定要被固定於遮蔽板本體部231之內部。圖10係將其他較佳之頂板123a之一部分放大顯示之剖面圖。在頂板123a中,與圖6所示者大致相同形狀之遮蔽板補強部232係設置於大致圓環板狀之遮蔽板本體部231之上部。遮蔽板補強部232係例如由像是玻璃碳或C/C複合材料般之碳材料所形成。 In the top plate 123 of the substrate processing apparatus 1, the shielding plate reinforcing portion 232 does not necessarily have to be fixed inside the shielding plate main portion 231. Figure 10 is a cross-sectional view showing a portion of another preferred top plate 123a in an enlarged manner. In the top plate 123a, the shielding plate reinforcing portion 232 having substantially the same shape as that shown in Fig. 6 is provided on the upper portion of the substantially annular plate-shaped shielding plate main body portion 231. The shield plate reinforcing portion 232 is formed of, for example, a carbon material such as a glassy carbon or a C/C composite material.

在頂板123a中,與上述相同地,藉由利用遮蔽板補強部232支撐遮蔽板本體部231,而可抑制遮蔽板本體部231因本身重量產生變形之情況。此外,即便於因頂板123a之溫度變化而使遮蔽板補強部232熱變形之情況下,亦可抑制各周邊部322之熱變形(特別是朝圓周方向之熱變形),而可抑制遮蔽板補強部232及遮蔽板本體部231於上下方向翹曲之情況。藉此,可抑制配置於複數個周邊部322之徑向外側之端部之連結部233(參照圖6)與基板壓抵部142之相對位置變化之情況。其結果,即便於頂板123a之溫度變化之情況下,亦可一邊維持頂板123a與基板支撐部141(參照圖2)之連結,一邊藉由基板壓抵部142穩固地壓抵基板9,而在與基板支撐部141之間穩固地保持基板9。 In the top plate 123a, the shielding plate main body portion 231 is supported by the shielding plate reinforcing portion 232 in the same manner as described above, whereby deformation of the shielding plate main body portion 231 due to its own weight can be suppressed. Further, even when the shield plate reinforcing portion 232 is thermally deformed due to the temperature change of the top plate 123a, thermal deformation (especially thermal deformation in the circumferential direction) of each peripheral portion 322 can be suppressed, and the shielding plate can be suppressed from reinforcing. The portion 232 and the shield main body portion 231 are warped in the vertical direction. Thereby, it is possible to suppress a change in the relative position of the connecting portion 233 (see FIG. 6) disposed at the radially outer end portion of the plurality of peripheral portions 322 and the substrate pressing portion 142. As a result, even when the temperature of the top plate 123a changes, the substrate pressing portion 142 can be firmly pressed against the substrate 9 while maintaining the connection between the top plate 123a and the substrate supporting portion 141 (see FIG. 2). The substrate 9 is firmly held between the substrate supporting portion 141.

在頂板123a中,由於遮蔽板補強部232係由具有如玻璃碳等般之較高之耐藥性之材料所形成,即便在被固定於遮蔽板本體部231之上表面311之遮蔽板補強部232上,假使附著有藥液之情況下,亦不會產生遮蔽板補強部232之材料之溶出。此外,由於不需要藉由遮蔽板本體部231被覆遮蔽板補強部232之全體,因此可使遮蔽板本體部231薄型化。其結果,可使頂板123a輕量化,而可抑制基板旋轉機構15(參照圖2)之輸出增大。 In the top plate 123a, since the shielding plate reinforcing portion 232 is formed of a material having high resistance such as glassy carbon or the like, even if it is fixed to the shielding plate reinforcing portion of the upper surface 311 of the shielding plate main body portion 231 At 232, if the chemical solution is attached, the elution of the material of the shield plate reinforcing portion 232 does not occur. Further, since it is not necessary to cover the entire shield plate reinforcing portion 232 by the shield main body portion 231, the shield main body portion 231 can be made thinner. As a result, the top plate 123a can be made lighter, and the output of the substrate rotating mechanism 15 (see FIG. 2) can be suppressed from increasing.

在上述之基板處理裝置1中,可進行各種變更。 Various changes can be made in the substrate processing apparatus 1 described above.

例如,基板支撐部141之第1接觸部411及基板壓抵部142之第2接觸部421之數量可適當變更。第1接觸部411之數量與第2接觸部421之數量既可相異,亦可相同。第1卡合部241及第2卡合部242之數量亦可適當變更。第1卡合部241及第2卡合部242分別設置複數個為較佳。 For example, the number of the first contact portions 411 of the substrate supporting portion 141 and the second contact portion 421 of the substrate pressing portion 142 can be appropriately changed. The number of the first contact portions 411 and the number of the second contact portions 421 may be different or the same. The number of the first engagement portion 241 and the second engagement portion 242 can also be changed as appropriate. It is preferable that a plurality of the first engaging portion 241 and the second engaging portion 242 are provided separately.

在遮蔽板補強部232中,例如如圖11所示般,亦可於1個大致帶狀之周邊部322之徑向外側之端部配置有1個第2卡合部242與1個第2接觸部421。或是既可於1個周邊部322設置有複數個第2卡合部242,亦可設置有複數個第2接觸部421。在遮蔽板補強部232中,亦可設置有未配置有第2卡合部242及第2接觸部421之周邊部322。 In the shielding plate reinforcing portion 232, for example, as shown in FIG. 11, one second engaging portion 242 and one second portion may be disposed at the radially outer end portion of one substantially band-shaped peripheral portion 322. Contact portion 421. Alternatively, a plurality of second engaging portions 242 may be provided in one peripheral portion 322, or a plurality of second contact portions 421 may be provided. The shielding plate reinforcing portion 232 may be provided with a peripheral portion 322 in which the second engaging portion 242 and the second contact portion 421 are not disposed.

遮蔽板補強部232只要可支撐遮蔽板本體部231,由各種材料形成皆可。各周邊部322係自中央部321朝向徑向外側,但並不一定需要呈大致直線狀地延伸,亦可一邊彎曲一邊延伸。各周邊部322之形狀並不限定於大致帶狀。例如,亦可如圖12所示之遮蔽板補強部232a般,大致扇狀之複數個周邊部322a係分別自 中央部321朝徑向外側擴展。在遮蔽板補強部232a中,亦可設置有自中央部321朝遮蔽板補強部232a之外緣延伸之複數個帶狀之狹縫323,而將複數個狹縫323之間之部位認定為上述之周邊部322a。 The shielding plate reinforcing portion 232 may be formed of various materials as long as it can support the shielding plate main body portion 231. Each of the peripheral portions 322 is radially outward from the central portion 321 , but does not necessarily need to extend substantially linearly, and may extend while being curved. The shape of each peripheral portion 322 is not limited to a substantially belt shape. For example, as in the shield plate reinforcing portion 232a shown in FIG. 12, a plurality of substantially peripheral portions 322a of the fan shape may be respectively The central portion 321 expands outward in the radial direction. In the shielding plate reinforcing portion 232a, a plurality of strip-shaped slits 323 extending from the central portion 321 toward the outer edge of the shielding plate reinforcing portion 232a may be provided, and the portion between the plurality of slits 323 may be identified as the above. The peripheral portion 322a.

頂板123亦可為大致圓板狀,於此情況下,遮蔽板補強部232之中央部321也是亦可為大致圓板狀。 The top plate 123 may have a substantially disk shape. In this case, the central portion 321 of the shield plate reinforcing portion 232 may have a substantially disk shape.

在基板處理裝置1中,亦可設置有複數個加熱噴嘴180以外之各種構成以作為加熱基板9之加熱部。例如,亦可設置照射光至基板9而加熱基板9之機構以作為該加熱部。 In the substrate processing apparatus 1, various configurations other than the plurality of heating nozzles 180 may be provided as the heating portion for heating the substrate 9. For example, a mechanism for irradiating light to the substrate 9 to heat the substrate 9 may be provided as the heating portion.

腔室開閉機構131並不一定需要使腔室蓋部122於上下方向上移動,亦可以腔室蓋部122被固定之狀態,使腔室本體121於上下方向上移動。腔室12並不一定限定於大致圓筒狀,亦可為各種形狀。此外,在基板處理裝置1中,不一定需要設置腔室12。 The chamber opening and closing mechanism 131 does not necessarily need to move the chamber lid portion 122 in the vertical direction, and the chamber body portion 121 may be moved in the vertical direction while the chamber lid portion 122 is fixed. The chamber 12 is not necessarily limited to a substantially cylindrical shape, and may have various shapes. Further, in the substrate processing apparatus 1, it is not always necessary to provide the chamber 12.

基板旋轉機構15之定子部151及轉子部152之形狀及構造亦可進行各種變更。轉子部152並不一定需要在浮游狀態下旋轉,亦可設置有將轉子部152機械性地支撐於腔室12內之導件等之構造,而沿著該導件使轉子部152旋轉。基板旋轉機構15並不一定需要為中空馬達,亦可使用軸旋轉型之馬達作為基板旋轉機構。 The shape and structure of the stator portion 151 and the rotor portion 152 of the substrate rotating mechanism 15 can be variously changed. The rotor portion 152 does not necessarily have to be rotated in a floating state, and a structure in which a rotor portion 152 is mechanically supported by a guide or the like in the chamber 12 may be provided, and the rotor portion 152 may be rotated along the guide. The substrate rotating mechanism 15 does not necessarily need to be a hollow motor, and a shaft rotating type motor may be used as the substrate rotating mechanism.

在基板處理裝置1中,亦可藉由自藥液供給源183供給之藥液,進行上述之聚合物除去處理或蝕刻處理以外之各種處理,例如,基板上之氧化膜之除去或藉由顯影液所進行之顯影等。此外,對於基板9之藥液處理係亦可在第2密閉狀態中進行。 In the substrate processing apparatus 1, the chemical solution supplied from the chemical solution supply source 183 may be used to perform various processes other than the above-described polymer removal treatment or etching treatment, for example, removal of an oxide film on a substrate or development by an oxide film. Development by liquid or the like. Further, the chemical treatment system for the substrate 9 can also be carried out in the second sealed state.

在基板處理裝置1中,除了半導體基板以外,亦可使 用於液晶顯示裝置、電漿顯示器、場發射顯示器(FED;field emission display)等之顯示裝置所使用之玻璃基板之處理。或是,基板處理裝置1亦可使用於光碟用基板、磁碟用基板、光磁碟用基板、光罩用基板、陶瓷基板及太陽電池用基板等之處理。 In the substrate processing apparatus 1, in addition to the semiconductor substrate, It is used for the processing of a glass substrate used in a display device such as a liquid crystal display device, a plasma display, or a field emission display (FED). Alternatively, the substrate processing apparatus 1 can be used for processing such as a substrate for a disk, a substrate for a disk, a substrate for a magneto-optical disk, a substrate for a photomask, a substrate for a ceramic substrate, and a substrate for a solar cell.

上述實施形態及各變形例中之構成係只要不相互矛盾即可適當組合。 The configurations in the above-described embodiments and modifications are appropriately combined as long as they do not contradict each other.

雖已詳細地描述並說明本發明,但已述之說明僅為例示者而非用以限定本發明者。因此,只要不脫離本發明之範圍,則可具有多數之變形或態樣。 The present invention has been described and illustrated in detail, but the description of the invention is intended to be illustrative only and not limiting. Therefore, many variations or aspects may be made without departing from the scope of the invention.

142‧‧‧基板壓抵部 142‧‧‧Substrate pressure part

231‧‧‧遮蔽板本體部 231‧‧‧Shaping plate body

232‧‧‧遮蔽板補強部 232‧‧‧Shading plate reinforcement

233‧‧‧連結部 233‧‧‧Connecting Department

242‧‧‧第2卡合部 242‧‧‧2nd merging department

321‧‧‧中央部 321‧‧‧ Central Department

322‧‧‧周邊部 322‧‧‧The surrounding department

421‧‧‧第2接觸部 421‧‧‧2nd contact

J1‧‧‧中心軸 J1‧‧‧ central axis

Claims (7)

一種基板處理裝置,其係處理基板者,具備有:基板支撐部,其以水平狀態自下方側支撐基板;遮蔽板,其與上述基板之上表面對向配置,而連結於上述基板支撐部;及基板旋轉機構,其以朝向上下方向之中心軸為中心而將上述基板與上述基板支撐部及上述遮蔽板一起旋轉;上述遮蔽板係具備:板狀之遮蔽板本體部,其具有覆蓋上述基板之上述上表面之上方之下表面;遮蔽板補強部,其設置於上述遮蔽板本體部之內部或上部;連結部,其於較上述基板之外周緣更靠徑向外側而與上述基板支撐部連結;及基板壓抵部,其於上述基板之上述外周緣附近自上方側壓抵上述基板;上述遮蔽板補強部係具備:中央部;及複數個周邊部,其分別從上述中央部至上述基板之上述外周緣附近朝徑向外側擴展,並且相互地於圓周方向上離開;上述連結部及上述基板壓抵部係配置於上述複數個周邊部之徑向外側之端部。 A substrate processing apparatus comprising: a substrate supporting portion that supports a substrate from a lower side in a horizontal state; and a shielding plate that is disposed opposite to an upper surface of the substrate and coupled to the substrate supporting portion; And a substrate rotating mechanism that rotates the substrate together with the substrate supporting portion and the shielding plate around a central axis that faces in the vertical direction; the shielding plate includes a plate-shaped shielding plate main portion that covers the substrate a lower surface of the upper surface; a shielding plate reinforcing portion disposed inside or above the shielding plate body portion; and a connecting portion radially outward of the outer periphery of the substrate and the substrate supporting portion And a substrate pressing portion that presses the substrate from the upper side in the vicinity of the outer peripheral edge of the substrate; the shielding plate reinforcing portion includes a central portion; and a plurality of peripheral portions respectively from the central portion to the The vicinity of the outer peripheral edge of the substrate is expanded radially outward, and is apart from each other in the circumferential direction; the connecting portion and the substrate pressing Based portion disposed in the radial direction of the end portion of the outer peripheral portion of the plurality of. 如請求項1之基板處理裝置,其中,上述連結部係具備複數個連結要素,上述基板壓抵部係具備複數個基板壓抵要素, 在上述複數個周邊部中,配置有各連結要素之周邊部及配置有各基板壓抵要素之周邊部係分別不同。 The substrate processing apparatus according to claim 1, wherein the connecting portion includes a plurality of connecting elements, and the substrate pressing portion includes a plurality of substrate pressing elements. In the plurality of peripheral portions, the peripheral portion in which each of the connecting elements is disposed and the peripheral portion in which the respective substrate pressing elements are disposed are different from each other. 如請求項2之基板處理裝置,其中,上述遮蔽板補強部之全體係由上述遮蔽板本體部所被覆。 The substrate processing apparatus according to claim 2, wherein the entire system of the shielding plate reinforcing portion is covered by the shielding plate main portion. 如請求項2之基板處理裝置,其中,上述遮蔽板補強部係由碳材料所形成,上述遮蔽板補強部係被固定於上述遮蔽板本體部之上表面。 The substrate processing apparatus according to claim 2, wherein the shielding plate reinforcing portion is formed of a carbon material, and the shielding plate reinforcing portion is fixed to an upper surface of the shielding plate main portion. 如請求項1之基板處理裝置,其中,上述遮蔽板補強部之全體係由上述遮蔽板本體部所被覆。 The substrate processing apparatus according to claim 1, wherein the entire system of the shielding plate reinforcing portion is covered by the shielding plate main portion. 如請求項1之基板處理裝置,其中,上述遮蔽板補強部係由碳材料所形成,上述遮蔽板補強部係被固定於上述遮蔽板本體部之上表面。 The substrate processing apparatus according to claim 1, wherein the shielding plate reinforcing portion is formed of a carbon material, and the shielding plate reinforcing portion is fixed to an upper surface of the shielding plate main portion. 如請求項1至6中任一項之基板處理裝置,其中,進而具備加熱上述基板之加熱部。 The substrate processing apparatus according to any one of claims 1 to 6, further comprising a heating unit that heats the substrate.
TW104125397A 2014-08-08 2015-08-05 Substrate processing apparatus TWI543236B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2014162194A JP6359377B2 (en) 2014-08-08 2014-08-08 Substrate processing equipment

Publications (2)

Publication Number Publication Date
TW201618159A TW201618159A (en) 2016-05-16
TWI543236B true TWI543236B (en) 2016-07-21

Family

ID=55263802

Family Applications (1)

Application Number Title Priority Date Filing Date
TW104125397A TWI543236B (en) 2014-08-08 2015-08-05 Substrate processing apparatus

Country Status (3)

Country Link
JP (1) JP6359377B2 (en)
TW (1) TWI543236B (en)
WO (1) WO2016021536A1 (en)

Family Cites Families (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4236535B2 (en) * 2003-07-31 2009-03-11 旭化成エレクトロニクス株式会社 Large pellicle storage container
JP5967948B2 (en) * 2012-01-26 2016-08-10 株式会社Screenホールディングス Substrate processing apparatus and substrate processing method
JP5973300B2 (en) * 2012-09-25 2016-08-23 株式会社Screenホールディングス Substrate processing equipment

Also Published As

Publication number Publication date
WO2016021536A1 (en) 2016-02-11
JP2016039282A (en) 2016-03-22
JP6359377B2 (en) 2018-07-18
TW201618159A (en) 2016-05-16

Similar Documents

Publication Publication Date Title
TWI552806B (en) Substrate processing apparatus and substrate processing method
KR102389767B1 (en) Substrate processing apparatus
TWI501310B (en) Substrate processing apparatus
TW201445628A (en) Substrate processing apparatus and substrate processing method
JP6118595B2 (en) Substrate processing apparatus and substrate processing method
JP5962921B2 (en) Plasma processing apparatus and plasma processing method
JP6392046B2 (en) Substrate processing equipment
WO2015098655A1 (en) Substrate processing device
JP5973299B2 (en) Substrate processing equipment
JP2014179489A (en) Substrate processing apparatus
JP6292934B2 (en) Substrate processing equipment
JP2015135843A (en) substrate processing apparatus
JP6294121B2 (en) Substrate processing equipment
TWI543236B (en) Substrate processing apparatus
JP6057886B2 (en) Substrate processing equipment
JP6230941B2 (en) Substrate processing equipment
TWI635529B (en) Substrate processing apparatus and substrate processing method
JP5934939B2 (en) Plasma processing apparatus and plasma processing method
JP6258741B2 (en) Substrate processing equipment
JP2016066685A (en) Substrate processing apparatus
JP6216279B2 (en) Substrate processing equipment
JP2016192518A (en) Substrate processing apparatus

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees