TWI541893B - Process apparatus and method for plasma etching process - Google Patents

Process apparatus and method for plasma etching process Download PDF

Info

Publication number
TWI541893B
TWI541893B TW103107752A TW103107752A TWI541893B TW I541893 B TWI541893 B TW I541893B TW 103107752 A TW103107752 A TW 103107752A TW 103107752 A TW103107752 A TW 103107752A TW I541893 B TWI541893 B TW I541893B
Authority
TW
Taiwan
Prior art keywords
gas
plasma
etching
deposition
sub
Prior art date
Application number
TW103107752A
Other languages
Chinese (zh)
Other versions
TW201445629A (en
Inventor
Ping Yang
zhi-lin Huang
xu-sheng Zhou
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed filed Critical
Publication of TW201445629A publication Critical patent/TW201445629A/en
Application granted granted Critical
Publication of TWI541893B publication Critical patent/TWI541893B/en

Links

Description

一種等離子體刻蝕工藝的處理裝置及方法 Processing device and method for plasma etching process

本發明係關於一種半導體技術領域,特別是關於一種用於補償氣體輸送和偏壓功率快速切換時不同步的等離子體刻蝕工藝的處理裝置及方法,其中,該等離子體刻蝕工藝包括兩個相互交替迴圈執行的沉積和刻蝕工藝子過程。 The present invention relates to the field of semiconductor technology, and more particularly to a processing apparatus and method for compensating for a plasma etching process that is asynchronous when gas delivery and bias power switching are fast, wherein the plasma etching process includes two The deposition and etching process sub-processes performed alternately with the loop.

近年來,汽車電子、航空航太、通訊、電腦等領域對微電子技術要求提高,要求其更趨向於小型化、超輕超薄、性能可靠、功耗低、多功能和低成本方向發展,從而對刻蝕工藝的要求越來越高。 In recent years, the requirements for microelectronics in the fields of automotive electronics, aerospace, communications, and computers have increased, requiring them to become more compact, ultra-light, ultra-thin, reliable, low-power, multi-functional, and low-cost. Therefore, the requirements for the etching process are getting higher and higher.

刻蝕工藝包括乾法刻蝕和濕法刻蝕。乾法刻蝕常採用的為等離子體刻蝕工藝。等離子體是指被電離的氣體,主要由電子、離子、原子、分子或自由基等粒子組成的集合體。等離子體刻蝕是採用高頻輝光放電反應,使反應氣體啟動成活性離子,如原子或游離基,這些活性離子擴散到許可時的部位,在哪裡與被刻蝕材料反應,形成揮發性反應物而被去除。 The etching process includes dry etching and wet etching. The dry etching is often performed by a plasma etching process. Plasma refers to a gas that is ionized, mainly composed of particles such as electrons, ions, atoms, molecules, or radicals. Plasma etching uses a high-frequency glow discharge reaction to activate a reactive gas, such as an atom or a radical, which diffuses to a permitting site where it reacts with the material being etched to form a volatile reactant. And was removed.

等離子體刻蝕工藝已成為半導體未加工領域的一個重要技術,並且隨著對刻蝕工藝的要求越來越高,迴圈刻蝕成為一大趨勢,比如用於矽通孔刻蝕工藝。矽通孔刻蝕工藝是一種採用等離子體乾法刻蝕的深矽刻蝕工藝,深矽刻蝕工藝一般採用Bosch工藝。Bosch工藝是一種改進的等離子體刻蝕工藝。Bosch工藝是在反應離子刻蝕過程中,反復在側壁上沉積抗蝕層和鈍化側壁,在保護側壁的條件下,形成高深寬比的溝槽。在沉積過程 中,含碳氟等離子體氣體通入反應腔中,能夠形成氟化碳類高分子聚合物,沉積在側壁上和底部,起到側壁鈍化的作用。在刻蝕過程中,含氟的氣體進入反應腔中,形成等離子體,由於各向異性刻蝕,將底部的保護膜去除,並進一步刻蝕槽底部,而側壁上的聚合物保護膜在消失殆盡之前又堆積一層保護膜,反復交替進行這一過程,從而實現高深寬比的刻蝕。 The plasma etching process has become an important technology in the field of semiconductor unprocessed, and as the requirements for the etching process become higher and higher, the loop etching has become a major trend, such as for the via hole etching process. The 矽-via etch process is a deep etch process using plasma dry etching, and the deep etch process is generally performed by the Bosch process. The Bosch process is an improved plasma etch process. The Bosch process is to repeatedly deposit a resist layer and a passivation sidewall on the sidewall during the reactive ion etching process to form a trench having a high aspect ratio under the condition of protecting the sidewall. During the deposition process The fluorocarbon-containing plasma gas is introduced into the reaction chamber to form a fluorinated carbon-based polymer, which is deposited on the sidewall and the bottom to function as a sidewall passivation. During the etching process, the fluorine-containing gas enters the reaction chamber to form a plasma. Due to the anisotropic etching, the protective film at the bottom is removed, and the bottom of the groove is further etched, and the polymer protective film on the sidewall disappears. A protective film is deposited before the end of the process, and the process is repeated alternately to achieve high aspect ratio etching.

等離子體光譜檢測技術(OES)是目前使用最廣泛的終點檢測手段。其原理是利用檢測等離子體中某種反應性化學基團或揮發性基團所發射波長的光強的變化,來實現終點檢測。等離子體中的原子或分子被電子激發到激發態後,在返回到另一個能態時,伴隨著這一過程所發射出來的光線。光線強度變化可以從反應腔室側壁上的觀測孔進行觀測。不同原子或分子所激發的光波波長各不相同,光線強度的變化反應出等離子體中原子或分子濃度的變化。在預期的刻蝕終點處可檢測到發射光譜的改變,就是檢測到的刻蝕終點。根據被檢測得到的光線強度變強或變弱的改變,從而對工藝步驟的終點即時監控。 Plasma Spectroscopy (OES) is the most widely used endpoint detection method. The principle is to achieve end point detection by detecting changes in the intensity of light emitted by a reactive chemical group or a volatile group in the plasma. When an atom or molecule in a plasma is excited by an electron to an excited state, it returns to another energy state, accompanied by the light emitted by the process. Light intensity changes can be observed from the observation holes on the side walls of the reaction chamber. The wavelengths of light waves excited by different atoms or molecules are different, and the change of light intensity reflects the change of atomic or molecular concentration in the plasma. A change in the emission spectrum is detected at the desired end of the etch, which is the detected etch end point. The end of the process step is monitored on the fly according to the change in the intensity of the detected light that becomes stronger or weaker.

通常的等離子體刻蝕工藝的處理裝置中,利用等離子體光譜檢測技術形成的等離子體光譜檢測儀來進行終點檢測,等離子體光譜檢測儀通常包含上千個CCD單元的線性陣列,所監控的波長範圍從200nm到1100nm,在等離子體光譜檢測儀內,經光電轉換、電信號的A/D轉換及複雜的數位信號處理後得到資料,再將這些資料送到相應的應用軟體處理後就可即時觀測等離子體變化的等離子體光譜譜圖。 In a conventional plasma etching process, a plasma spectrometer formed by a plasma spectroscopic detection technique is used for end point detection. A plasma spectrometer usually includes a linear array of thousands of CCD cells, and the monitored wavelength. From 200nm to 1100nm, in the plasma spectrum detector, after photoelectric conversion, A/D conversion of electrical signals and complex digital signal processing, the data is obtained, and then the data is sent to the corresponding application software for processing. Observing the plasma spectrum of the plasma change.

例如,在博世工藝中,利用時間控制模組控制沉積或刻蝕過程,利用等離子體光譜檢測儀對工藝過程進行終點檢測。在刻蝕或沉積過程交替進行過程中,由時間控制模組同時控制輸氣閥和偏壓電源的開啟,然而,由於射頻功率切換和氣體輸送的延遲時間不同,分別為幾百毫秒和0.4-0.8秒,較大的延遲時 間差造成在射頻電源開啟和氣體輸送之間產生較大的異相位,由於氣體進入到等離子腔中的濃度,不可能在開始就達到所要求的值,如果這時候施加了滿足要求的偏壓功率,則不能很好地形成所需的等離子體,導致沉積或刻蝕工藝子過程的工藝品質和穩定性下降,影響到整個工藝的品質和穩定性,比如對圖案的刻蝕控制和ER控制等變得困難。 For example, in the Bosch process, a time control module is used to control the deposition or etching process, and a plasma spectrum detector is used to perform end point detection of the process. During the alternate process of etching or deposition, the time control module simultaneously controls the opening of the gas delivery valve and the bias power supply. However, due to the different delay times of RF power switching and gas delivery, respectively, several hundred milliseconds and 0.4- respectively. 0.8 seconds, with a large delay The difference between the RF power supply and the gas delivery creates a large phase difference. Due to the concentration of gas entering the plasma chamber, it is impossible to reach the required value at the beginning, if a bias voltage is applied at this time. The power does not form the required plasma well, resulting in a decrease in the process quality and stability of the deposition or etching process, affecting the quality and stability of the entire process, such as etching control and ER control of the pattern. It becomes difficult.

此外,在其他包含快速轉換的迴圈刻蝕工藝中,也會存在氣體輸送和所施加的偏壓電源切換不同步的現象。 In addition, in other loop etching processes including fast switching, there is also a phenomenon in which the gas delivery and the applied bias power supply are not synchronized.

因此,需要一種方法能夠補償迴圈刻蝕工藝中氣體輸送和偏壓功率切換的不同步現象,從而促進氣體輸送和偏壓功率切換達到同步,進一步提高整個工藝的穩定性和可控性。 Therefore, a method is needed to compensate for the unsynchronization of gas delivery and bias power switching in the loop etching process, thereby facilitating the synchronization of gas delivery and bias power switching, further improving the stability and controllability of the entire process.

鑑於以上所述,本發明的目的在於提供一種補償迴圈等離子體刻蝕工藝中氣體輸送和射頻功率在快速切換時不同步的裝置及其方法,提高整個工藝的穩定性和可控性。 In view of the above, it is an object of the present invention to provide an apparatus and method for compensating for gas transmission and radio frequency power that are not synchronized during fast switching in a loop plasma etching process, thereby improving stability and controllability of the entire process.

本發明為解決習知技術之問題所採用之技術手段係提供一種等離子體刻蝕工藝的處理裝置,所述刻蝕工藝包括兩個相互交替迴圈執行的沉積和刻蝕工藝子過程,所述裝置包含等離子工藝腔和工藝條件輔助單元,所述輔助單元包括第一輸氣閥、第二輸氣閥、偏壓電源、時間控制模組和檢測模組。第一輸氣閥用於向所述等離子工藝腔輸送沉積步驟中的氣體;第二輸氣閥用於向所述等離子工藝腔輸送刻蝕步驟中的氣體;偏壓電源用於提供所述沉積工藝子過程中的偏壓功率或提供所述刻蝕工藝子過程中的偏壓功率;時間控制模組,用於控制所述沉積或刻蝕工藝子過程的時間,以及第一、第二輸氣閥的啟閉切換;檢測模組包括檢測單元和切換單元,檢測單元用於檢測在所述沉積步驟或刻蝕工藝子過程中進入所述等離子工藝腔內氣體濃度所形成的特徵值;切換單元通過所述特徵值判斷所述沉積或刻蝕工藝子過程中 進入所述等離子工藝腔內氣體種類和濃度,並根據氣體種類和濃度,切換所述偏壓電源輸出滿足所述沉積或刻蝕工藝子過程的偏壓功率。 The technical means adopted by the present invention to solve the problems of the prior art provides a processing apparatus for a plasma etching process, the etching process comprising two deposition and etching process sub-processes performed alternately with each other, wherein The device comprises a plasma process chamber and a process condition auxiliary unit, and the auxiliary unit comprises a first gas delivery valve, a second gas delivery valve, a bias power source, a time control module and a detection module. a first gas delivery valve for delivering the gas in the deposition step to the plasma processing chamber; a second gas delivery valve for delivering the gas in the etching step to the plasma processing chamber; and a bias power supply for providing the deposition The bias power in the process sub-process or the bias power in the sub-process of the etching process; the time control module for controlling the time of the deposition or etching process sub-process, and the first and second inputs The opening and closing switching of the gas valve; the detecting module comprises a detecting unit and a switching unit, wherein the detecting unit is configured to detect a characteristic value formed by entering the gas concentration in the plasma processing chamber during the deposition step or the etching process; Determining, by the feature value, the deposition or etching process sub-process Entering the gas species and concentration in the plasma process chamber, and switching the bias power output to meet the bias power of the deposition or etching process sub-process according to the gas type and concentration.

優選地,所述檢測模組中的檢測單元包括等離子體光譜檢測儀,所述等離子工藝腔的側壁上具有一個石英窗,所述等離子體光譜檢測儀透過所述石英窗檢測輸送進工藝腔內氣體的特徵值,其中,所述特徵值為進入所述工藝腔內的所述氣體的特徵譜中特徵峰的強度。 Preferably, the detecting unit in the detecting module comprises a plasma spectrum detector, the plasma processing chamber has a quartz window on a sidewall thereof, and the plasma spectrum detector is detected and transported into the process chamber through the quartz window. A characteristic value of the gas, wherein the characteristic value is an intensity of a characteristic peak in a characteristic spectrum of the gas entering the process chamber.

本發明還提供一種等離子體刻蝕的方法,應用於包括相互交替迴圈執行的沉積和刻蝕工藝子過程,所述沉積和刻蝕工藝子過程具體包括如下步驟:在所述沉積工藝子過程中:步驟S11:所述時間控制模組控制開啟所述第一輸氣閥輸入在所述沉積工藝子過程中所需氣體,同時關閉所述第二輸氣閥;步驟S12:所述檢測模組的檢測單元檢測在所述等離子體工藝腔內的氣體濃度所形成的特徵值;步驟S13:所述切換單元,根據所述特徵值判斷進入等離子體工藝腔內氣體種類和濃度,當所述特徵值達到預定的閾值時,切換所述偏壓電源輸出所述沉積工藝子過程的偏壓功率;以及在所述刻蝕工藝子過程中:步驟S21:所述時間控制模組控制開啟所述第二輸氣閥輸入在所述刻蝕工藝子過程中所需氣體,同時關閉所述第一輸氣閥;步驟S22:所述檢測模組的檢測單元檢測在所述等離子體工藝腔內氣體濃度所形成的特徵值;步驟S23:所述切換單元,根據所述特徵值判斷進入等離子體工藝腔內氣體種類和濃度,當所述特徵值達到預定的閾值時,切換所述偏壓電源輸出所述刻蝕工藝子過程的偏壓功率。 The present invention also provides a plasma etching method for a deposition and etching process sub-process including alternating loops, the deposition and etching process sub-process specifically comprising the following steps: in the deposition process sub-process In step S11, the time control module controls to open the first gas valve to input the gas required in the deposition process, and simultaneously close the second gas delivery valve; Step S12: the detection mode The detecting unit of the group detects the characteristic value formed by the gas concentration in the plasma processing chamber; step S13: the switching unit determines, according to the characteristic value, the gas type and concentration entering the plasma processing chamber, when When the characteristic value reaches a predetermined threshold, switching the bias power supply to output the bias power of the deposition process sub-process; and in the etching process sub-process: step S21: the time control module controls to turn on the a second gas delivery valve inputs a gas required in the etching process, and simultaneously closes the first gas delivery valve; and step S22: the detection unit of the detection module detects a characteristic value formed by the gas concentration in the plasma process chamber; step S23: the switching unit determines, according to the characteristic value, a gas type and concentration entering the plasma process chamber, when the characteristic value reaches a predetermined threshold Switching the bias power supply outputs a bias power of the etching process sub-process.

優選地,所述的特徵值為進入所述工藝腔內所述氣 體的特徵譜中的特徵峰的強度。 Preferably, the characteristic value is the gas entering the process chamber The intensity of the characteristic peaks in the characteristic spectrum of the volume.

優選地,所述沉積工藝子過程氣體包括含碳氟氣體,所述刻蝕工藝子過程氣體包括含氟氣體。 Preferably, the deposition process sub-process gas comprises a fluorocarbon-containing gas, and the etch process sub-process gas comprises a fluorine-containing gas.

優選地,所述含碳氟氣體為C4F8,所述含氟氣體為SF6Preferably, the fluorocarbon-containing gas is C 4 F 8 and the fluorine-containing gas is SF 6 .

優選地,所述特徵值為輸入氣體的等離子體光譜的特徵譜中特徵峰的強度。 Preferably, the characteristic value is the intensity of a characteristic peak in a characteristic spectrum of a plasma spectrum of the input gas.

優選地,所述刻蝕工藝為矽通孔刻蝕工藝。 Preferably, the etching process is a germanium via etching process.

優選地,所述矽通孔刻蝕工藝為博世工藝。 Preferably, the 矽 through hole etching process is a Bosch process.

優選地,所述偏壓電源為射頻電源。 Preferably, the bias power source is a radio frequency power source.

優選地,所述沉積工藝子過程的射頻電源的功率為0-100W,所述刻蝕工藝子過程的射頻電源的射頻功率為30-1500W。 Preferably, the power of the RF power source of the deposition process sub-process is 0-100 W, and the RF power of the RF power supply of the etching process sub-process is 30-1500 W.

從上述技術方案可以看出,本發明提供的補償迴圈刻蝕工藝中氣體輸送和偏壓功率切換不同步的等離子體刻蝕處理裝置及其方法,將氣體輸送和偏壓功率切換分別由時間控制模組和檢測模組控制,通過檢測模組監控通入工藝腔內氣體的變化狀態,即氣體的種類和濃度,可以更加精確的控制偏壓功率切換時間,從而克服了氣體輸送和偏壓功率切換的延遲時間不同所造成的不同步現象,提高了整個工藝的穩定性和控制性。 It can be seen from the above technical solution that the plasma etching processing device and the method for the gas transmission and the bias power switching are not synchronized in the compensation loop etching process provided by the present invention, and the gas delivery and the bias power switching are respectively performed by time. The control module and the detection module control, and the detection module monitors the change state of the gas flowing into the process chamber, that is, the type and concentration of the gas, so that the bias power switching time can be more accurately controlled, thereby overcoming the gas delivery and the bias voltage. The asynchronous phenomenon caused by the difference in power switching delay time improves the stability and controllability of the entire process.

本發明所採用的具體實施例,將藉由以下之實施例及附呈圖式作進一步之說明。 The specific embodiments of the present invention will be further described by the following examples and the accompanying drawings.

100‧‧‧等離子體工藝腔室 100‧‧‧plasma process chamber

101‧‧‧聚焦環 101‧‧‧ Focus ring

102‧‧‧處理腔體 102‧‧‧Processing chamber

103-1、103-2‧‧‧氣體源 103-1, 103-2‧‧‧ gas source

104‧‧‧射頻電源 104‧‧‧RF power supply

105‧‧‧真空泵泵 105‧‧‧Vacuum pump

106‧‧‧靜電夾盤 106‧‧‧Electrical chuck

107‧‧‧等離子體限制環 107‧‧‧plasma confinement ring

108‧‧‧接地器件 108‧‧‧ Grounding device

109‧‧‧上電極 109‧‧‧Upper electrode

110‧‧‧下電極 110‧‧‧ lower electrode

111‧‧‧基座 111‧‧‧Base

a‧‧‧第一輸氣閥 a‧‧‧First air valve

b‧‧‧第二輸氣閥 b‧‧‧Second air valve

P‧‧‧處理區域 P‧‧‧Processing area

W‧‧‧基片 W‧‧‧ substrates

圖1是本發明的一個較佳實施例的等離子體處理腔室的示意圖,其使用是根據本發明的一個較佳實施例的補償方法。 BRIEF DESCRIPTION OF THE DRAWINGS Figure 1 is a schematic illustration of a plasma processing chamber in accordance with a preferred embodiment of the present invention, the use of which is a compensation method in accordance with a preferred embodiment of the present invention.

圖2是本發明的補償迴圈等離子體刻蝕工藝中氣體輸送和偏壓功率切換不同步的等離子體刻蝕裝置的輔助單元的一個較佳實 施例的示意圖。 2 is a preferred embodiment of the auxiliary unit of the plasma etching apparatus in which the gas delivery and the bias power switching are not synchronized in the compensation loop plasma etching process of the present invention. Schematic diagram of the example.

圖3是本發明的上述較佳實施例補償迴圈等離子體刻蝕工藝中氣體輸送和偏壓功率切換不同步的方法的流程示意圖。 3 is a flow chart showing a method for asynchronously switching gas delivery and bias power switching in the compensation loop plasma etching process according to the above preferred embodiment of the present invention.

體現本發明特徵與優點的實施例將在後段的說明中詳細敘述。應理解的是本發明能夠在不同的示例上具有各種的變化,其皆不脫離本發明的範圍,且其中的說明及圖示在本質上當做說明之用,而非用以限制本發明。 Embodiments embodying the features and advantages of the present invention will be described in detail in the following description. It is to be understood that the invention is not to be construed as being limited

通常情況下,刻蝕工藝包括兩個相互交替迴圈執行的沉積和刻蝕工藝子過程,本發明的等離子體刻蝕工藝的處理裝置,用於補償迴圈刻蝕工藝中氣體輸送和偏壓功率切換不同步的問題,該裝置包含等離子工藝腔和工藝條件輔助單元。在本發明中,等離子工藝腔可以採用任何種類的,不做任何限定。 Generally, the etching process includes two deposition and etching process sub-processes performed alternately with each other, and the plasma etching process of the present invention is used to compensate gas transfer and bias in the loop etching process. The problem of power switching is not synchronized, the device includes a plasma process chamber and a process condition auxiliary unit. In the present invention, the plasma process chamber can be of any kind without any limitation.

請參閱圖1,圖1為本實施例中的一個等離子體工藝腔室的結構示意圖。如圖所示,等離子體工藝腔室100具有一個處理腔體102,工藝腔體102基本上為柱形,且處理腔體102側壁基本上垂直,處理腔體102內具有相互平行設置的上電極109和下電極110。通常,在上電極109與下電極110之間的區域為處理區域P,該區域P將形成高頻能量以點燃和維持等離子體。靜電夾盤106和下電極110設置於基座111內。在靜電夾盤106上方放置待要加工的基片W,該基片W可以是待要刻蝕或加工的半導體基片或者待要加工成平板顯示器的玻璃平板。其中,所述靜電夾盤106用於夾持基片W。等離子體限制環107位於靜電夾盤106兩側,用於將等離子體約束在支撐區域內,通過接地器件108將等離子體限制環接地。 Please refer to FIG. 1. FIG. 1 is a schematic structural view of a plasma processing chamber in the embodiment. As shown, the plasma processing chamber 100 has a processing chamber 102, the processing chamber 102 is substantially cylindrical, and the sidewalls of the processing chamber 102 are substantially vertical, and the processing chamber 102 has upper electrodes disposed in parallel with each other. 109 and lower electrode 110. Typically, the area between the upper electrode 109 and the lower electrode 110 is the processing area P which will form high frequency energy to ignite and sustain the plasma. The electrostatic chuck 106 and the lower electrode 110 are disposed in the susceptor 111. A substrate W to be processed is placed over the electrostatic chuck 106, which may be a semiconductor substrate to be etched or processed or a glass plate to be processed into a flat panel display. The electrostatic chuck 106 is used to clamp the substrate W. A plasma confinement ring 107 is located on either side of the electrostatic chuck 106 for confining the plasma within the support region and grounding the plasma confinement ring through the grounding device 108.

上述圖1所示為本實施例中的等離子體刻蝕裝置示意圖,僅為解釋本實施例,不用於限制本發明的範圍。 The above-mentioned FIG. 1 is a schematic view of a plasma etching apparatus in the present embodiment, which is only for explaining the present embodiment and is not intended to limit the scope of the present invention.

現結合附圖2、3,通過具體實施例對本發明的補償 刻蝕工藝中氣體輸送和偏壓功率切換不同步的等離子體刻蝕裝置的輔助單元和方法作進一步詳細說明。需說明的是,附圖均採用非常簡化的形式、使用非精准的比例,且僅用以方便、明晰地達到輔助說明本發明實施例的目的。 The present invention will now be compensated by the specific embodiments in conjunction with Figures 2 and 3. The auxiliary unit and method of the plasma etching apparatus in which the gas delivery and the bias power switching are not synchronized in the etching process are further described in detail. It should be noted that the drawings are in a very simplified form, using a non-precise ratio, and are only used to facilitate the purpose of the embodiments of the present invention.

本發明中,採用的刻蝕工藝可以但不限於是矽通孔刻蝕工藝,採用的刻蝕矽通孔的方法可以但不限於是博世工藝。本實施例中,採用博世工藝用以進一步詳細解釋說明本發明,其它不一一贅述。 In the present invention, the etching process used may be, but not limited to, a germanium via etching process, and the method of etching the via holes may be, but not limited to, a Bosch process. In this embodiment, the Bosch process is used to explain the present invention in further detail, and other details are not described herein.

本實施例的博世工藝中,採用的偏壓電源為射頻電源為例進行解釋說明,包括沉積和刻蝕工藝兩個工藝子過程,但這不用於限制本發明的範圍。 In the Bosch process of this embodiment, the bias power supply used is an example of a radio frequency power supply, including two process sub-processes of deposition and etching processes, but this is not intended to limit the scope of the present invention.

請參見圖2,圖2是用於上述等離子體刻蝕處理裝置中的輔助單元方塊示意圖。其中,輔助單元包括第一輸氣閥、第二輸氣閥、偏壓電源、時間控制模組和檢測模組。本實施例中,a為第一輸氣閥,b為第二輸氣閥,a和b的具體位置不作限定,檢測模組的檢測單元可以是等離子體光譜檢測儀,本實施例中以等離子體檢測儀進行解釋說明,這不用於限制本發明的範圍。反應氣體包括沉積工藝子過程中的氣體和刻蝕工藝子過程中的氣體。通常情況下,沉積工藝子過程氣體包括含碳氟氣體,刻蝕工藝子過程氣體包括含氟氣體。在本實施例中,含碳氟氣體為C4F8,含氟氣體為SF6。 Please refer to FIG. 2. FIG. 2 is a block diagram of an auxiliary unit used in the above plasma etching processing apparatus. The auxiliary unit includes a first gas delivery valve, a second gas delivery valve, a bias power source, a time control module, and a detection module. In this embodiment, a is a first gas delivery valve, and b is a second gas delivery valve. The specific positions of a and b are not limited, and the detection unit of the detection module may be a plasma spectrum detector, and plasma is used in this embodiment. The body detector is explained, this is not intended to limit the scope of the invention. The reaction gas includes a gas in a deposition process and a gas in an etching process. Typically, the deposition process sub-process gas includes a fluorocarbon-containing gas, and the etch process sub-process gas includes a fluorine-containing gas. In the present embodiment, the fluorocarbon-containing gas is C4F8, and the fluorine-containing gas is SF6.

沉積工藝子過程中所需的工藝氣體和刻蝕工藝子過程中所需的工藝氣體分別從氣體源103-1和103-2中被輸入至處理腔體102內。在本實施例中,第一輸氣閥a用於向處理腔體102內輸送沉積工藝子過程中的氣體;第二輸氣閥b用於向處理腔體102內輸送刻蝕工藝子過程中的氣體。 The process gases required in the deposition process and the process gases required in the etch process sub-process are input into the process chamber 102 from the gas sources 103-1 and 103-2, respectively. In the present embodiment, the first gas delivery valve a is used to transport the gas in the deposition process to the processing chamber 102; the second gas delivery valve b is used to transport the etching process to the processing cavity 102. gas.

偏壓電源用於提供沉積工藝子過程中的偏壓功率或提供刻蝕工藝子過程中的偏壓功率。本發明實施例中,偏壓電源 可以是射頻電源,本實施例中,以射頻電源為例進行解釋說明,但這不用於限制本發明的範圍。 The bias supply is used to provide bias power during the deposition process or to provide bias power during the etch process. In the embodiment of the invention, the bias power supply It can be an RF power supply. In this embodiment, the RF power supply is taken as an example for explanation, but this is not intended to limit the scope of the present invention.

如圖1所示,射頻電源104可以施加在上電極109與下電極110上,用以將射頻電源,從而在處理腔體102內部產生大的電場。眾所周知,大多數電場線被包含在上電極109和下電極110之間的處理區域P內,此電場對少量存在於處理腔體102內部的電子進行加速,使之與輸入的反應氣體的氣體分子碰撞。這些碰撞導致反應氣體的離子化和等離子體的激發,從而在處理腔體102內產生等離子體。反應氣體的中性氣體分子在經受這些強電場時失去了電子,留下帶正電的離子。帶正電的離子向著下電極方向加速,與被處理的基片中的中性物質結合,激發基片加工,即刻蝕、沉積工藝子過程等。 As shown in FIG. 1, a radio frequency power source 104 can be applied to the upper electrode 109 and the lower electrode 110 for generating a radio frequency power source to generate a large electric field inside the processing chamber 102. It is known that most of the electric field lines are contained in the processing region P between the upper electrode 109 and the lower electrode 110, and this electric field accelerates a small amount of electrons existing inside the processing chamber 102 to the gas molecules of the input reaction gas. collision. These collisions result in ionization of the reactive gas and excitation of the plasma, thereby generating a plasma within the processing chamber 102. The neutral gas molecules of the reactive gas lose electrons when subjected to these strong electric fields, leaving positively charged ions. The positively charged ions accelerate toward the lower electrode and combine with the neutral species in the substrate being processed to excite the substrate processing, that is, the etching, deposition process, and the like.

在等離子體工藝腔室100的合適的某個位置處設置有排氣區域,排氣區域與外置的排氣裝置(例如真空泵泵105)相連接,用以在處理過程中將用過的反應氣體及副產品氣體抽出工藝腔室100。聚焦環101位於靜電夾盤106上,將基片W包圍。聚焦環101用於在基片W的周圍提供一個相對封閉的環境,改善基片W面上的等離子體的均一性。同時還可以避免基片W的邊緣的背側一面受到處理工藝的影響。 An exhaust region is provided at a suitable location of the plasma processing chamber 100, the exhaust region being coupled to an external exhaust device (eg, vacuum pump 105) for use in the process during processing The gas and byproduct gases are withdrawn from the process chamber 100. The focus ring 101 is located on the electrostatic chuck 106 to surround the substrate W. The focus ring 101 serves to provide a relatively closed environment around the substrate W, improving the uniformity of the plasma on the W side of the substrate. At the same time, it is also possible to avoid that the back side of the edge of the substrate W is affected by the processing.

我們知道,沉積或刻蝕工藝子過程的時間是不同的,且沉積或刻蝕工藝子過程中所輸入的氣體也是不同的,時間控制模組用於控制沉積或刻蝕工藝子過程的時間切換,並且,在時間切換的同時,進行第一輸氣閥a、第二輸氣閥b的啟閉切換。也就是說,當時間控制模組控制從沉積工藝子過程轉換到刻蝕工藝子過程轉換的同時,關閉了第一輸氣閥a,停止輸入沉積工藝子過程中所輸入的氣體,開啟了第二輸氣閥b,向處理腔體102內部輸入刻蝕工藝子過程中所輸入的氣體。 We know that the deposition or etching process sub-process time is different, and the gas input during the deposition or etching process is different. The time control module is used to control the time switching of the deposition or etching process. And, at the same time as the time switching, the opening and closing switching of the first gas delivery valve a and the second gas delivery valve b is performed. That is to say, when the time control module controls the conversion from the deposition process sub-process to the etching process sub-process, the first gas delivery valve a is closed, the gas input in the sub-process of the deposition process is stopped, and the first The second gas delivery valve b inputs the gas input during the etching process to the inside of the processing chamber 102.

在通常情況下,由於沉積工藝子過程中的偏壓功率 或提供所述刻蝕工藝子過程中的偏壓功率是不同的,在本實施例中,沉積工藝子過程的射頻電源的功率可以為0-100W,刻蝕工藝子過程的射頻電源的射頻功率可以為30-1500W。 Under normal circumstances, due to the bias power during the deposition process Or providing the bias power in the etching process is different. In this embodiment, the power of the RF power source of the deposition process may be 0-100 W, and the RF power of the RF power source of the etching process sub-process Can be 30-1500W.

時間控制模組也控制了沉積工藝子過程中的偏壓功率或提供所述刻蝕工藝子過程中的偏壓功率的切換。如背景技術中所述,時間控制模組受控於終點檢測手段,由於氣體輸入存在延遲,而且射頻功率的延遲時間小於氣體輸入的延遲時間,氣體進入到等離子腔中的濃度,不可能在開始就達到所要求的值,如果這時候施加了滿足要求的偏壓功率,不能很好地形成所需的等離子體,導致沉積或刻蝕工藝子過程的工藝品質和穩定性下降。 The time control module also controls the bias power in the deposition process sub-process or provides switching of the bias power during the etch process sub-process. As described in the background art, the time control module is controlled by the end point detecting means. Since the gas input has a delay, and the delay time of the radio frequency power is less than the delay time of the gas input, the concentration of the gas entering the plasma chamber cannot be started. To achieve the desired value, if a bias power that satisfies the requirements is applied at this time, the desired plasma is not formed well, resulting in a decrease in the process quality and stability of the deposition or etching process.

為了很好地解決上述問題,在本發明的實施例中,增加了檢測模組,該模組包括檢測單元和切換單元,檢測單元用於檢測在沉積步驟或刻蝕工藝子過程中進入所述處理腔體102內部氣體所形成的等離子體光譜的特徵值;切換單元通過所述特徵值判斷沉積或刻蝕工藝子過程中進入處理腔體102內部氣體種類和濃度,並根據氣體種類和濃度,切換所述偏壓電源輸出滿足所述沉積或刻蝕工藝子過程的偏壓功率。在本發明中,任何一種可以檢測出輸入處理腔體102內部氣體的種類和濃度的檢測設備,均可適用于本發明,在本實施例中,採用了等離子體光譜檢測技術。 In order to solve the above problem well, in the embodiment of the present invention, a detection module is added, the module includes a detecting unit and a switching unit, and the detecting unit is configured to detect entering the depositing step or the etching process sub-process The characteristic value of the plasma spectrum formed by the gas inside the processing chamber 102; the switching unit determines the gas type and concentration entering the processing chamber 102 during the deposition or etching process by the characteristic value, and according to the gas type and concentration, Switching the bias power supply output meets the bias power of the deposition or etching process sub-process. In the present invention, any type of detecting device capable of detecting the type and concentration of gas inside the processing chamber 102 can be applied to the present invention. In the present embodiment, a plasma spectrum detecting technique is employed.

等離子體光譜檢測技術原理是利用檢測等離子體中某種反應性化學基團或揮發性基團所發射波長的光強的變化,來實現氣體性能的檢測,例如,氣體的種類和濃度。等離子體中的原子或分子被電子激發到激發態後,在返回到另一個能態時,不同原子或分子所激發的光波波長各不相同。 The principle of plasma spectroscopic detection technology is to detect the gas performance by detecting the change of the light intensity of a certain reactive chemical group or a volatile group in the plasma, for example, the type and concentration of the gas. When atoms or molecules in the plasma are excited by electrons to an excited state, the wavelengths of light waves excited by different atoms or molecules are different when returning to another energy state.

等離子體光譜檢測儀通常包含上千個CCD單元的線性陣列,在等離子體光譜檢測儀內,經光電轉換、電信號的A/D轉換及複雜的數位信號處理後得到資料,再將這些資料送到相應 的應用軟體處理後就可即時觀測等離子體變化的等離子體光譜譜圖,等離子體光譜譜圖中的光線強度等特徵值的變化能反應出等離子體中原子或分子濃度的變化。 The plasma spectrum detector usually includes a linear array of thousands of CCD units. In the plasma spectrum detector, data is obtained after photoelectric conversion, A/D conversion of electrical signals, and complex digital signal processing, and then the data is sent. To corresponding After the application software is processed, the plasma spectrum of the plasma change can be observed immediately, and the change of the characteristic value such as the light intensity in the plasma spectrum can reflect the change of the atomic or molecular concentration in the plasma.

在實際使用中,等離子工藝腔100的側壁上可以具有一個石英窗,等離子體光譜檢測儀可以包括一台等離子光譜發射儀,其放置於等離子工藝腔100外,透過所述石英窗檢測輸送進處理腔體102內部氣體的特徵值,其中,特徵值為特徵譜中特徵峰的強度。需要說明的是,如果本實施例中終點檢測手段採用的是等離子體光譜檢測技術,那麼,本實施例中的檢測模組中的檢測單元可以合併採用終點檢測手段中的等離子光譜發射儀,所不同的是,後續處理等離子體光譜譜圖中的資料方式不同,產生的觸發信號和方式不同。 In actual use, the sidewall of the plasma processing chamber 100 may have a quartz window, and the plasma spectrum detector may include a plasma spectrometer placed outside the plasma processing chamber 100, and the processing is detected through the quartz window. The characteristic value of the gas inside the cavity 102, wherein the characteristic value is the intensity of the characteristic peak in the characteristic spectrum. It should be noted that, if the end point detecting means adopts the plasma spectrum detecting technology in this embodiment, the detecting unit in the detecting module in the embodiment can be combined with the plasma spectrum emitting apparatus in the end point detecting means. The difference is that the data in the subsequent processing of the plasma spectrum is different, and the trigger signals and methods are different.

以下結合附圖3,對本發明的本實施例的補償迴圈刻蝕工藝中氣體輸送和偏壓功率切換不同步的補償方法做詳細說明。 The compensation method for the gas transmission and the bias power switching unsynchronized in the compensation loop etching process of the present embodiment of the present invention will be described in detail below with reference to FIG.

首先,在博世工藝開始時,可以但不限於先進行沉積工藝子過程。沉積工藝子過程中時間控制模組控制氣體的輸送,檢測模組控制沉積過程的射頻功率,此時的氣體可以但不限於是C4F8First, at the beginning of the Bosch process, the deposition process sub-process can be performed, but not limited to. During the deposition process, the time control module controls the delivery of gas, and the detection module controls the RF power of the deposition process. The gas at this time may be, but is not limited to, C 4 F 8 .

步驟S11:時間控制模組發出信號,第一輸氣閥a打開,同時第二輸氣閥b關閉,C4F8氣體進入處理腔體102內。 Step S11: The time control module sends a signal, the first gas delivery valve a is opened, and the second gas delivery valve b is closed, and the C 4 F 8 gas enters the processing chamber 102.

步驟S12:檢測模組的檢測單元檢測處理腔體102內部氣體濃度所形成的特徵值,本發明中的特徵值可以輸入氣體的特徵峰的強度等,根據特徵值的峰值強度,可以判斷出輸入氣體的種類和濃度。在本實施例中該特徵值為輸入氣體的等離子體光譜的特徵峰的強度。 Step S12: the detecting unit of the detecting module detects the characteristic value formed by the gas concentration inside the processing chamber 102. The characteristic value in the present invention can input the intensity of the characteristic peak of the gas, etc., and the input can be determined according to the peak intensity of the characteristic value. The type and concentration of the gas. In the present embodiment, the characteristic value is the intensity of the characteristic peak of the plasma spectrum of the input gas.

步驟S13:當判斷氣體的特徵譜中的特徵值達到預定的閾值時,例如,處理腔體102內部C4F8的濃度達到了80%,切 換單元控制射頻電源,改變射頻功率為沉積工藝子過程的射頻功率。在本實施例中當切換單元判斷等離子體光譜的特徵譜中顯示的特徵峰強度達到預定閾值時,將通過控制射頻電源來改變射頻功率為沉積過程的射頻功率;需要說明的是,這裡的預定閾值根據實際工藝中氣體達到工藝腔內時等離子體光譜的特徵譜線的強度(即氣體濃度達到了預定的閾值),本實施例的沉積工藝子過程中,選用F的703nm的特徵譜線。 Step S13: When it is determined that the characteristic value in the characteristic spectrum of the gas reaches a predetermined threshold value, for example, the concentration of C 4 F 8 in the processing chamber 102 reaches 80%, the switching unit controls the RF power source, and changes the RF power to the deposition process. The RF power of the process. In this embodiment, when the switching unit determines that the characteristic peak intensity displayed in the characteristic spectrum of the plasma spectrum reaches a predetermined threshold, the RF power is changed by controlling the RF power source to be the RF power of the deposition process; it should be noted that the reservation here is The threshold value is based on the intensity of the characteristic line of the plasma spectrum when the gas reaches the process chamber in the actual process (ie, the gas concentration reaches a predetermined threshold). In the deposition process of the embodiment, the characteristic line of 703 nm of F is selected.

本實施例中,沉積工藝子過程的射頻功率可以但不限於為0-100W,射頻功率變為沉積工藝子過程的射頻功率後,氣體中的等離子體的穩定時間可以但不限於為0.2-0.4秒,這不用於限制本發明的範圍。 In this embodiment, the RF power of the deposition process may be, but is not limited to, 0-100 W. After the RF power is changed to the RF power of the deposition process, the plasma stabilization time in the gas may be, but is not limited to, 0.2-0.4. Second, this is not intended to limit the scope of the invention.

然後,可以但不限於進行刻蝕工藝子過程。刻蝕工藝子過程中時間控制模組控制氣體的輸送,檢測模組控制刻蝕工藝子過程的射頻功率切換,此時的氣體可以但不限於是SF6Then, the etching process sub-process can be performed, but not limited to. During the etching process, the time control module controls the gas delivery, and the detection module controls the RF power switching of the etching process sub-process. The gas at this time may be, but not limited to, SF 6 .

步驟S21:時間控制模組分別向沉積工藝子過程和刻蝕工藝子過程的第一輸氣閥a和第二輸氣閥b發出信號,然後沉積過程的第一輸氣閥a關閉,而刻蝕過程的第二輸氣閥b打開,此時,SF6氣體進入處理腔體102內部。 Step S21: The time control module respectively sends signals to the first gas delivery valve a and the second gas delivery valve b of the deposition process sub-process and the etching process sub-process, and then the first gas delivery valve a of the deposition process is closed, and engraved The second gas delivery valve b of the etching process is opened, at which time the SF 6 gas enters the interior of the processing chamber 102.

步驟S22:檢測模組的等離子體光譜檢測儀監控工藝腔內氣體濃度所形成的特徵值,本發明中的特徵值可以是輸入氣體的特徵峰的強度等。在本實施例中該特徵值為輸入氣體的等離子體光譜的特徵峰的強度,這樣就可以通過檢測氣體的特徵值來判斷處理腔體102內部氣體的種類和濃度。 Step S22: The plasma spectrum detector of the detection module monitors the characteristic value formed by the gas concentration in the process chamber, and the characteristic value in the present invention may be the intensity of the characteristic peak of the input gas or the like. In the present embodiment, the characteristic value is the intensity of the characteristic peak of the plasma spectrum of the input gas, so that the type and concentration of the gas inside the processing chamber 102 can be judged by detecting the characteristic value of the gas.

步驟S23:當判斷氣體的特徵譜中的特徵值達到預定的閾值時,例如,處理腔體102內部SF6的濃度達到了80%,切換單元控制射頻電源,改變射頻功率為刻蝕工藝子過程的射頻功率。 Step S23: When it is determined that the characteristic value in the characteristic spectrum of the gas reaches a predetermined threshold, for example, the concentration of the SF 6 in the processing chamber 102 reaches 80%, the switching unit controls the RF power source, and the RF power is changed into an etching process sub-process. RF power.

在本實施例中當切換單元判斷等離子體光譜的特徵 譜中顯示的特徵峰強度達到預定閾值時,將通過控制射頻電源來改變射頻功率為刻蝕過程的射頻功率。需要說明的是,這裡的預定閾值根據實際工藝中氣體達到工藝腔內時等離子體光譜的特徵譜線的強度(即氣體濃度達到了預定的閾值),本實施例的沉積工藝子過程中,選用F的703nm的特徵譜線。 In the present embodiment, when the switching unit determines the characteristics of the plasma spectrum When the characteristic peak intensity displayed in the spectrum reaches a predetermined threshold, the RF power is changed to control the RF power of the etching process. It should be noted that the predetermined threshold value is based on the intensity of the characteristic line of the plasma spectrum when the gas reaches the process chamber in the actual process (ie, the gas concentration reaches a predetermined threshold), and is selected in the deposition process sub-process of the embodiment. The characteristic line of 703 nm of F.

本實施例中,刻蝕工藝子過程的射頻功率可以但不限於為30-1500W,射頻功率變為刻蝕工藝子過程的射頻功率後,氣體中的等離子體的穩定時間可以但不限於為0.2-0.4秒,這不用於限制本發明的範圍。 In this embodiment, the RF power of the etching process may be, but is not limited to, 30-1500 W. After the RF power is changed to the RF power of the etching process, the plasma stabilization time in the gas may be, but is not limited to, 0.2. - 0.4 seconds, this is not intended to limit the scope of the invention.

在本實施例中,依此反復進行上述沉積和刻蝕工藝子過程,直至形成矽通孔。但這不用於限制本發明的範圍。 In the present embodiment, the deposition and etching process sub-processes are repeated until the through holes are formed. However, this is not intended to limit the scope of the invention.

綜上所述,本發明提供的補償刻蝕工藝中氣體輸送和偏壓功率不同步的等離子體刻蝕工藝的處理裝置及刻蝕方法,利用檢測模組控制偏壓功率的改變,時間控制模組控制氣體的輸送,從而促進了氣體輸送和偏壓功率切換達到同步,提高了整個工藝的穩定性和可控性。 In summary, the present invention provides a processing device and an etching method for a plasma etching process that compensates for gas delivery and bias power in an etch process, and uses a detection module to control a change in bias power, a time control mode. The group controls the delivery of gas, thereby facilitating the synchronization of gas delivery and bias power switching, improving the stability and controllability of the entire process.

以上之敘述僅為本發明之較佳實施例說明,凡精於此項技藝者當可依據上述之說明而作其它種種之改良,惟這些改變仍屬於本發明之發明精神及以下所界定之專利範圍中。 The above description is only for the preferred embodiment of the present invention, and those skilled in the art can make other improvements according to the above description, but these changes still belong to the inventive spirit of the present invention and the patents defined below. In the scope.

Claims (9)

一種等離子體刻蝕工藝的處理裝置,所述刻蝕工藝包括兩個相互交替迴圈執行的沉積和刻蝕工藝子過程,所述裝置包含等離子工藝腔和工藝條件輔助單元,其特徵在於,所述輔助單元包括:第一輸氣閥,用於向所述等離子工藝腔輸送沉積步驟中的氣體;第二輸氣閥,用於向所述等離子工藝腔輸送刻蝕步驟中的氣體;偏壓電源,用於提供所述沉積工藝子過程中的偏壓功率或提供所述刻蝕工藝子過程中的偏壓功率;時間控制模組,用於控制所述沉積或刻蝕工藝子過程的時間,以及第一、第二輸氣閥的啟閉切換;檢測模組,包括:檢測單元,用於檢測在所述沉積步驟或刻蝕工藝子過程中進入所述等離子工藝腔內氣體濃度所形成的特徵值;切換單元,通過所述特徵值判斷所述沉積或刻蝕工藝子過程中進入所述等離子工藝腔內氣體種類和濃度,並根據氣體種類和濃度,切換所述偏壓電源輸出滿足所述沉積或刻蝕工藝子過程的偏壓功率,其中該第一輸氣閥至該等離子工藝腔的輸氣管道係為與該第二輸氣閥至該等離子工藝腔的輸氣管道為不同的輸氣管道,且該第一輸氣閥至該等離子工藝腔的輸氣管道所輸送的氣體為不同於該第二輸氣閥至該等離子工藝腔的輸氣管道所輸送的氣體,其中所述檢測模組中的檢測單元包括等離子體光譜檢測儀,用以保持偵測進入所述等離子工藝腔內氣體種類及濃度,該偵測係透過位於所述等離子工藝腔的側壁上之石英窗,檢測輸送進工藝腔內氣體的特徵值,所述特徵值為進入 所述工藝腔內所述氣體的特徵譜中特徵峰的強度,所述沉積工藝子過程的偏壓功率為0-100W,所述刻蝕工藝子過程的偏壓功率為30-1500W。 A processing apparatus for a plasma etching process, the etching process comprising two deposition and etching process sub-processes performed alternately with a loop, the device comprising a plasma process chamber and a process condition auxiliary unit, characterized in that The auxiliary unit includes: a first gas delivery valve for conveying the gas in the deposition step to the plasma processing chamber; and a second gas delivery valve for conveying the gas in the etching step to the plasma processing chamber; a power source for providing bias power in the deposition process sub-process or providing bias power in the etching process sub-process; a time control module for controlling the time of the deposition or etching process sub-process And the opening and closing switching of the first and second gas delivery valves; the detecting module comprises: a detecting unit, configured to detect a gas concentration entering the plasma processing chamber during the deposition step or the etching process sub-process a characteristic value; a switching unit that determines, by the feature value, a gas species and a concentration entering the plasma process chamber during the deposition or etching process, and according to the gas species And a concentration, switching the bias power supply output to meet a bias power of the deposition or etching process sub-process, wherein the first gas delivery valve to the plasma processing chamber is connected to the second gas delivery valve The gas pipeline to the plasma processing chamber is a different gas pipeline, and the gas delivered from the first gas delivery valve to the gas pipeline of the plasma processing chamber is different from the second gas delivery valve to the plasma processing chamber The gas conveyed by the gas pipeline, wherein the detecting unit in the detecting module comprises a plasma spectrum detector for maintaining the type and concentration of gas entering the plasma processing chamber, and the detecting system is located at the a quartz window on a sidewall of the plasma processing chamber for detecting a characteristic value of gas transported into the process chamber, the characteristic value being entered The intensity of the characteristic peak in the characteristic spectrum of the gas in the process chamber, the bias power of the deposition process sub-process is 0-100 W, and the bias power of the etching process sub-process is 30-1500 W. 應用於權利要求1所述的裝置中,其包括相互交替迴圈執行的沉積和刻蝕工藝子過程,其中所述沉積和刻蝕工藝子過程具體包括如下步驟:在所述沉積工藝子過程中:步驟S11:所述時間控制模組控制開啟所述第一輸氣閥輸入在所述沉積工藝子過程中所需氣體,同時關閉所述第二輸氣閥;步驟S12:所述檢測模組的檢測單元檢測在所述等離子體工藝腔內的氣體的濃度所形成的特徵值;步驟S13:所述切換單元,根據所述特徵值判斷進入等離子體工藝腔內氣體種類和濃度,當所述特徵值達到預定的閾值時,切換所述偏壓電源輸出所述沉積工藝子過程的偏壓功率,所述沉積工藝子過程的偏壓功率為0-100W;以及在所述刻蝕工藝子過程中:步驟S21:所述時間控制模組控制開啟所述第二輸氣閥輸入在所述刻蝕工藝子過程中所需氣體,同時關閉所述第一輸氣閥;步驟S22:所述檢測模組的檢測單元檢測在所述等離子體工藝腔內氣體濃度所形成的特徵值;步驟S23:所述切換單元,根據所述特徵值判斷進入等離子體工藝腔內氣體種類和濃度,當所述特徵值達到預定的閾值時,切換所述偏壓電源輸出所述刻蝕工藝子過程的偏壓功率,所述刻蝕工藝子過程的偏壓功率為30-1500W。 The apparatus of claim 1 comprising a deposition and etching process sub-process performed alternately with a loop, wherein the deposition and etching process sub-process comprises the step of: in the deposition process sub-process Step S11: The time control module controls to open the first gas valve to input the gas required in the deposition process, and simultaneously close the second gas delivery valve; Step S12: the detection module The detecting unit detects a characteristic value formed by the concentration of the gas in the plasma processing chamber; step S13: the switching unit determines, according to the characteristic value, the gas type and concentration entering the plasma processing chamber, when When the characteristic value reaches a predetermined threshold, the bias power supply is switched to output a bias power of the deposition process sub-process, the bias power of the deposition process sub-process is 0-100 W; and in the etching process sub-process In step S21, the time control module controls to open the second gas delivery valve to input the gas required in the etching process, and simultaneously close the first gas delivery valve; step S22 The detecting unit of the detecting module detects a characteristic value formed by the gas concentration in the plasma processing chamber; and step S23: the switching unit determines, according to the characteristic value, the gas type and concentration entering the plasma processing chamber When the characteristic value reaches a predetermined threshold, the bias power supply is switched to output a bias power of the etching process sub-process, and the bias power of the etching process sub-process is 30-1500W. 如請求項2所述的等離子體刻蝕的方法,其中所述的特徵值為進入所述工藝腔內所述氣體的特徵譜中的特徵峰的強度。 The method of plasma etching according to claim 2, wherein the characteristic value is an intensity of a characteristic peak entering a characteristic spectrum of the gas in the process chamber. 如請求項2所述的等離子體刻蝕的方法,其中所述沉積工藝子過程氣體包括含碳氟氣體,所述刻蝕工藝子過程氣體包括含氟氣體。 The method of plasma etching according to claim 2, wherein the deposition process sub-process gas comprises a fluorocarbon-containing gas, and the etch process sub-process gas comprises a fluorine-containing gas. 如請求項4所述的等離子體刻蝕的方法,其中所述含碳氟氣體為C4F8,所述含氟氣體為SF6The method of plasma etching according to claim 4, wherein the fluorocarbon-containing gas is C 4 F 8 and the fluorine-containing gas is SF 6 . 如請求項2所述的等離子體刻蝕的方法,其中所述特徵值為輸入氣體的等離子體光譜的特徵譜中特徵峰的強度。 The method of plasma etching according to claim 2, wherein the characteristic value is an intensity of a characteristic peak in a characteristic spectrum of a plasma spectrum of the input gas. 如請求項2所述的等離子體刻蝕的方法,其中所述刻蝕工藝為矽通孔刻蝕工藝。 The method of plasma etching according to claim 2, wherein the etching process is a germanium via etching process. 如請求項7所述的等離子體刻蝕的方法,其中所述矽通孔工藝為博世工藝。 The method of plasma etching according to claim 7, wherein the meandering via process is a Bosch process. 如請求項2所述的等離子體刻蝕的方法,其中所述偏壓電源為射頻電源。 The method of plasma etching according to claim 2, wherein the bias power source is a radio frequency power source.
TW103107752A 2013-03-08 2014-03-06 Process apparatus and method for plasma etching process TWI541893B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
CN201310073717.4A CN103117203B (en) 2013-03-08 2013-03-08 The processing means of a kind of plasma etch process and method

Publications (2)

Publication Number Publication Date
TW201445629A TW201445629A (en) 2014-12-01
TWI541893B true TWI541893B (en) 2016-07-11

Family

ID=48415546

Family Applications (1)

Application Number Title Priority Date Filing Date
TW103107752A TWI541893B (en) 2013-03-08 2014-03-06 Process apparatus and method for plasma etching process

Country Status (2)

Country Link
CN (1) CN103117203B (en)
TW (1) TWI541893B (en)

Families Citing this family (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN104183514B (en) * 2013-05-22 2017-08-11 中微半导体设备(上海)有限公司 Improve the system and etching terminal monitoring method of TSV etching technics
CN104752266A (en) * 2013-12-31 2015-07-01 中微半导体设备(上海)有限公司 Through-silicon-via etching device
CN104752191A (en) * 2013-12-31 2015-07-01 中微半导体设备(上海)有限公司 Inductive Coupled Plasma (ICP) processing chamber, gas injection device thereof and Through-Silicon-Via (TSV) etching method
CN104944366A (en) * 2014-03-26 2015-09-30 中国科学院微电子研究所 Monitoring method of silicon deep hole process
CN105679700B (en) * 2014-11-21 2019-08-23 北京北方华创微电子装备有限公司 Silicon deep hole lithographic method
CN106548914B (en) * 2015-09-17 2018-10-30 中微半导体设备(上海)有限公司 A kind of apparatus for processing plasma and its cleaning system and method
CN106783584A (en) * 2015-11-19 2017-05-31 北京北方微电子基地设备工艺研究中心有限责任公司 Substrate etching method
CN106873544B (en) * 2015-12-14 2020-02-14 北京北方华创微电子装备有限公司 Process control method and system and semiconductor equipment
CN106935494B (en) * 2015-12-31 2019-08-20 中微半导体设备(上海)股份有限公司 A kind of method of Bosch technique etching silicon chip
CN108231621A (en) * 2016-12-15 2018-06-29 中微半导体设备(上海)有限公司 The processing unit and method of a kind of plasma etch process
CN110627015B (en) * 2018-06-22 2023-01-17 北京北方华创微电子装备有限公司 Method, system and storage medium for monitoring process parameters of Bosch process
CN110211870B (en) * 2019-06-18 2021-08-13 北京北方华创微电子装备有限公司 Wafer thinning method
CN115881533A (en) * 2021-08-12 2023-03-31 江苏鲁汶仪器股份有限公司 Etching method
CN116371164A (en) * 2023-05-12 2023-07-04 安徽臻环生态科技有限公司 Low-temperature plasma waste gas treatment system and method

Family Cites Families (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE4241045C1 (en) * 1992-12-05 1994-05-26 Bosch Gmbh Robert Process for anisotropic etching of silicon
JP4812512B2 (en) * 2006-05-19 2011-11-09 オンセミコンダクター・トレーディング・リミテッド Manufacturing method of semiconductor device
CN101988197B (en) * 2009-08-03 2013-06-05 北京北方微电子基地设备工艺研究中心有限责任公司 Deep silicon etching method, plasma processing method and system

Also Published As

Publication number Publication date
CN103117203B (en) 2016-08-10
TW201445629A (en) 2014-12-01
CN103117203A (en) 2013-05-22

Similar Documents

Publication Publication Date Title
TWI541893B (en) Process apparatus and method for plasma etching process
US10515782B2 (en) Atomic layer etching with pulsed plasmas
KR102038617B1 (en) Plasma treatment method and plasma treatment device
US8877080B2 (en) Using vacuum ultra-violet (VUV) data in microwave sources
JP5668254B2 (en) Control of plasma generation by specific gravity induced gas diffusion separation (GIGDS) method
JP4714166B2 (en) Substrate plasma processing apparatus and plasma processing method
JP4143684B2 (en) Plasma doping method and apparatus
KR101117375B1 (en) Plasma doping method and plasma doping apparatus
TWI603368B (en) Plasma processing apparatus and plasma processing method
KR101191698B1 (en) Methods and apparatus for igniting a low pressure plasma
US20090159104A1 (en) Method and apparatus for chamber cleaning by in-situ plasma excitation
CN105702572A (en) Plasma etching method
TWI634599B (en) Processing device and method for plasma etching process
US10008369B2 (en) Cyclical plasma etching
US20180254191A1 (en) Process for performing self-limited etching of organic materials
KR20210020808A (en) Substrate processing method, pressure control apparatus and substrate processing system
WO2004100246A1 (en) Method for cleaning semiconductor processing apparatus
US11705339B2 (en) Etching method and plasma processing apparatus
JPH10335308A (en) Plasma treating method
KR20200116055A (en) Plasma etching apparatus and plasma etching method