TWI525696B - Method for providing a process indicator for an etching chamber, and method for forming semiconductor features - Google Patents

Method for providing a process indicator for an etching chamber, and method for forming semiconductor features Download PDF

Info

Publication number
TWI525696B
TWI525696B TW099144001A TW99144001A TWI525696B TW I525696 B TWI525696 B TW I525696B TW 099144001 A TW099144001 A TW 099144001A TW 99144001 A TW99144001 A TW 99144001A TW I525696 B TWI525696 B TW I525696B
Authority
TW
Taiwan
Prior art keywords
etch
process index
layer
chamber
wafer
Prior art date
Application number
TW099144001A
Other languages
Chinese (zh)
Other versions
TW201131646A (en
Inventor
凱倫 賈考柏思 凱那瑞克
喬許 路克
尼可拉斯 韋伯
Original Assignee
蘭姆研究公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US12/638,697 external-priority patent/US8206996B2/en
Application filed by 蘭姆研究公司 filed Critical 蘭姆研究公司
Publication of TW201131646A publication Critical patent/TW201131646A/en
Application granted granted Critical
Publication of TWI525696B publication Critical patent/TWI525696B/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/50Mask blanks not covered by G03F1/20 - G03F1/34; Preparation thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy

Description

提供蝕刻腔室之製程指標的方法及形成半導體特徵部的方法 Method for providing process index of etching chamber and method for forming semiconductor feature portion 【交叉參考之相關申請案】[Cross-reference related application]

本案為下列美國專利申請案之部分連續案(CIP):美國專利申請案,流水號為第11/392,356號,發明名稱為「Process for Wafer Temperature Verification in Etch Tools」,發明人為Kanarik等,申請日為2006年3月28日,此案在此以參照方式併入本文,以供任何用途。This case is a partial continuous case (CIP) of the following US patent application: US patent application, the serial number is No. 11/392,356, the invention name is "Process for Wafer Temperature Verification in Etch Tools", the inventor is Kanarik, etc., the application date This is incorporated herein by reference for all purposes.

本發明係關於半導體元件的形成,詳細而言,其特別關於為了半導體元件之形成提供蝕刻工具之製程指標。The present invention relates to the formation of semiconductor devices, and in particular, to the process specifications for providing an etch tool for the formation of semiconductor devices.

在半導體晶圓處理期間,藉由熟知的圖案化與蝕刻製程,將半導體元件的特徵部定義在晶圓上。在這些製程中,將光阻(PR)材料沉積在晶圓上,接著將此光阻材料曝露在經由初縮遮罩濾過的光之中。之後,對晶圓進行蝕刻,以移除不再由光阻材料保護之區域下方的材料,藉此在晶圓上定義所欲之特徵部。半導體製程中,一般對特徵部所測之特徵為臨界尺寸(CD)、蝕刻率、負載效應、輪廓、選擇率、弓形度(bow)等。尚有更多「規格」,在製造時甚為重要。CD為所考量之一種重要參數,部分原因在於其定義了特徵部節點。During semiconductor wafer processing, features of the semiconductor component are defined on the wafer by well-known patterning and etching processes. In these processes, a photoresist (PR) material is deposited on the wafer and the photoresist is then exposed to light filtered through the primary mask. Thereafter, the wafer is etched to remove material below the area that is no longer protected by the photoresist material, thereby defining the desired features on the wafer. In the semiconductor manufacturing process, the characteristics generally measured for the feature portion are critical dimension (CD), etching rate, load effect, profile, selectivity, bow, and the like. There are still more "specifications" that are important at the time of manufacture. CD is an important parameter to consider, in part because it defines a feature node.

對於在同一台半導體處理裝置中所處理不同晶圓,以及在相同的半導體處理裝置之間,或甚至不同類型之半導體處理裝置之間,可重複性在半導體產業中已成為最重要的幾個相關議題之一。僅進行一次特徵部蝕刻是不夠的,還必須在整片晶圓上再生,且在任何時間對每個工具上的每片晶圓都可再生。可重複性之所以重要,乃因各晶圓上有非常多(如好幾兆個)蝕刻的電晶體,且每天有相當多的晶圓接受處理。可重複性包括均勻性(晶圓內)、晶圓與晶圓之間、批次與批次之間、腔室與腔室之間、超時(over-time),甚至包括具不同硬體之兩腔室之間的製程轉移。要達到此均勻性與規律的可重複性,在產業上是一大問題。Reproducibility has become one of the most important in the semiconductor industry for different wafers processed in the same semiconductor processing device, and between the same semiconductor processing devices, or even different types of semiconductor processing devices. One of the topics. Performing only one feature etch is not sufficient, it must be regenerated on the entire wafer, and each wafer on each tool can be regenerated at any time. Repeatability is important because there are very many (eg, several mega) etched transistors on each wafer, and quite a few wafers are processed each day. Repeatability includes uniformity (in-wafer), wafer-to-wafer, batch-to-batch, chamber-to-chamber, over-time, and even different hardware Process transfer between the two chambers. To achieve this uniformity and regularity of repeatability, it is a big problem in the industry.

為了試圖達到此規律性,一種方法是試圖在工具或超時之間盡量能夠讓工具有校準,且盡量讓工具能夠相同。實務上,此方法有助益,但未能完全解決問題。例如,工具可能被驗證為已經校準過,但若腔室壁上有髒污,或有零件損耗,則結果會是不均勻的。可用全區(blanket)蝕刻測試來試著了解電漿運作得如何,但這些全區測試通常不會與圖案化晶圓結果產生關聯性,如CD,而能夠預測CD是很重要的。在蝕刻昂貴的圖案化晶圓之前,知道腔室是否已準備好來正確地蝕刻昂貴的圖案化晶圓,是很重要的。In an attempt to achieve this regularity, one approach is to try to get the tool calibrated between tools or timeouts, and try to make the tools the same. In practice, this method is helpful, but it does not completely solve the problem. For example, the tool may be verified as having been calibrated, but if the chamber wall is dirty or has component wear, the result will be uneven. A blanket etch test can be used to try to understand how the plasma works, but these full-area tests typically do not correlate with patterned wafer results, such as CDs, and it is important to be able to predict CDs. Before etching expensive patterned wafers, it is important to know if the chamber is ready to properly etch expensive patterned wafers.

為了達成前述目標,且根據本發明之目的,提供一種提供蝕刻腔室之製程指標的方法。將具有全區蝕刻層的晶圓置入蝕刻腔室內。在全區蝕刻層上執行全區蝕刻。在完成執行全區蝕刻之後,將毯覆式沉積層沉積在全區蝕刻層上。測量全區蝕刻層的厚度及毯覆式沉積層的厚度。所測得之厚度係用來作為製程指標。In order to achieve the foregoing objectives, and in accordance with the purpose of the present invention, a method of providing a process index for an etch chamber is provided. A wafer having a full area etch layer is placed into the etch chamber. A full area etch is performed on the full area etch layer. After the completion of the full area etch, a blanket deposited layer is deposited over the full area etch layer. The thickness of the etched layer of the entire region and the thickness of the blanket deposited layer were measured. The measured thickness is used as a process index.

在本發明另一實施態樣中,提供一種形成半導體特徵部的方法。將具有全區蝕刻層的晶圓置入蝕刻腔室內。在全區蝕刻層上執行全區蝕刻。在完成執行全區蝕刻之後,在全區蝕刻層上沉積毯覆式沉積層。測量全區蝕刻層的厚度及毯覆式沉積層的厚度。所測得之厚度係用來作為製程指標。若製程指標落在閾值之外,便對蝕刻腔室進行調整。重複前述步驟,直到製程指標落入閾值之內。在製程指標值落入閾值內之後,將圖案化晶圓置入蝕刻腔室內。對圖案化晶圓進行蝕刻,以形成半導體特徵部。In another embodiment of the invention, a method of forming a semiconductor feature is provided. A wafer having a full area etch layer is placed into the etch chamber. A full area etch is performed on the full area etch layer. After the completion of the full area etch, a blanket deposited layer is deposited over the full area etch layer. The thickness of the etched layer of the entire region and the thickness of the blanket deposited layer were measured. The measured thickness is used as a process index. If the process index falls outside the threshold, the etch chamber is adjusted. Repeat the previous steps until the process metric falls within the threshold. After the process index value falls within the threshold, the patterned wafer is placed into the etch chamber. The patterned wafer is etched to form a semiconductor feature.

在本發明另一實施態樣中,提供一種提供蝕刻腔室之製程指標的方法。將具有全區蝕刻層的第一晶圓置入蝕刻腔室中。在全區蝕刻層上執行全區蝕刻。將第一晶圓從蝕刻腔室移出。將第二晶圓置入蝕刻腔室。將毯覆式沉積層沉積在第二晶圓上。測量第一晶圓之全區蝕刻層的厚度。測量第二晶圓之毯覆式沉積層的厚度。所測之厚度係用來作為製程指標。In another embodiment of the invention, a method of providing a process index for an etch chamber is provided. A first wafer having a full area etch layer is placed into the etch chamber. A full area etch is performed on the full area etch layer. The first wafer is removed from the etch chamber. The second wafer is placed into the etch chamber. A blanket deposited layer is deposited on the second wafer. The thickness of the entire area of the etch layer of the first wafer is measured. The thickness of the blanket deposited layer of the second wafer is measured. The measured thickness is used as a process index.

本發明之上述及其他技術特徵,將於以下實施方式中,參照隨附圖式,更進一步地詳細說明。The above and other technical features of the present invention will be further described in detail in the following embodiments with reference to the accompanying drawings.

茲藉由繪示在隨附圖式中之本發明的數個較佳實施例,詳細說明本發明。下述中,為了使本發明更容易讓人全盤了解,將利用許多特定的細節來說明,但本技術領域具有通常知識者應能了解到,這些特定細節,不論是其全部或一部分,在實施本發明時,是可不需要的。而在其他情況下,將不再細說熟知的製程步驟及/或結構,以免不必要地混淆本發明。The invention will be described in detail by way of the preferred embodiments of the invention illustrated in the drawings. In the following, in order to make the invention more versatile, it will be explained with a number of specific details, but those of ordinary skill in the art should understand that these specific details, whether in whole or in part, are implemented. In the case of the present invention, it may not be required. In other instances, well-known process steps and/or structures are not described in detail to avoid unnecessarily obscuring the invention.

在半導體元件的製造中,較理想的是,在不同蝕刻裝置之間,或在同一台蝕刻裝置但在不同時間之下,將臨界尺寸(CD)、蝕刻率及其他蝕刻參數維持一致。In the fabrication of semiconductor components, it is desirable to maintain critical dimensions (CD), etch rates, and other etch parameters consistent between different etch devices, or at the same etch device but at different times.

為了幫助理解,圖1是本發明一實施例所用之製程的高階流程圖。將無圖案晶圓(blanket wafer)放在蝕刻腔室中(步驟104)。圖2A是置於蝕刻腔室中之無圖案晶圓204的剖面圖。無圖案晶圓204具有全區蝕刻(blanket etch)層208,全區蝕刻層208是上晶圓表面上的一均勻層。全區蝕刻層208可以是形成在晶圓表面上的氧化矽層。其他實施例可提供具有全區蝕刻層的空白矽晶圓,而此全區蝕刻層可以是任何可蝕刻的材料,如氮化矽、聚矽、TiN及如存在於PR遮罩材料中的有機化合物。全區蝕刻層較佳為晶圓上的一均勻層。To aid understanding, Figure 1 is a high level flow diagram of a process for use in an embodiment of the present invention. A blanket wafer is placed in the etch chamber (step 104). 2A is a cross-sectional view of a patterned wafer 204 placed in an etch chamber. The patternless wafer 204 has a blanket etch layer 208 which is a uniform layer on the surface of the upper wafer. The full area etch layer 208 can be a ruthenium oxide layer formed on the surface of the wafer. Other embodiments may provide a blank germanium wafer having a full area etch layer, which may be any etchable material such as tantalum nitride, polyfluorene, TiN, and organic as present in the PR mask material. Compound. The full area etch layer is preferably a uniform layer on the wafer.

圖4是可用於本發明一實施例之蝕刻腔室400的概略圖。蝕刻腔室400包含侷限環402、上電極404、下電極408、氣體源410及排氣泵420。氣體源410可包含蝕刻氣體源及沉積氣體源。在電漿處理腔室400內,將晶圓204設置在下電極408上。下電極408含有用以支撐晶圓204的適當基板夾頭機構(如靜電、機械夾箝等)。反應器上部428含有設於正對下電極408的上電極404。上電極404、下電極408及侷限環402定義出受限電漿容積440。利用氣體源410將氣體供應至受限電漿容積440,並利用排氣泵420使氣體穿過侷限環402與排出埠而從受限電漿容積440排出。第一RF源444電性連接到上電極404。第二RF源448電性連接到下電極408。腔室壁452包圍侷限環402、上電極404及下電極408。第一RF源444及第二RF源448兩者可包含27 MHz電源、60 MHz電源及2 MHz電源。亦有可能有將RF電源連接到電極的不同組合。在本發明一較佳實施例中,27 MHz、60 MHz及2 MHz的電源構成了連接到下電極的第二RF電源448,而上電極接地。溫度控制裝置470連接到下電極408,以控制下電極的溫度。控制器435以可控制的方式連接到RF源444、448、排氣泵420、溫度控制裝置470及氣體源410。此裝置能夠調變各階段之腔室壓力、氣體流動、氣體組合、RF功率、靜電夾頭冷卻及時間長。4 is a schematic diagram of an etch chamber 400 that can be used in an embodiment of the present invention. The etch chamber 400 includes a confinement ring 402, an upper electrode 404, a lower electrode 408, a gas source 410, and an exhaust pump 420. Gas source 410 can include an etch gas source and a deposition gas source. Within the plasma processing chamber 400, the wafer 204 is disposed on the lower electrode 408. Lower electrode 408 contains a suitable substrate chuck mechanism (eg, static electricity, mechanical clamps, etc.) to support wafer 204. The reactor upper portion 428 includes an upper electrode 404 disposed opposite the lower electrode 408. Upper electrode 404, lower electrode 408, and confinement ring 402 define a restricted plasma volume 440. Gas is supplied to the restricted plasma volume 440 using a gas source 410 and exhausted from the restricted plasma volume 440 by the exhaust pump 420 passing the gas through the confinement ring 402 and the discharge port. The first RF source 444 is electrically connected to the upper electrode 404. The second RF source 448 is electrically coupled to the lower electrode 408. The chamber wall 452 surrounds the confinement ring 402, the upper electrode 404, and the lower electrode 408. Both the first RF source 444 and the second RF source 448 can include a 27 MHz power supply, a 60 MHz power supply, and a 2 MHz power supply. It is also possible to have different combinations of RF power sources connected to the electrodes. In a preferred embodiment of the invention, the 27 MHz, 60 MHz, and 2 MHz power supplies form a second RF power source 448 that is coupled to the lower electrode and the upper electrode is grounded. Temperature control device 470 is coupled to lower electrode 408 to control the temperature of the lower electrode. Controller 435 is coupled to RF sources 444, 448, exhaust pump 420, temperature control device 470, and gas source 410 in a controlled manner. The device is capable of modulating chamber pressure, gas flow, gas combination, RF power, electrostatic chuck cooling, and length of time at various stages.

圖5A及圖5B繪示電腦系統500,其適合用來實施用於本發明實施例的控制器435。圖5A表示電腦系統的一種可行實體型態。當然,電腦系統可具有許多實體型態,其範圍包括積體電路、印刷電路板、小型手持裝置到超大型超級電腦。電腦系統500包括監視器502、顯示器504、機殼506、碟片驅動機508、鍵盤510及滑鼠512。碟片514是用於傳輸資料到電腦系統500及自電腦系統500傳輸資料出來的電腦可讀媒體。5A and 5B illustrate a computer system 500 that is suitable for implementing a controller 435 for use with embodiments of the present invention. Figure 5A shows a possible physical form of a computer system. Of course, computer systems can have many physical types, ranging from integrated circuits, printed circuit boards, small handheld devices to very large supercomputers. The computer system 500 includes a monitor 502, a display 504, a housing 506, a disc drive 508, a keyboard 510, and a mouse 512. The disc 514 is a computer readable medium for transmitting data to and from the computer system 500.

圖5B是電腦系統500的方塊圖範例。連到系統匯流排520是各式各樣的次系統。一或更多個處理器522(亦稱中央處理單元或CPU)耦合到包括記憶體524的儲存裝置。記憶體524包括隨機存取記憶體(RAM)及唯讀記憶體(ROM)。本領域之人皆了解,ROM的作用為將資料與指令單向傳輸到CPU,而RAM一般用來將資料與指令以雙向方式傳輸。這兩種記憶體皆可包括下述任何適當的電腦可讀媒體。固定式碟片526亦雙向耦合到CPU 522;其提供額外的資料儲存空間,且亦可包括下述任何電腦可讀媒體。固定式碟片526可用以儲存程式、資料等,且其通常是比主儲存裝置慢的次要儲存媒體(如硬碟)。吾人將了解到,存在固定式碟片526中的資訊,在適當的情況下,可以標準方式作為虛擬記憶體而被包含在記憶體524中。可移除式碟片514可具有下述電腦可讀媒體的型態。FIG. 5B is an example of a block diagram of computer system 500. Connecting to system bus 520 is a wide variety of subsystems. One or more processors 522 (also referred to as central processing units or CPUs) are coupled to the storage device including memory 524. The memory 524 includes random access memory (RAM) and read only memory (ROM). It is known in the art that the role of ROM is to transfer data and instructions to the CPU in one direction, while RAM is generally used to transmit data and instructions in both directions. Both of these memories can include any suitable computer readable medium described below. Fixed disc 526 is also coupled bi-directionally to CPU 522; it provides additional data storage space and can also include any of the computer readable media described below. The stationary disc 526 can be used to store programs, data, etc., and is typically a secondary storage medium (such as a hard drive) that is slower than the primary storage device. As will be appreciated, the information present in the stationary disc 526 can be included in the memory 524 as a virtual memory in a standard manner, where appropriate. The removable disc 514 can have the form of a computer readable medium described below.

CPU 522亦耦合到各種輸入/輸出裝置,如顯示器504、鍵盤510、滑鼠512、揚聲器530。一般而言,輸入/輸出裝置可以式以下任一者:視訊顯示器、軌跡球、滑鼠、鍵盤、麥克風、觸控顯示器、轉換讀卡機、磁帶或紙帶讀取機、平板裝置(tablet)、觸控筆、聲音或手寫辨識器、生物特徵讀取機或其他電腦。CPU 522選擇性地可耦合到使用網路介面540的另一電腦或通訊網路。利用此網路介面,吾人應知道,在執行上述方法步驟時,CPU可從網路接收資訊,或可將資訊輸出到網路。再者,本發明之方法實施例可在CPU 522上單獨執行,或連同分擔一部分處理之遠端CPU藉由網路(如網際網路)執行。CPU 522 is also coupled to various input/output devices such as display 504, keyboard 510, mouse 512, and speaker 530. In general, the input/output device can be any of the following: a video display, a trackball, a mouse, a keyboard, a microphone, a touch display, a conversion card reader, a tape or tape reader, a tablet device. , stylus, sound or handwriting recognizer, biometric reader or other computer. CPU 522 is selectively coupleable to another computer or communication network that uses network interface 540. Using this web interface, we should know that when performing the above method steps, the CPU can receive information from the network or can output information to the network. Moreover, embodiments of the method of the present invention may be performed separately on the CPU 522, or by a remote CPU sharing a portion of the processing via a network (e.g., the Internet).

此外,本發明實施例更關於具有電腦可讀媒體的電腦儲存產品,其儲存有用以執行各種電腦實施作業的電腦程式碼。此媒體和電腦程式碼可以是那些為了本發明之目的而特別設計與建構的,或其可以是電腦軟體技術領域中具有通常知識者熟知且能取得的。舉例而言,有形的電腦可讀媒體包括但不限於:磁性媒體,如硬碟、軟碟、磁帶;光學媒體,如CD-ROM、全像裝置;光磁媒體,如軟磁光(floptical)碟;及特別用來儲存、執行程式碼的硬體裝置,如應用專屬積體電路(ASIC)、可編碼邏輯裝置(PLD)及ROM與RAM裝置。電腦程式碼的範例包括如由編譯器產生的機器碼,以及含有藉由電腦利用直譯器執行之較高階程式碼的檔案。電腦可讀媒體亦可以是藉由體現在載波中之電腦資料信號所傳輸、代表可由處理器執行之一序列指令的電腦程式碼。Moreover, embodiments of the present invention are more directed to computer storage products having computer readable media storing computer program code for performing various computer implemented tasks. The media and computer code may be specially designed and constructed for the purposes of the present invention, or it may be well known and available to those of ordinary skill in the art of computer software. For example, tangible computer readable media include, but are not limited to, magnetic media such as hard disks, floppy disks, magnetic tapes; optical media such as CD-ROMs, holographic devices; optical magnetic media such as floptical disks And hardware devices that are used to store and execute code, such as application-specific integrated circuits (ASICs), coded logic devices (PLDs), and ROM and RAM devices. Examples of computer code include machine code, such as produced by a compiler, and files containing higher-level code that is executed by a computer using an interpreter. The computer readable medium can also be a computer code transmitted by a computer data signal embodied in a carrier wave, representing a sequence of instructions executable by the processor.

利用蝕刻腔室400來對全區蝕刻層208進行全區蝕刻(步驟108)。圖2B是晶圓204與全區蝕刻層208在全區蝕刻後的剖面圖。此例中,晶圓的外緣蝕刻得比內部快。在其他實施例中,外緣可能會比內部蝕刻得更慢,或這兩區域以相同速率蝕刻,或可形成其他輪廓。The full area etch layer 208 is etched by the etch chamber 400 (step 108). 2B is a cross-sectional view of the wafer 204 and the full area etch layer 208 after etching in the entire region. In this case, the outer edge of the wafer is etched faster than the interior. In other embodiments, the outer edges may be etched slower than the inner, or the two regions may be etched at the same rate, or other contours may be formed.

全區蝕刻的範例,提供流量為200 sccm的CF4蝕刻氣體。提供27MHz、800瓦的RF功率,以賦能蝕刻氣體。壓力維持在50 mTorr。所產生的電漿持續120 s。An example of a full area etch provides a CF 4 etch gas at a flow rate of 200 sccm. An RF power of 27 MHz, 800 watts is provided to energize the etching gas. The pressure is maintained at 50 mTorr. The resulting plasma lasts for 120 s.

將毯覆式沉積(blanket deposition)層沉積在全區蝕刻層上(步驟112)。圖2C是晶圓204與全區蝕刻層208在毯覆式沉積層212沉積之後的剖面圖。A blanket deposition layer is deposited over the full area etch layer (step 112). 2C is a cross-sectional view of wafer 204 and full-area etch layer 208 after deposition of blanket deposition layer 212.

將該層沉積在晶圓上的一範例配方如下述:提供18 sccm C4F8以及300 sccm Ar的沉積蝕刻階段氣體。將一穿過靜電夾頭的冷卻系統設成使靜電夾頭保持20℃的溫度。腔室壓力設為180 mTorr。用27 MHz的RF源提供300W,且用2 MHz電源提供300W。在此範例中,沉積進行120秒。此配方在晶圓上形成聚合物層。An exemplary formulation for depositing this layer on a wafer is as follows: a deposition etch stage gas of 18 sccm C 4 F 8 and 300 sccm Ar is provided. A cooling system that passes through the electrostatic chuck is set to maintain the electrostatic chuck at a temperature of 20 °C. The chamber pressure was set to 180 mTorr. 300W is supplied with a 27 MHz RF source and 300W with a 2 MHz power supply. In this example, the deposition was carried out for 120 seconds. This formulation forms a polymer layer on the wafer.

接著測量全區蝕刻層208的厚度及毯覆式沉積層212的厚度(步驟116)。可用KLA-Tencor CorporationTM製造、販售的橢圓偏光儀裝置來測量全區蝕刻層208的厚度及毯覆式沉積層212的厚度。雙振盪器的模型對氧化矽光學功能提供足夠差異,以在矽晶圓上測量聚合物層厚度及下方氧化矽層厚度兩者。可用其他裝置與方法來測量全區蝕刻層208的厚度及毯覆式沉積層212的厚度。一般而言,此類量測裝置需要在測量全區蝕刻層208的厚度及毯覆式沉積層212的厚度之前,將晶圓從蝕刻腔室移出,並將其放置在量測工具中。可在晶圓上的一位置或晶圓上的複數個不同位置測量全區蝕刻層208的厚度及毯覆式沉積層212的厚度。在較佳實施例中,會在晶圓上至少49個地方測量晶圓。The thickness of the full area etch layer 208 and the thickness of the blanket deposited layer 212 are then measured (step 116). Available manufacturing KLA-Tencor Corporation TM, sold means of ellipsometry to measure the thickness of the region of the etch layer 208 and the thickness of blanket layer 212 deposited. The dual oscillator model provides sufficient differentiation for the yttria optical function to measure both the polymer layer thickness and the underlying yttrium oxide layer thickness on the tantalum wafer. Other devices and methods may be used to measure the thickness of the full area etch layer 208 and the thickness of the blanket deposited layer 212. In general, such a measurement device requires the wafer to be removed from the etch chamber and placed in the metrology tool prior to measuring the thickness of the full-area etch layer 208 and the thickness of the blanket deposition layer 212. The thickness of the full area etch layer 208 and the thickness of the blanket deposited layer 212 can be measured at a location on the wafer or at a plurality of different locations on the wafer. In a preferred embodiment, the wafer is measured at at least 49 locations on the wafer.

全區蝕刻層208的厚度及毯覆式沉積層212的厚度係用來決定一製程指標(步驟120)。可用各種方法來決定製程指標。在一範例中,已測量之全區蝕刻層208的厚度及毯覆式沉積層212的厚度可與標準測量之全區蝕刻層的厚度及毯覆式沉積層的厚度做比較。晶圓上均勻性的差異,會透漏出許多關於腔室狀態(即其是否已準備好來處理圖案化晶圓)及是否有錯誤兩者的資訊。例如,若腔室一側的螺栓沒鎖正確,此可能只會在腔室該側顯示而已。The thickness of the full area etch layer 208 and the thickness of the blanket deposited layer 212 are used to determine a process index (step 120). Various methods can be used to determine process specifications. In one example, the thickness of the measured full area etch layer 208 and the thickness of the blanket deposited layer 212 can be compared to the thickness of the etch layer of the full area as measured by the standard and the thickness of the blanket deposited layer. The difference in uniformity across the wafer reveals a lot of information about the chamber state (ie, whether it is ready to process the patterned wafer) and whether there are errors. For example, if the bolt on one side of the chamber is not locked correctly, this may only be displayed on the side of the chamber.

在此範例中,判定製程指標是否落在閾值之外(步驟124)。若製程指標在閾值之外,其可用來判定蝕刻腔室錯誤。可用更複雜的演算法來比較厚度,以決定製程指標。在此範例中,若製程指標在閾值之外,便根據製程指標來調整蝕刻腔室(步驟128),製程並回到將無圖案晶圓放置於蝕刻腔室內的步驟104。In this example, it is determined if the process metric is outside the threshold (step 124). If the process specification is outside the threshold, it can be used to determine the etch chamber error. More complex algorithms can be used to compare thicknesses to determine process specifications. In this example, if the process index is outside the threshold, the etch chamber is adjusted according to the process index (step 128), and the process returns to step 104 where the unpatterned wafer is placed in the etch chamber.

若製程指標不在閾值之外,則蝕刻腔室實質上符合標準(in tune)而已準備好來處理。接著將遮罩晶圓放入蝕刻機中(步驟132)。圖3A是晶圓304的剖面圖,晶圓304上設有蝕刻層308,蝕刻層308上設有蝕刻遮罩312。可將各種數量的中間層設於晶圓304、蝕刻層308、蝕刻遮罩312之間。如圖3B所示,在蝕刻機中,透過遮罩在蝕刻層308中蝕刻出特徵部316(步驟136)。If the process specification is not outside the threshold, the etch chamber is substantially in compliance with the standard and is ready for processing. The mask wafer is then placed in an etch machine (step 132). 3A is a cross-sectional view of wafer 304 with an etch layer 308 disposed thereon and an etch mask 312 disposed on etch layer 308. Various numbers of intermediate layers may be disposed between the wafer 304, the etch layer 308, and the etch mask 312. As shown in FIG. 3B, in the etching machine, the features 316 are etched through the mask in the etch layer 308 (step 136).

在一範例中,若一系統平行使用五台蝕刻機,可在各蝕刻機中使用本發明方法。本發明方法可用來在將五台蝕刻機用來進行圖案化蝕刻之前,將每一台調整到一標準。調整會使五台蝕刻機提供更均勻的元件。在此均勻性是定義為在不同裝置間提供均勻結果,而這些裝置可使用不同或相同的製程。In one example, if a system uses five etchers in parallel, the method of the invention can be used in each etch machine. The method of the present invention can be used to adjust each set to a standard before five etchers are used for pattern etching. Adjustments will allow the five etchers to provide a more uniform component. Uniformity is defined herein as providing uniform results between different devices, and these devices may use different or the same process.

在本說明書及申請專利範圍中,調整是定義為變更配方或變更蝕刻腔室。調整的目標在於改善製程指標。In the context of this specification and the patent application, the adjustment is defined as changing the recipe or changing the etching chamber. The goal of the adjustment is to improve process specifications.

蝕刻腔室可以是任何蝕刻腔室,如用以蝕刻介電層的介電質蝕刻腔室,或用以蝕刻導電層或矽層的導電體蝕刻腔室。較佳實施為,蝕刻腔室是介電質蝕刻腔室。在另一實施例中,蝕刻腔室是運用另外蝕刻與沉積配方的導電體蝕刻腔室。The etch chamber can be any etch chamber, such as a dielectric etch chamber for etching a dielectric layer, or an etch chamber for etching a conductive or germanium layer. Preferably, the etch chamber is a dielectric etch chamber. In another embodiment, the etch chamber is an electrical conductor etch chamber that utilizes additional etching and deposition recipes.

本發明一實施例中,本發明提供一種調整不同種類之蝕刻腔室的方法。例如,美國加州Fremont的Lam Research Corp.所提供之標準介電質蝕刻機,其可調整成Lam Research Corp.的一升級蝕刻機,以使不同蝕刻能提供均勻的蝕刻。In one embodiment of the invention, the invention provides a method of adjusting different types of etching chambers. For example, a standard dielectric etch machine from Lam Research Corp. of Fremont, Calif., can be tuned to an upgrade etch machine from Lam Research Corp. to provide uniform etching for different etches.

在另一實施例中,本發明係定期應用在同一個蝕刻腔室上,如在每次清潔腔室之後,或因有任何理由而打開腔室的情況時。蝕刻腔室隨著時間會變得不符合標準(out of tune),或是,在特定事件之後,如在清潔製程之後,腔室可能需要重新調整。無論腔室是否定期打開,亦可能會發生變成不符合標準。例如,在許多RF小時之後,某些零件的厚度可能會改變,其電氣特性會改變,使蝕刻腔室與其之前的運作狀況不同了。本發明方法在蝕刻機不符合標準時提供測試與調整。隨時間調整同一個腔室,或一起調整複數個近乎相同的腔室,叫做「腔室匹配」。腔室匹配可在工具之間匹配、在位址(site)之間匹配、或在批次之間匹配。In another embodiment, the invention is applied periodically to the same etch chamber, such as after each cleaning of the chamber, or for any reason to open the chamber. The etch chamber may become out of tune over time, or the chamber may need to be re-adjusted after a particular event, such as after a cleaning process. Whether or not the chamber is periodically opened may also become non-compliant. For example, after many RF hours, the thickness of some parts may change and their electrical characteristics change, making the etch chamber different from its previous operating conditions. The method of the present invention provides testing and adjustment when the etching machine does not meet the standards. Adjusting the same chamber over time, or adjusting a plurality of nearly identical chambers together, is called "chamber matching." Chamber matching can be matched between tools, matched between sites, or matched between batches.

是否有腔室匹配,或腔室與各種次系統是否正常運作,亦即輸出功率是否在運作,以及腔室與次系統是否有正確校準,對於以上這些的判定,叫做「製程校準」。製程指標對錯誤偵測或製程校準提供一表示。Whether there is a chamber matching, or whether the chamber and various subsystems are functioning properly, that is, whether the output power is operating, and whether the chamber and the subsystem are properly calibrated, the determination of the above is called "process calibration". Process specifications provide an indication of error detection or process calibration.

CD對於製程中的沉積量是非常敏感的,因為其位於側壁上,離子效應的影響小很多。正常蝕刻測試對沉積的測量能力並不是很好,因為其是在蝕刻,但沉積測試是對其直接測量,因此是較佳的CD指標。另一方面,對於高度依賴離子(垂直蝕刻)的特徵部屬性而言,如蝕刻率,蝕刻測試是較佳的指標。因此,對於測量沉積與蝕刻這兩屬性,該二層彼此非常互補。The CD is very sensitive to the amount of deposition in the process because it is located on the sidewall and the effect of the ion effect is much less. The normal etch test is not very good at measuring the deposition because it is etched, but the deposition test is a direct measurement of it and is therefore a preferred CD indicator. On the other hand, for feature properties that are highly ion dependent (vertical etch), such as etch rate, the etch test is a better indicator. Therefore, for measuring the properties of deposition and etching, the two layers are very complementary to each other.

在一實施例中,利用單一位置來測量全區蝕刻層的厚度及毯覆式沉積層的厚度。在另一實施例中,在至少49個位置上測量全區蝕刻層的厚度及毯覆式沉積層的厚度。圖6是晶圓604的頂部略圖。對晶圓指定四十九個極座標圖點(polar plot point) 608及額外對角圖點(diagonal plot point),以形成測試圖案。In one embodiment, the thickness of the full area etch layer and the thickness of the blanket deposited layer are measured using a single location. In another embodiment, the thickness of the full area etch layer and the thickness of the blanket deposited layer are measured at at least 49 locations. FIG. 6 is a top plan view of wafer 604. Forty-nine polar plot points 608 and diagonal plot points are assigned to the wafer to form a test pattern.

圖7是利用本發明毯覆式沉積層之四十九個極座標圖點測試圖案來測量製程指標的測試結果影像。如可見於圖,所產生的製程指標呈徑向非對稱。圖8是利用本發明全區蝕刻層之四十九個極座標圖點測試圖案來測量製程指標的測試結果影像。如可見於圖,製程指標此層呈徑向對稱。測試結果是本發明毯覆式沉積層為非對稱,加上本發明全區蝕刻層為對稱,對於這樣的組合,意味著腔室有某一特定問題。圖9上電極溫度圖,該圖表示在此例中,上電極溫度為非對稱。上電極溫度的非對稱性影響了圖案化晶圓的CD均勻性。僅利用全區蝕刻的傳統單層測試,會導致如圖8所示之對稱蝕刻,而在處理昂貴晶圓之前,此測試不會發現非對稱問題。本發明利用全區蝕刻與毯覆式沉積兩者提供了較佳的指標,此會偵測到這種非對稱性質。FIG. 7 is a test result image for measuring a process index by using forty-nine polar plot test patterns of the blanket deposition layer of the present invention. As can be seen in the figure, the resulting process index is radially asymmetric. FIG. 8 is a test result image for measuring a process index by using forty-nine polar plot test patterns of the entire region etch layer of the present invention. As can be seen in the figure, the process index is radially symmetrical. The test results are that the blanket deposition layer of the present invention is asymmetrical, and the etch layer of the entire region of the present invention is symmetrical. For such a combination, it means that the chamber has a certain problem. Fig. 9 is a graph of the upper electrode temperature, which shows that in this example, the temperature of the upper electrode is asymmetrical. The asymmetry of the upper electrode temperature affects the CD uniformity of the patterned wafer. Conventional single-layer testing using only full-area etching results in a symmetric etch as shown in Figure 8, which does not reveal an asymmetry problem until expensive wafers are processed. The present invention provides a better indication of both etched and blanket deposited, which detects this asymmetrical nature.

在本發明另一實施例中,在不同位置所進行之複數個厚度的量測,提供了有關均勻性的空間資訊,其可以是提供空間地圖。此能表示晶圓靠內與靠外的部分是否有不同的蝕刻率,或者是否有非對稱結果。In another embodiment of the invention, the measurement of the plurality of thicknesses performed at different locations provides spatial information about the uniformity, which may be to provide a spatial map. This can indicate whether the inner and outer portions of the wafer have different etch rates or whether there are asymmetric results.

在另一實施例中,可在不同位置進行複數個厚度的量測,接著將不同位置的量測值加以平均,以求得平均厚度。可用求平均的方式、求中位數或眾數的方式、或其他運算來結合複數個厚度,以得到一組合厚度數(combined thicknesses number),此數值可用來決定製程指標。利用如組合厚度數這種單一數值來決定製程指標,如此提供了快速的比對方法。In another embodiment, a plurality of thickness measurements can be taken at different locations, and the measurements at different locations are then averaged to determine the average thickness. The averaging method, the median or mode method, or other operations can be used to combine a plurality of thicknesses to obtain a combined thicknesses number, which can be used to determine process specifications. The use of a single value such as the combined thickness number determines the process index, thus providing a fast alignment method.

本發明提供的製程指標測試,其係利用立即可得之材料,且便宜、快速又準確。需要圖案化晶圓的測試將行不通,因為該些測試不符合這些準則。The process index test provided by the present invention utilizes immediately available materials and is inexpensive, fast and accurate. Testing that requires patterned wafers will not work because these tests do not meet these criteria.

本發明另一實施例可用來「掃描」製程狀態(process regime)。例如,在一提供有具有不均勻CD之受蝕刻晶圓的製程中,若期望知道哪個製程狀態具有較均勻的狀況,本發明一實施例可用比使用圖案化晶圓更快、更便宜的方式掃描大量的製程狀態。Another embodiment of the invention can be used to "scan" process regimes. For example, in a process for providing an etched wafer having a non-uniform CD, an embodiment of the present invention can be used in a faster and cheaper manner than using a patterned wafer if it is desired to know which process state has a more uniform condition. Scan a large number of process states.

本發明其他實施例可用來代替其他因任何理由而需要圖案化晶圓的測試,這些實施例使用更便宜(即無圖案)的晶圓,而取代了較貴的晶圓(即圖案化晶圓)。Other embodiments of the present invention can be used in place of other tests that require patterned wafers for any reason. These embodiments use less expensive (ie, no pattern) wafers instead of the more expensive wafers (ie, patterned wafers). ).

在另一實施例中,毯覆式沉積可在一晶圓上完成,而全區蝕刻可在另一無圖案晶圓上執行,這兩晶圓的測量結果可組合並使用為製程指標。In another embodiment, blanket deposition can be performed on one wafer, while full-area etching can be performed on another unpatterned wafer, and the measurements of the two wafers can be combined and used as process specifications.

雖然本發明已參照較佳實施例及舉例性附圖敘述,惟其應不被認為其係限制性者。熟悉本技藝者在不離開本發明之真正精神與範圍內當可對其形態及特殊具體例之內容做各種修改、省略及變化。The invention has been described with reference to the preferred embodiments and the accompanying drawings, which are not to be considered as limiting. Various modifications, omissions and changes may be made in the form of the invention and the details of the particular embodiments.

104、108、112、116、120、124、128、132、136...步驟104, 108, 112, 116, 120, 124, 128, 132, 136. . . step

204...無圖案晶圓204. . . Patternless wafer

208...全區蝕刻層208. . . Whole area etching layer

212...毯覆式沉積層212. . . Blanket deposit

304...晶圓304. . . Wafer

308...蝕刻層308. . . Etched layer

312...蝕刻遮罩312. . . Etched mask

316...特徵部316. . . Characteristic department

400...蝕刻/電漿處理腔室400. . . Etching/plasma processing chamber

404...上電極404. . . Upper electrode

408...下電極408. . . Lower electrode

410...氣體源410. . . Gas source

420...排氣泵420. . . Exhaust pump

428...反應器上部428. . . Upper part of the reactor

435...控制器435. . . Controller

440...受限電漿容積440. . . Restricted plasma volume

444...第一RF源444. . . First RF source

448...第二RF源448. . . Second RF source

452...腔室壁452. . . Chamber wall

470...溫度控制裝置470. . . Temperature control device

500...電腦系統500. . . computer system

502...監視器502. . . Monitor

504...顯示器504. . . monitor

506...機殼506. . . cabinet

508...碟片驅動機508. . . Disc drive

510...鍵盤510. . . keyboard

512...滑鼠512. . . mouse

514...(可移除式)碟片514. . . (removable) disc

520...匯流排520. . . Busbar

522...處理器522. . . processor

524...記憶體524. . . Memory

526...固定式碟片526. . . Fixed disc

604...晶圓604. . . Wafer

608...極座標圖點608. . . Polar plot point

在隨附圖式中,對本發明之繪示為例示性的,不做任何限制。圖中,類似的元件具有相似的元件符號。The drawings of the present invention are illustrative and not restrictive. In the figures, like elements have like reference numerals.

圖1是本發明實施例所用之將特徵部形成在蝕刻層的高階流程圖。BRIEF DESCRIPTION OF THE DRAWINGS Figure 1 is a high level flow diagram of the formation of features in an etch layer for use in an embodiment of the present invention.

圖2A到2C是圖1所用一製程中所用之無圖案晶圓的概略剖面圖。2A through 2C are schematic cross-sectional views of the unpatterned wafer used in the process of Fig. 1.

圖3A、3B是圖1所用一製程中所用之遮罩晶圓的概略剖面圖。3A and 3B are schematic cross-sectional views of a mask wafer used in a process used in Fig. 1.

圖4是可用來蝕刻之電漿處理腔室的略圖。Figure 4 is a schematic illustration of a plasma processing chamber that can be used for etching.

圖5A、5B繪示一電腦系統,其適合在本發明實施例中用來實施為控制器。5A, 5B illustrate a computer system suitable for implementation as a controller in an embodiment of the invention.

圖6是具有測試圖案為四十九個極座標圖點與額外對角座標圖點的晶圓的頂部略圖。Figure 6 is a top plan view of a wafer having a test pattern of forty-nine polar plot points and additional diagonal coordinate plot points.

圖7是本發明全區蝕刻只顯示出雙層測試結果中沉積層的影像。Figure 7 is an image of the deposited layer of the present invention showing only the deposited layer in the double layer test results.

圖8是本發明全區蝕刻只顯示出雙層測試結果中蝕刻層的影像。Figure 8 is an image of the etched layer in the full area etch of the present invention showing only the double layer test results.

圖9是上電極溫度圖。Figure 9 is a graph of the upper electrode temperature.

104、108、112、116、120、124、128、132、136‧‧‧步驟 104, 108, 112, 116, 120, 124, 128, 132, 136‧‧ steps

Claims (18)

一種提供蝕刻腔室之製程指標的方法,包含:a)將晶圓置入該蝕刻腔室內,該晶圓具有全區蝕刻層;b)對該全區蝕刻層執行全區蝕刻;c)在完成執行該全區蝕刻的步驟之後,在該全區蝕刻層上沉積毯覆式沉積層;d)測量該全區蝕刻層的厚度及該毯覆式沉積層的厚度;及e)利用所測量之厚度來決定製程指標。 A method of providing a process index for an etch chamber, comprising: a) placing a wafer into the etch chamber, the wafer having a full area etch layer; b) performing a full area etch of the full area etch layer; c) After performing the step of performing the full area etching, depositing a blanket deposition layer on the entire area etching layer; d) measuring the thickness of the entire area etching layer and the thickness of the blanket deposition layer; and e) using the measured The thickness determines the process specification. 如申請專利範圍第1項之提供蝕刻腔室之製程指標的方法,其中,利用所測量之厚度來決定製程指標的步驟提供來結合複數個厚度,以得到一結合厚度數。 The method of providing a process index for an etch chamber according to the first aspect of the patent application, wherein the step of determining the process index by using the measured thickness is provided by combining a plurality of thicknesses to obtain a combined thickness. 如申請專利範圍第1項之提供蝕刻腔室之製程指標的方法,其中,測量該全區蝕刻層的厚度及該毯覆式沉積層的厚度的步驟在複數個不同位置測量複數個厚度,且其中,利用所測量之厚度的步驟包含從在該複數個不同位置所測量之複數個厚度提供空間地圖。 A method of providing a process index for an etch chamber according to claim 1, wherein the step of measuring the thickness of the etched layer of the entire region and the thickness of the blanket deposited layer is performed at a plurality of different locations, and Wherein the step of utilizing the measured thickness comprises providing a spatial map from a plurality of thicknesses measured at the plurality of different locations. 如申請專利範圍第3項之提供蝕刻腔室之製程指標的方法,其中,該利用所測量之厚度來決定製程指標的步驟係將所測量之厚度與一標準進行比較,且更包含調整該蝕刻腔室,並重複步驟a)到e)直到一製程指標值落入一閾值內為止。 The method for providing a process index of an etching chamber according to the third aspect of the patent application, wherein the step of determining the process index by using the measured thickness is to compare the measured thickness with a standard, and further comprises adjusting the etching. The chamber, and repeat steps a) through e) until a process index value falls within a threshold. 如申請專利範圍第4項之提供蝕刻腔室之製程指標的方法,其中,該調整該蝕刻腔室的步驟增加所測量厚度的空間對稱。 A method of providing a process index for an etch chamber, as in claim 4, wherein the step of adjusting the etch chamber increases spatial symmetry of the measured thickness. 如申請專利範圍第5項之提供蝕刻腔室之製程指標的方法,更包含:在該製程指標值落入該閾值內之後,將一圖案化晶圓置入該蝕 刻腔室內;及蝕刻該圖案化晶圓。 The method for providing a process index of an etch chamber according to claim 5, further comprising: placing a patterned wafer into the etch after the process index value falls within the threshold Engraving the chamber; and etching the patterned wafer. 如申請專利範圍第6項之提供蝕刻腔室之製程指標的方法,其中,該標準係產生自對與該蝕刻腔室不同之一裝置所測量的厚度。 A method of providing a process index for an etch chamber, as in claim 6, wherein the standard is generated from a thickness measured by a device different from the etch chamber. 如申請專利範圍第6項之提供蝕刻腔室之製程指標的方法,其中,該全區蝕刻層是氧化矽層。 A method of providing a process index for etching a chamber according to claim 6 of the patent application, wherein the entire region etching layer is a hafnium oxide layer. 如申請專利範圍第3項之提供蝕刻腔室之製程指標的方法,其中,在該複數個不同位置的該複數個厚度是在至少49個位置的至少49個厚度。 A method of providing a process index for an etch chamber, as in claim 3, wherein the plurality of thicknesses at the plurality of different locations are at least 49 thicknesses in at least 49 locations. 如申請專利範圍第3項之提供蝕刻腔室之製程指標的方法,其中,該製程指標提供錯誤偵測。 For example, in the third aspect of the patent application, the method for providing an etch chamber process index is provided, wherein the process index provides error detection. 如申請專利範圍第3項之提供蝕刻腔室之製程指標的方法,其中,該製程指標提供製程校準。 A method of providing a process index for an etch chamber, as in claim 3, wherein the process index provides process calibration. 如申請專利範圍第1項之提供蝕刻腔室之製程指標的方法,其中,該利用所測量之厚度來決定製程指標的步驟係將所測量之厚度與一標準進行比較,且更包含調整該蝕刻腔室,並重複步驟a)到e)直到一製程指標值落入一閾值內為止。 The method of providing a process index for an etching chamber according to the first aspect of the patent application, wherein the step of determining the process index by using the measured thickness is to compare the measured thickness with a standard, and further comprising adjusting the etching. The chamber, and repeat steps a) through e) until a process index value falls within a threshold. 如申請專利範圍第12項之提供蝕刻腔室之製程指標的方法,其中,該調整該蝕刻腔室的步驟增加所測量厚度的空間對稱。 A method of providing a process index for an etch chamber, as in claim 12, wherein the step of adjusting the etch chamber increases spatial symmetry of the measured thickness. 如申請專利範圍第13項之提供蝕刻腔室之製程指標的方法,更包含: 在該製程指標值落入該閾值內之後,將一圖案化晶圓置入該蝕刻腔室內;及蝕刻該圖案化晶圓。 For example, the method for providing the process index of the etching chamber according to Item 13 of the patent application scope includes: After the process index value falls within the threshold, a patterned wafer is placed into the etching chamber; and the patterned wafer is etched. 如申請專利範圍第14項之提供蝕刻腔室之製程指標的方法,其中,該標準係產生自對另一蝕刻腔室所測量的厚度。 A method of providing a process index for an etch chamber, as in claim 14, wherein the standard is derived from a thickness measured for another etch chamber. 如申請專利範圍第14項之提供蝕刻腔室之製程指標的方法,其中,該全區蝕刻層是氧化矽層。 A method of providing a process index for etching a chamber according to claim 14 wherein the entire region of the etch layer is a ruthenium oxide layer. 一種形成半導體特徵部的方法,包含:a)將晶圓置入一蝕刻腔室內,該晶圓具有全區蝕刻層;b)對該全區蝕刻層執行全區蝕刻;c)在完成執行該全區蝕刻的步驟之後,在該全區蝕刻層上沉積毯覆式沉積層;d)測量該全區蝕刻層的厚度及該毯覆式沉積層的厚度;e)利用所測量之厚度來決定製程指標;f)若該製程指標落在一閾值之外,調整該蝕刻腔室;g)重複步驟a)到f)直到一製程指標值落入該閾值內為止;h)在該製程指標值落入該閾值內之後,將一圖案化晶圓置入該蝕刻腔室內;及i)蝕刻該圖案化晶圓以形成半導體特徵部。 A method of forming a semiconductor feature comprising: a) placing a wafer into an etch chamber having a full-area etch layer; b) performing a full-area etch of the full-area etch layer; c) completing the execution of the After the etching step of the whole region, a blanket deposition layer is deposited on the etching layer of the whole region; d) measuring the thickness of the etching layer of the whole region and the thickness of the blanket deposition layer; e) determining by using the measured thickness Process index; f) if the process index falls outside a threshold, adjust the etching chamber; g) repeat steps a) to f) until a process index value falls within the threshold; h) at the process index value After falling within the threshold, a patterned wafer is placed into the etch chamber; and i) etching the patterned wafer to form a semiconductor feature. 一種提供蝕刻腔室之製程指標的方法,包含:a)將第一晶圓置入該蝕刻腔室內,該第一晶圓具有全區蝕刻層;b)對該全區蝕刻層執行全區蝕刻;c)將該第一晶圓移出該蝕刻腔室;d)將第二晶圓置入該蝕刻腔室;e)於該蝕刻腔室中,在該第二晶圓上沉積毯覆式沉積層; f)測量該全區蝕刻步驟後該第一晶圓之該全區蝕刻層的厚度;g)測量該第二晶圓之該毯覆式沉積層的厚度;及h)利用所測量之厚度來決定製程指標。 A method of providing a process index for an etch chamber, comprising: a) placing a first wafer into the etch chamber, the first wafer having a full-area etch layer; b) performing a full-area etch of the full-area etch layer c) removing the first wafer from the etch chamber; d) placing a second wafer into the etch chamber; e) depositing a blanket on the second wafer in the etch chamber Lamination f) measuring the thickness of the full-area etch layer of the first wafer after the etch step of the whole region; g) measuring the thickness of the blanket deposited layer of the second wafer; and h) using the measured thickness Determine process specifications.
TW099144001A 2009-12-15 2010-12-15 Method for providing a process indicator for an etching chamber, and method for forming semiconductor features TWI525696B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US12/638,697 US8206996B2 (en) 2006-03-28 2009-12-15 Etch tool process indicator method and apparatus

Publications (2)

Publication Number Publication Date
TW201131646A TW201131646A (en) 2011-09-16
TWI525696B true TWI525696B (en) 2016-03-11

Family

ID=44401019

Family Applications (1)

Application Number Title Priority Date Filing Date
TW099144001A TWI525696B (en) 2009-12-15 2010-12-15 Method for providing a process indicator for an etching chamber, and method for forming semiconductor features

Country Status (3)

Country Link
KR (1) KR101759745B1 (en)
CN (2) CN103531428B (en)
TW (1) TWI525696B (en)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9466466B1 (en) * 2015-07-02 2016-10-11 Lam Research Corporation Determination of semiconductor chamber operating parameters for the optimization of critical dimension uniformity
CN107728589B (en) * 2017-09-25 2019-11-15 华南理工大学 A kind of on-line monitoring method of flexibility IC substrate etch developing process

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FR2801422B1 (en) * 1999-11-23 2002-02-22 Mhs METHOD AND DEVICE FOR MONITORING THE THICKNESS OF A LAYER OF AN INTEGRATED CIRCUIT IN REAL TIME
KR100486651B1 (en) * 2002-09-17 2005-05-03 동부아남반도체 주식회사 Method for forming flash memory cell
US7361607B2 (en) * 2003-06-27 2008-04-22 Lam Research Corporation Method for multi-layer resist plasma etch
US7482178B2 (en) * 2003-08-06 2009-01-27 Applied Materials, Inc. Chamber stability monitoring using an integrated metrology tool
US7135410B2 (en) * 2003-09-26 2006-11-14 Lam Research Corporation Etch with ramping

Also Published As

Publication number Publication date
CN102142385B (en) 2013-11-20
TW201131646A (en) 2011-09-16
KR101759745B1 (en) 2017-07-19
CN103531428A (en) 2014-01-22
CN102142385A (en) 2011-08-03
KR20110068914A (en) 2011-06-22
CN103531428B (en) 2016-06-08

Similar Documents

Publication Publication Date Title
JP5636486B2 (en) Multi-layer / multi-input / multi-output (MLMIMO) model and method of using the model
JP5577532B2 (en) DC / RF hybrid processing system
US7899637B2 (en) Method and apparatus for creating a gate optimization evaluation library
JP2010041051A (en) Method for utilizing multilayer/multi-input/multi-output (mlmimo) model to metal gate structure
CN100382233C (en) Process stability monitoring using an integrated metrology tool
CN102254813A (en) Plasma etching method
WO2006054459A1 (en) Exposure condition setting method, substrate processing device, and computer program
JP5037303B2 (en) Plasma processing method for semiconductor device having high-k / metal structure
US7951616B2 (en) Process for wafer temperature verification in etch tools
TWI525696B (en) Method for providing a process indicator for an etching chamber, and method for forming semiconductor features
US8492174B2 (en) Etch tool process indicator method and apparatus
JP4068986B2 (en) Sample dry etching method and dry etching apparatus
JP4480482B2 (en) Plasma etching processing apparatus control method and trimming amount control system
US7092096B2 (en) Optical scatterometry method of sidewall spacer analysis
US7372582B2 (en) Method for fabrication semiconductor device
JP2007088497A (en) Process control system, process control method and process processing device
WO2010110878A1 (en) Plasma etching method
US20060186406A1 (en) Method and system for qualifying a semiconductor etch process
US10386829B2 (en) Systems and methods for controlling an etch process
US7393459B2 (en) Method for automatic determination of substrates states in plasma processing chambers
US20230317483A1 (en) Data fusion of multiple sensors
TWI431685B (en) Plasma processing device and plasma processing method
US20230352282A1 (en) Autonomous operation of plasma processing tool
Tran et al. Process induced Wafer Geometry impact on center and edge lithography performance for sub 2X nm nodes
KR20060127291A (en) End point detection system of semiconductor production device

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees