KR101759745B1 - Etch tool process indicator method and apparatus - Google Patents

Etch tool process indicator method and apparatus Download PDF

Info

Publication number
KR101759745B1
KR101759745B1 KR1020100127806A KR20100127806A KR101759745B1 KR 101759745 B1 KR101759745 B1 KR 101759745B1 KR 1020100127806 A KR1020100127806 A KR 1020100127806A KR 20100127806 A KR20100127806 A KR 20100127806A KR 101759745 B1 KR101759745 B1 KR 101759745B1
Authority
KR
South Korea
Prior art keywords
etch
blanket
layer
wafer
process indicator
Prior art date
Application number
KR1020100127806A
Other languages
Korean (ko)
Other versions
KR20110068914A (en
Inventor
케렌 제이콥스 카나릭
조지 루크
니콜라스 웹
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US12/638,697 external-priority patent/US8206996B2/en
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20110068914A publication Critical patent/KR20110068914A/en
Application granted granted Critical
Publication of KR101759745B1 publication Critical patent/KR101759745B1/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/50Mask blanks not covered by G03F1/20 - G03F1/34; Preparation thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy

Abstract

에칭 챔버를 위한 공정 인디케이터를 제공하는 방법이 제공된다. 블랭킷 에치 층이 에칭 챔버 내에 제공된다. 블랭킷 에치 층의 블랭킷 에치가 수행된다. 블랭킷 에치를 수행하는 단계가 완료된 후 블랭킷 에치 층 위에 블랭킷 퇴적 층이 퇴적된다. 블랭킷 에치 층의 두께 및 블랭킷 퇴적 층의 두께가 측정된다. 측정된 두께들은 공정 인디케이터를 결정하기 위해 이용된다.A method of providing a process indicator for an etch chamber is provided. A blanket etch layer is provided in the etch chamber. A blank is performed on the blanket etch layer. A blanket deposition layer is deposited on the blanket etch layer after the step of performing the blanket etch is completed. The thickness of the blanket etch layer and the thickness of the blanket deposition layer are measured. The measured thicknesses are used to determine the process indicator.

Description

에치 툴 공정 인디케이터 방법 및 장치{ETCH TOOL PROCESS INDICATOR METHOD AND APPARATUS}METHOD AND APPARATUS FOR ETCH TOOL PROCESS INDICATOR

이 출원은 Kanarik 등에 의해 2006년 3월 28일 출원되고, 발명의 명칭이 "Process for Wafer Temperature Verification in Etch Tools" 인 미국특허 출원 제 11/392,356호의 부분계속출원이며, 모든 목적을 위해 본 명세서에서 참조로서 통합된다. This application is a continuation-in-part of U.S. Patent Application No. 11 / 392,356, filed March 28, 2006 by Kanarik et al., Entitled " Process for Wafer Temperature Verification in Etch Tools, " Are incorporated by reference.

본 발명은 반도체 디바이스의 형성에 관한 것이다. 더욱 상세하게, 본 발명은 반도체 디바이스의 형성을 위한 에치 툴의 공정 인디케이터 (indicator) 를 제공하는 것에 관한 것이다.The present invention relates to the formation of semiconductor devices. More particularly, the present invention relates to providing a process indicator of an etch tool for forming a semiconductor device.

반도체 웨이퍼의 처리시, 반도체 디바이스의 피처 (feature) 는 주지된 패터닝 공정 및 에칭 공정을 이용하여 웨이퍼에 정의된다. 이들 공정에 있어서, 포토레지스트 (PR) 재료가 웨이퍼에 퇴적된 후, 레티클에 의해 필터링된 광에 노출된다. 그 후, 웨이퍼는 에칭되어 포토레지스트 재료에 의해 더 이상 보호되지 않는 영역의 하부 재료를 제거하며, 그리하여 웨이퍼에 원하는 피처를 정의한다. 반도체 공정에서 일반적으로 측정되는 피처의 특성은 CD, 에치 속도, 로딩, 프로파일, 선택도, 보우 (bow) 등이 있다. 제조에 있어서 중요한 더 많은 "스펙들" 이 있다. CD는 피처 노드 (feature node) 를 정의하기 때문에 부분적으로는 중요하다고 여겨지는 파라미터이다.In the processing of semiconductor wafers, features of semiconductor devices are defined on the wafer using well known patterning and etching processes. In these processes, a photoresist (PR) material is deposited on the wafer and then exposed to light filtered by the reticle. The wafer is then etched to remove the underlying material in areas that are no longer protected by the photoresist material, thereby defining the desired features on the wafer. Features typically measured in semiconductor processes include CD, etch rate, loading, profile, selectivity, bow, and the like. There are more "specs" that are important in manufacturing. A CD is a parameter that is considered to be partly important because it defines a feature node.

같은 반도체 처리 디바이스에서 및 동일한 반도체 처리 디바이스들 사이에서, 또는 심지어 다른 유형의 반도체 처리 디바이스에서 처리되는 상이한 웨이퍼들의 재현성은 반도체 산업에서 가장 관련이 있는 이슈 중 하나로 되고 있다. 피처를 단지 한 번만 에칭하는 것은 충분하지 않고, 에칭은 전체 웨이퍼에 걸쳐서, 그리고 모든 툴 상의 모든 웨이퍼에 대하여 항상 재현 가능해야 한다. 수조 개와 같은 다수의 트랜지스터가 각 웨이퍼 상에서 에칭되고, 매일 다수의 웨이퍼가 처리되기 때문에 재현성은 중요하다. 재현성은 웨이퍼 대 웨이퍼, 로트 (lot) 대 로트, 챔버 대 챔버, 모든 시간에서, 심지어 상이한 하드웨어를 가진 두 챔버 사이의 공정 이동에 대해서조차도 (웨이퍼 내에서) 균일성을 포함한다. 이러한 균일하고 일관된 반복성을 달성하는 것이 반도체 산업에 있어서의 과제이다.The reproducibility of different wafers processed in the same semiconductor processing device and in the same semiconductor processing devices, or even in other types of semiconductor processing devices, is becoming one of the most relevant issues in the semiconductor industry. It is not sufficient to etch the features only once and the etch should always be reproducible across the entire wafer and for all wafers on all tools. Reproducibility is important because a number of transistors, such as a tank, are etched on each wafer and multiple wafers are processed each day. Reproducibility includes uniformity (within the wafer) even for wafer-to-wafer, lot-to-lot, chamber-to-chamber, all-time, even process shifts between two chambers with different hardware. Achieving such uniform and consistent repeatability is a challenge in the semiconductor industry.

이러한 일관성을 획득하려는 시도로 사용되는 하나의 방법은 툴 간 또는 시간에 걸쳐 가능한 한 동일하고 교정되는 툴을 마련하려는 시도이다. 이 이론은 툴이 동일하다면 결과도 동일하다는 것이다. 실제로, 이 이론은 도움은 되지만 문제를 완전히 다루지는 않는다. 예를 들어, 툴은 교정된 대로 확인될 수도 있으나, 챔버 벽에 먼지가 있거나 일부분이 마모되어 있으면 결과는 균일하지 않다. 플라즈마가 어떻게 동작하는지 알기 위한 시도로써 블랭킷 에치 테스트가 이용될 수도 있지만 이러한 블랭킷 테스트는 보통 CD와 같이 예측 가능성이 매우 중요한 패턴 웨이퍼 결과들과 연관성이 없다. 고가의 패터닝된 웨이퍼가 에칭되기 전에, 챔버가 이 고가의 패터닝된 웨이퍼를 정확히 에치할 준비가 되었는지 아는 것이 중요하다.One approach used to attempt to achieve this consistency is to attempt to create tools that are as uniform and correct as possible across tools or over time. The theory is that if the tools are the same, the results are the same. Indeed, this theory is helpful but does not fully address the problem. For example, the tool may be verified as calibrated, but results are not uniform if the chamber walls are dusty or partially worn. While blanket etch tests may be used in an attempt to see how the plasma works, these blanket tests are not relevant to pattern wafer results, which are usually very predictable, such as CD. Before the expensive patterned wafer is etched, it is important to know whether the chamber is ready to etch the expensive patterned wafer precisely.

상기한 내용을 달성하기 위해 그리고 본 발명의 목적에 따라, 에칭 챔버를 위한 공정 인디케이터를 제공하는 방법이 제공된다. 블랭킷 에치 층을 가진 웨이퍼가 에칭 챔버 내에 제공된다. 블랭킷 에치 층의 블랭킷 에치가 수행된다. 블랭킷 에치를 수행하는 단계가 완료된 후 블랭킷 에치 층 위에 블랭킷 퇴적 층이 퇴적된다. 블랭킷 에치 층의 두께 및 블랭킷 퇴적 층의 두께가 측정된다. 측정된 두께들이 공정 인디케이터로 이용된다.In order to accomplish the foregoing and in accordance with the purpose of the present invention, a method of providing a process indicator for an etch chamber is provided. A wafer having a blanket etch layer is provided in the etch chamber. A blank is performed on the blanket etch layer. A blanket deposition layer is deposited on the blanket etch layer after the step of performing the blanket etch is completed. The thickness of the blanket etch layer and the thickness of the blanket deposition layer are measured. The measured thicknesses are used as process indicators.

발명의 다른 발현에서 반도체 피처를 형성하는 방법이 제공된다. 에칭 챔버 내에 블랭킷 에치 층을 가진 웨이퍼가 제공된다. 블랭킷 에치 층의 블랭킷 에치가 수행된다. 블랭킷 에치를 수행하는 단계가 완료된 후 블랭킷 에치 층 위에 블랭킷 퇴적 층이 퇴적된다. 블랭킷 에치 층의 두께 및 블랭킷 퇴적 층의 두께가 측정된다. 측정된 두께들이 공정 인디케이터로 이용된다. 에칭 챔버는 공정 인디케이터가 임계치 밖일 경우 조정된다. 공정 인디케이터 값이 임계치 이내가 될 때까지 상기 단계가 반복된다. 공정 인디케이터 값이 임계치 이내가 된 후 패터닝된 웨이퍼가 에칭 챔버 안에 배치된다. 패터닝된 웨이퍼가 반도체 피처를 형성하기 위해 에칭된다.In another aspect of the invention, a method of forming a semiconductor feature is provided. A wafer having a blanket etch layer in the etch chamber is provided. A blank is performed on the blanket etch layer. A blanket deposition layer is deposited on the blanket etch layer after the step of performing the blanket etch is completed. The thickness of the blanket etch layer and the thickness of the blanket deposition layer are measured. The measured thicknesses are used as process indicators. The etch chamber is adjusted if the process indicator is outside the threshold. The above steps are repeated until the process indicator value is within the threshold. After the process indicator value is within the threshold, the patterned wafer is placed in the etch chamber. The patterned wafer is etched to form a semiconductor feature.

발명의 다른 구현에서 에칭 챔버를 위한 공정 인디케이터를 제공하는 방법이 제공된다. 블랭킷 에치 층을 가진 제 1 웨이퍼가 에칭 챔버 내에 배치된다. 블랭킷 에치 층 위에서 블랭킷 에치가 수행된다. 제 1 웨이퍼가 에칭 챔버에서 제거된다. 제 2 웨이퍼가 에칭 챔버 내에 배치된다. 블랭킷 퇴적 층이 제 2 웨이퍼 위에 퇴적된다. 제 1 웨이퍼의 블랭킷 에치 층의 두께가 측정된다. 제 2 웨이퍼의 블랭킷 퇴적 층의 두께가 측정된다. 측정된 두께들은 공정 인디케이터를 결정하는 데 이용된다.In another embodiment of the invention, a method of providing a process indicator for an etch chamber is provided. A first wafer having a blanket etch layer is disposed in the etch chamber. The blanket is performed on the blanket etch layer. The first wafer is removed from the etch chamber. A second wafer is disposed in the etch chamber. A blanket deposition layer is deposited on the second wafer. The thickness of the blanket etch layer of the first wafer is measured. The thickness of the blanket deposition layer of the second wafer is measured. The measured thicknesses are used to determine the process indicator.

본 발명의 이러한 특징 및 다른 특징들은 이하 발명의 상세한 설명 및 다음의 도면들과 함께 보다 상세하게 설명될 것이다.These and other features of the present invention will be described in more detail below with the detailed description of the invention and the following drawings.

본 발명은 한정이 아닌 예시로서 설명되는 것이며, 첨부한 도면의 도들에 있어서 동일한 도면부호는 동일한 구성요소를 지칭한다.
도 1 은 발명의 일 실시 형태에 사용되는 에치 층에서의 피처 형성에 대한 하이 레벨 흐름도이다.
도 2a 내지 도 2c 는 도 1 에 나타낸 바와 같은 공정에서 사용되는 블랭킷 웨이퍼의 개략적인 단면도들이다.
도 3a 및 3b 는 도 1 에 나타낸 바와 같은 공정에서 사용되는 마스킹된 웨이퍼의 개략적인 단면도들이다.
도 4 는 에칭에 사용될 수도 있는 플라즈마 처리 챔버의 개략도이다.
도 5a 및 도 5b 는 본 발명의 실시 형태들에 사용되는 제어기를 구현하기에 적합한 컴퓨터 시스템을 나타낸다.
도 6 은 49개의 폴라 플롯 포인트 (polar plot point) 및 부가적인 대각선 플롯 포인트에 의한 테스트 패턴을 가진 웨이퍼의 개략적인 상면도이다.
도 7 은 이중층 테스트 결과의 퇴적 층만을 나타내는 본 발명의 블랭킷 에치의 이미지이다.
도 8 은 이중층 테스트 결과의 에치 층만을 나타내는 본 발명의 블랭킷 에치의 이미지이다.
도 9 는 상부 전극의 온도 맵이다.
BRIEF DESCRIPTION OF THE DRAWINGS The present invention is illustrated by way of example, and not by way of limitation, in the figures of the accompanying drawings in which like reference numerals refer to like elements.
1 is a high level flow chart for feature formation in an etch layer used in an embodiment of the invention.
Figs. 2A-2C are schematic cross-sectional views of a blanket wafer used in the process as shown in Fig.
Figures 3a and 3b are schematic cross-sectional views of masked wafers used in the process as shown in Figure 1;
Figure 4 is a schematic view of a plasma processing chamber that may be used for etching.
5A and 5B show a computer system suitable for implementing the controller used in the embodiments of the present invention.
Figure 6 is a schematic top view of a wafer with a test pattern of 49 polar plot points and additional diagonal plot points.
Figure 7 is an image of the blanket etch of the present invention showing only the deposited layer of the double layer test results.
Figure 8 is an image of a blanket etch of the present invention showing only the etch layer of a double layer test result.
9 is a temperature map of the upper electrode.

첨부한 도면에 도시된 바와 같은 몇몇 바람직한 실시형태들에 대해 본 발명이 상세하게 설명될 것이다. 하기의 설명에서, 많은 특정 상세들이 본 발명의 철저한 이해를 제공하기 위해 제시된다. 그러나 이러한 특정 상세의 일부 또는 전부가 없어도 본 발명이 실시될 수 있음이 당업자에게 자명하다. 다른 예시에서, 본 발명을 불필요하게 모호하게 하지 않기 위해 주지된 공정 단계들 및/또는 구조물은 상세하게 설명되지 않는다.BRIEF DESCRIPTION OF THE DRAWINGS The invention will be described in detail with respect to several preferred embodiments as shown in the accompanying drawings. In the following description, numerous specific details are set forth in order to provide a thorough understanding of the present invention. It will be apparent, however, to one skilled in the art that the present invention may be practiced without some or all of these specific details. In other instances, well-known process steps and / or structures have not been described in detail in order not to unnecessarily obscure the present invention.

반도체 디바이스의 제조에 있어서, 상이한 에치 디바이스들 사이에서, 또는 동일한 에치 디바이스의 상이한 시간 기간 동안 일관된 CD, 에치 속도 및 다른 에치 파라미터들을 유지하는 것이 바람직하다.In the manufacture of semiconductor devices it is desirable to maintain consistent CD, etch rate and other etch parameters between different etch devices, or during different time periods of the same etch device.

이해를 용이하게 하기 위해, 도 1 은 본 발명의 일 실시 형태에 사용되는 공정의 하이 레벨 흐름도이다. 블랭킷 웨이퍼가 에칭 챔버 내에 배치된다 (단계 104). 도 2a 는 에칭 챔버 내에 배치된 블랭킷 웨이퍼 (204) 의 단면도이다. 블랭킷 웨이퍼 (204) 는 상부 웨이퍼 표면에 있는 균일층인 블랭킷 에치 층 (208) 을 갖는다. 블랭킷 에치 층 (208) 은 웨이퍼의 표면 위에 형성된 실리콘 산화물 층일 수도 있다. 다른 실시 형태들은 임의의 에치 가능한 재료, 예를 들어 실리콘 질화물, 폴리실리콘, TiN 및 PR 마스크 재료에서 발견되는 것과 같은 유기 화합물로 된 블랭킷 에치 층을 가진 블랭크 실리콘 웨이퍼를 제공한다. 블랭킷 에치 층은 웨이퍼에 걸쳐 균일한 층인 것이 바람직하다.For ease of understanding, Figure 1 is a high level flow diagram of a process used in an embodiment of the present invention. A blanket wafer is placed in the etch chamber (step 104). 2A is a cross-sectional view of a blanket wafer 204 disposed within an etch chamber. The blanket wafer 204 has a blanket etch layer 208, which is a uniform layer on the upper wafer surface. The blanket etch layer 208 may be a silicon oxide layer formed on the surface of the wafer. Other embodiments provide a blank silicon wafer with a blanket etch layer of organic compounds such as those found in any etchable material, such as silicon nitride, polysilicon, TiN, and PR mask materials. The blanket etch layer is preferably a uniform layer across the wafer.

도 4 는 본 발명의 일 실시 형태에 사용될 수 있는 에칭 챔버 (400) 의 개략도이다. 에칭 챔버 (400) 는 한정 링들 (402), 상부 전극 (404), 하부 전극 (408), 가스 소스 (410) 및 배출 펌프 (420) 를 포함한다. 가스 소스 (410) 는 에치 가스 소스 및 가스 소스를 포함할 수 있다. 플라즈마 공정 챔버 (400) 내부에서, 웨이퍼 (204) 는 하부 전극 (408) 위에 위치한다. 하부 전극 (408) 은 웨이퍼 (204) 를 유지하기 위해 적절한 기판 척킹 (chucking) 메커니즘 (예를 들어, 정전기적, 기계적 클램핑 등) 을 포함한다. 반응기 상단 (428) 은 하부 전극 (408) 의 바로 반대쪽에 배치된 상부 전극 (404) 을 포함한다. 상부 전극 (404), 하부 전극 (408) 및 한정 링들 (402) 은 한정된 플라즈마 체적 (confined plasma volume) (440) 을 정의한다. 가스는 가스 소스 (410) 에 의해 한정된 플라즈마 체적 (440) 에 공급되고 배출 펌프 (420) 에 의해 한정된 플라즈마 체적 (440) 으로부터 한정 링들 (402) 및 배출구를 통해 배출된다. 제 1 RF 전원 (444) 은 상부 전극 (404) 에 전기적으로 연결된다. 제 2 RF 전원 (448) 은 하부 전극 (408) 에 전기적으로 연결된다. 챔버 벽들 (452) 은 한정 링들 (402), 상부 전극 (404) 및 하부 전극 (408) 을 둘러싼다. 제 1 RF 전원 (444) 및 제 2 RF 전원 (448) 은 모두 27 MHz 전원, 60 MHz 전원 및 2 MHz 전원을 포함할 수도 있다. 전극에 RF 전원을 다른 조합으로 연결하는 것도 가능하다. 본 발명의 바람직한 실시 형태에 있어서, 27 MHz, 60 MHz 및 2 MHz의 전원이 하부 전극에 연결된 제 2 RF 전원을 구성하고, 상부 전극은 접지된다. 온도 제어 디바이스 (470) 는 하부 전극의 온도를 제어하기 위해 하부 전극 (408) 에 연결된다. 제어기 (435) 는 RF 전원 (444, 448), 배출 펌프 (420), 온도 제어 디바이스 (470) 및 가스 소스 (410) 에 제어 가능하게 연결된다. 이러한 디바이스는 챔버의 압력, 가스 흐름, 기체 조합, RF 파워, 정전 척 (chuck) 냉각 및 각 페이즈의 지속 시간을 조절할 수 있다.4 is a schematic diagram of an etch chamber 400 that may be used in an embodiment of the present invention. The etch chamber 400 includes limiting rings 402, an upper electrode 404, a lower electrode 408, a gas source 410, and a discharge pump 420. The gas source 410 may include an etch gas source and a gas source. Within the plasma processing chamber 400, the wafer 204 is positioned above the lower electrode 408. The lower electrode 408 includes a suitable substrate chucking mechanism (e.g., electrostatic, mechanical clamping, etc.) to hold the wafer 204. The reactor top 428 includes an upper electrode 404 disposed directly opposite the lower electrode 408. The upper electrode 404, the lower electrode 408 and the confinement rings 402 define a confined plasma volume 440. The gas is supplied to the plasma volume 440 defined by the gas source 410 and discharged from the plasma volume 440 defined by the discharge pump 420 through the confinement rings 402 and the outlet. The first RF power supply 444 is electrically connected to the upper electrode 404. The second RF power supply 448 is electrically connected to the lower electrode 408. The chamber walls 452 enclose the limiting rings 402, the upper electrode 404 and the lower electrode 408. The first RF power supply 444 and the second RF power supply 448 may all include a 27 MHz power supply, a 60 MHz power supply, and a 2 MHz power supply. It is also possible to connect RF power to the electrode in different combinations. In a preferred embodiment of the present invention, a 27 MHz, 60 MHz and 2 MHz power source constitutes a second RF power source connected to the lower electrode, and the upper electrode is grounded. The temperature control device 470 is connected to the lower electrode 408 to control the temperature of the lower electrode. Controller 435 is controllably connected to RF power sources 444 and 448, a drain pump 420, a temperature control device 470, and a gas source 410. These devices can control chamber pressure, gas flow, gas combination, RF power, chuck cooling, and duration of each phase.

도 5a 및 5b 는 본 발명의 실시 형태들에 사용되는 제어기 (435) 를 구현하기에 적합한 컴퓨터 시스템 (500) 을 나타낸다. 도 5a 는 컴퓨터 시스템의 하나의 가능한 물리적 형태를 나타낸다. 물론 컴퓨터 시스템은 집적회로, 인쇄 회로 기판 및 소형 휴대 장치부터 대형 슈퍼 컴퓨터까지 많은 물리적 형태를 가질 수도 있다. 컴퓨터 시스템 (500) 은 모니터 (502), 디스플레이 (504), 하우징 (506), 디스크 드라이브 (508), 키보드 (510), 마우스 (512) 를 포함한다. 디스크 (514) 는 컴퓨터 시스템 (500) 으로부터 또는 컴퓨터 시스템 (500) 으로 데이터를 전송하는데 사용되는 컴퓨터 판독 가능 매체이다.5A and 5B illustrate a computer system 500 suitable for implementing the controller 435 used in embodiments of the present invention. Figure 5A shows one possible physical form of a computer system. Of course, computer systems may have many physical forms, from integrated circuits, printed circuit boards, and small portable devices to large supercomputers. The computer system 500 includes a monitor 502, a display 504, a housing 506, a disk drive 508, a keyboard 510, and a mouse 512. The disk 514 is a computer readable medium used to transfer data from or to the computer system 500.

도 5b 는 컴퓨터 시스템 (500) 의 블록도의 일 예이다. 시스템 버스 (520) 에 다양한 서브 시스템들이 부착된다. 프로세서(들) (522) (또한 중앙처리장치 또는 CPU 로도 칭함) 는 메모리 (524) 를 포함하는 저장 디바이스에 연결된다. 메모리 (524) 는 RAM (random access memory) 및 ROM (read-only memory) 을 포함한다. 당 업계에 주지된 바와 같이, ROM은 데이터 및 명령들을 단일 방향으로 CPU에 전송하도록 작동하고, RAM은 일반적으로 양방향 방식으로 데이터 및 명령들을 전송하기 위해 사용된다. 이러한 유형의 메모리들 모두는 이하 설명되는 임의의 적절한 컴퓨터 판독 가능 매체를 포함할 수도 있다. 또한, 고정 디스크 (526) 는 또한 CPU (522) 에 양방향으로 커플링되는데; 그것은 부가적인 데이터 저장 용량을 제공하고, 또한 이하 설명되는 컴퓨터 판독 가능 매체 중 임의의 것도 포함할 수 있다. 고정 디스크 (526) 는 프로그램, 데이터 등을 저장하는 데 사용될 수 있고, 일반적으로 1차 저장소보다 느린 하드 디스크와 같은 2차 저장매체이다. 적절한 경우에, 고정 디스크 (526) 내에 보존된 정보가 메모리 (524) 내의 가상 메모리로서 표준 방식으로 통합될 수도 있다는 것을 알게 될 것이다. 탈착 가능 디스크 (514) 는 하기한 컴퓨터 판독 가능 매체의 형태를 가질 수 있다.FIG. 5B is an example of a block diagram of a computer system 500. FIG. Various subsystems are attached to the system bus 520. The processor (s) 522 (also referred to as a central processing unit or CPU) is coupled to a storage device that includes a memory 524. The memory 524 includes random access memory (RAM) and read-only memory (ROM). As is well known in the art, ROM operates to transfer data and instructions in a single direction to a CPU, and RAM is typically used to transfer data and instructions in a bidirectional manner. All of these types of memories may include any suitable computer readable medium described below. In addition, fixed disk 526 is also coupled bi-directionally to CPU 522; It provides additional data storage capacity and may include any of the computer readable media described below. The fixed disk 526 is a secondary storage medium, such as a hard disk, that can be used to store programs, data, and the like, which is generally slower than the primary storage. It will be appreciated that where appropriate, the information stored in the fixed disk 526 may be incorporated in a standard manner as a virtual memory in the memory 524. The removable disk 514 may take the form of a computer readable medium as follows.

또한 CPU (522) 는 디스플레이 (504), 키보드 (510), 마우스 (512) 및 스피커 (530) 와 같은 다양한 입/출력 디바이스에 커플링된다. 일반적으로, 입/출력 디바이스는 비디오 디스플레이, 트랙볼, 마우스, 키보드, 마이크, 터치 감지 디스플레이, 트랜스듀서 카드 판독기, 자기 또는 종이 테이프 판독기, 타블렛 (tablet), 스타일러스 (stylus), 음성 또는 필체 인식기, 생체 측정 판독기, 또는 다른 컴퓨터들 중 임의의 것일 수 있다. 선택적으로 CPU (522) 는 네트워크 인터페이스 (540) 를 사용하여 다른 컴퓨터 또는 전기 통신 네트워크와 커플링될 수 있다. 이러한 네트워크 인터페이스에 의해, CPU는 네트워크로부터 정보를 수신했을 수도 있고, 또는 상술한 방법 단계들을 수행하는 과정에서 네트워크로 정보를 출력했을 수도 있다고 생각된다. 게다가, 본 발명의 방법 실시 형태는, 오직 CPU (522) 상에서만 실행할 수도 있고, 또는 프로세싱 일부를 공유하는 원격 CPU와 결합하여 인터넷 등의 네트워크를 통해 실행할 수도 있다.The CPU 522 is also coupled to various input / output devices such as a display 504, a keyboard 510, a mouse 512 and a speaker 530. In general, the input / output device may be a video display, a trackball, a mouse, a keyboard, a microphone, a touch sensitive display, a transducer card reader, a magnetic or paper tape reader, a tablet, a stylus, A measurement reader, or any other computer. Optionally, the CPU 522 may be coupled to another computer or telecommunications network using the network interface 540. [ With such a network interface, the CPU may have received information from the network or may have output information to the network in the course of performing the above method steps. In addition, the method embodiment of the present invention may be executed only on CPU 522, or may be executed over a network such as the Internet in combination with a remote CPU sharing a processing portion.

부가적으로, 본 발명의 실시 형태들은 또한 다양한 컴퓨터 구현 동작들을 수행하기 위한 컴퓨터 코드를 갖는 컴퓨터 판독 가능 매체를 구비한 컴퓨터 저장 제품에 크게 관련되어 있다. 매체 및 컴퓨터 코드는 본 발명의 목적을 위해 특별히 설계되고 구성된 것들일 수도 있고, 또는 컴퓨터 소프트웨어 분야 당업자들에게 잘 알려져 있고 이용 가능한 종류의 것일 수도 있다. 유형의 컴퓨터 판독 가능 매체의 예는 하드 디스크, 플로피 디스크, 자기 테이프 등의 자기 매체, CD-ROM 및 홀로그래픽 디바이스 등의 광학 매체, 플옵티컬 (floptical) 디스크 등의 광자기 매체, 그리고 주문형 집적회로 (ASIC), 프로그램 가능 논리 디바이스 (PLD), ROM, RAM 디바이스 등 프로그램 코드를 저장하고 실행하기 위해 특별히 구성된 하드웨어 디바이스를 포함하나, 이에 한정되지 않는다. 컴퓨터 코드의 예는, 컴파일러에 의해 생성되는 것과 같은 머신 코드 및 인터프리터를 사용하여 컴퓨터에 의해 실행되는 더 높은 레벨의 코드를 포함하는 파일들을 포함한다. 컴퓨터 판독 가능 매체는 반송파 (carrier wave) 에서 구현되는 컴퓨터 데이터 신호에 의해 송신되고 프로세서에 의해 실행될 수 있는 명령들의 시퀀스를 나타내는 컴퓨터 코드일 수도 있다.Additionally, embodiments of the present invention also relate to computer storage products having a computer-readable medium having computer code for performing various computer-implemented operations. The media and computer code may be those specially designed and constructed for the purposes of the present invention or may be of a kind well known and available to those skilled in the computer software arts. Examples of types of computer readable media include magnetic media such as hard disks, floppy disks, magnetic tape, optical media such as CD-ROMs and holographic devices, magneto-optical media such as floptical disks, (ASIC), a programmable logic device (PLD), a ROM, a RAM device, and the like, but is not limited to a hardware device specifically configured to store and execute the program code. Examples of computer code include machine code such as those generated by a compiler and files containing higher level code executed by a computer using an interpreter. The computer readable medium may be computer code that is transmitted by a computer data signal embodied in a carrier wave and that represents a sequence of instructions that may be executed by a processor.

블랭킷 에치는 블랭킷 에치 층 (208) (단계 108) 상에서의 에칭 챔버 (400) 에 의해 수행된다. 도 2b 는 블랭킷 에치 후의 블렝킷 에치 층 (208) 을 갖는 웨이퍼 (204) 의 단면도이다. 이 예에 있어서, 웨이퍼의 외측 에지는 내측보다 빨리 에칭된다. 다른 실시 형태들에서는, 외측 에지가 내측보다 느리게 에칭될 수도 있고, 또는 두 영역들이 대략 동일한 속도로 에칭될 수도 있으며, 또는 다른 프로파일이 형성될 수 있다.The blanket etch is performed by the etch chamber 400 on the blanket etch layer 208 (step 108). FIG. 2B is a cross-sectional view of the wafer 204 having a layer of the Brinkett etch 208 after the blanket etch. In this example, the outer edge of the wafer is etched faster than the inner edge. In other embodiments, the outer edge may be etched slower than the inner side, or both regions may be etched at approximately the same rate, or another profile may be formed.

블랭킷 에치의 예는 에천트 가스로 200 sccm의 CF4 의 흐름을 제공한다. 27 MHz에서 800 W의 RF 파워가 에천트 가스에 에너지를 공급하기 위해 제공된다. 압력은 50 mTorr로 유지된다. 발생한 플라즈마는 120 초 동안 유지된다.An example of a blanket etch provides a flow of CF 4 of 200 sccm with an etchant gas. An RF power of 800 W at 27 MHz is provided to energize the etchant gas. The pressure is maintained at 50 mTorr. The generated plasma is maintained for 120 seconds.

블랭킷 퇴적 층은 블랭킷 에치 층 위에 퇴적된다 (단계 112). 도 2c 는 블랭킷 퇴적 층 (212) 이 퇴적된 후의 블랭킷 에치 층 (208) 을 갖는 웨이퍼 (204) 의 단면도이다.The blanket deposition layer is deposited over the blanket etch layer (step 112). 2C is a cross-sectional view of the wafer 204 having a blanket etch layer 208 after the blanket deposition layer 212 has been deposited.

웨이퍼 위에 층을 퇴적하는 일예의 레시피는 다음과 같다. 퇴적 에치 페이즈 가스 18 sccm의 C4F8 및 300 sccm의 Ar이 제공된다. 정전 척을 통한 냉각 시스템이 20 ℃의 온도로 정전 척을 유지하도록 설정된다. 챔버 압력은 180 mTorr로 설정되었다. 27 MHz RF 전원에 의해 300 W가 제공되고, 2 MHz 전원에 의해 300 W가 제공되었다. 이 예에 있어서, 퇴적은 120 초 동안 제공된다. 이러한 레시피는 웨이퍼 위에 폴리머 층을 형성한다.An example recipe for depositing a layer on a wafer is as follows. C 4 F 8 of 18 sccm of deposited etch phase gas and 300 sccm of Ar are provided. The cooling system through the electrostatic chuck is set to maintain the electrostatic chuck at a temperature of 20 [deg.] C. The chamber pressure was set at 180 mTorr. 300 MHz is provided by the 27 MHz RF power supply, and 300 W is provided by the 2 MHz power supply. In this example, deposition is provided for 120 seconds. This recipe forms a polymer layer on the wafer.

그 후, 블랭킷 에치 층 (208) 의 두께 및 블랭킷 퇴적 층 (212) 의 두께가 측정된다(단계 116). KLA-Tencor CorporationTM 에 의해 제조되고 판매된 엘립소미터는 블랭킷 에치 층 (208) 의 두께 및 블랭킷 퇴적 층 (212) 의 두께를 측정하는데 사용될 수 있는 장치이다. 두 개의 발진기 모델은, 실리콘 웨이퍼 위의 폴리머 층의 두께 및 하부 실리콘 산화물 층의 두께를 모두 측정하기 위해 실리콘 산화물의 광학적 기능에 충분한 차별을 제공한다. 다른 디바이스들 및 방법들이 블랭킷 에치 층 (208) 의 두께 및 블랭킷 퇴적 층 (212) 의 두께를 측정하기 위해 사용될 수 있다. 일반적으로, 이러한 측정 디바이스들은 블랭킷 에치 층 (208) 의 두께 및 블랭킷 퇴적 층 (212) 의 두께가 측정되기 전에 웨이퍼가 에칭 챔버에서 제거되고 측정 장치 내에 위치할 것을 요구한다. 블랭킷 에치 층 (208) 의 두께 및 블랭킷 퇴적 층 (212) 의 두께는 웨이퍼 상의 하나의 위치에서 측정되거나 또는 웨이퍼 상의 복수의 상이한 위치에서 측정될 수도 있다. 바람직한 실시 형태에서 웨이퍼는 적어도 웨이퍼 상의 49 개의 위치에서 측정된다.The thickness of the blanket etch layer 208 and the thickness of the blanket deposition layer 212 are then measured (step 116). KLA-Tencor Corporation TM Is an apparatus that can be used to measure the thickness of the blanket etch layer 208 and the thickness of the blanket deposition layer 212. [ The two oscillator models provide sufficient discrimination for the optical function of the silicon oxide to measure both the thickness of the polymer layer on the silicon wafer and the thickness of the bottom silicon oxide layer. Other devices and methods may be used to measure the thickness of the blanket etch layer 208 and the thickness of the blanket deposition layer 212. Typically, these measurement devices require that the wafer be removed from the etch chamber and located within the measurement device before the thickness of the blanket etchant layer 208 and the thickness of the blanket deposition layer 212 are measured. The thickness of the blanket etch layer 208 and the thickness of the blanket deposition layer 212 may be measured at one location on the wafer or at a plurality of different locations on the wafer. In a preferred embodiment, the wafers are measured at at least 49 positions on the wafer.

블랭킷 에치 층 (208) 의 두께 및 블랭킷 퇴적 층 (212) 의 두께는 공정 인디케이터를 결정하는 데 사용된다 (단계 120). 다양한 방법이 공정 인디케이터를 결정하기 위해 사용될 수 있다. 한 가지 예로, 측정된 블랭킷 에치 층 (208) 의 두께 및 블랭킷 퇴적 층 (212) 의 두께가 표준 측정된 블랭킷 에치 층의 두께 및 블랭킷 퇴적 층의 두께와 비교될 수 있다. 웨이퍼에 걸친 균일성의 차이는 챔버의 상태 (패터닝된 웨이퍼들을 처리할 준비가 되었는지) 및 결함이 있는지에 대하여 많은 정보를 제공할 것이다. 예를 들어, 챔버의 일 측 상에서 나사가 정확하게 조여지지 않았다면, 균일성의 차이는 챔버의 그측에서만 나타날 것이다.The thickness of the blanket etch layer 208 and the thickness of the blanket deposition layer 212 are used to determine the process indicator (step 120). Various methods can be used to determine the process indicator. In one example, the thickness of the measured blanket etch layer 208 and the thickness of the blanket deposited layer 212 can be compared to the thickness of the blanket etch layer and the thickness of the standard measured blanket etch layer. The difference in uniformity across the wafer will provide much information about the state of the chamber (whether it is ready to process the patterned wafers) and whether it is defective. For example, if the screws are not correctly tightened on one side of the chamber, the difference in uniformity will only appear at that side of the chamber.

이 예에 있어서, 공정 인디케이터가 임계치 밖에 있는지 여부가 결정된다 (단계 124). 공정 인디케이터가 임계치 밖에 있으면, 이는 에칭 챔버의 결함을 측정하는 데 이용될 수 있다. 많은 복잡한 알고리즘이 공정 인디케이터를 결정하기 위해 두께를 비교하는 데 사용될 수 있다. 이 예에 있어서, 공정 인디케이터가 임계치 밖에 있는 경우, 에칭 챔버는 그 공정 인디케이터에 따라 조정되고 (단계128) 공정은 새로운 블랭킷 웨이퍼가 에칭 챔버 내에 위치되는 단계 104의 공정으로 되돌아간다.In this example, it is determined whether the process indicator is outside the threshold (step 124). If the process indicator is outside the threshold, it can be used to measure defects in the etch chamber. Many complex algorithms can be used to compare thicknesses to determine process indicators. In this example, if the process indicator is outside the threshold, the etch chamber is adjusted according to its process indicator (step 128) and the process returns to step 104 where the new blanket wafer is located in the etch chamber.

공정 인디케이터가 임계치 밖에 있지 않다면, 에칭 챔버는 충분히 조정되어 있어 처리할 준비가 되어 있는 것이다. 그 후 마스킹된 웨이퍼가 에처 내에 위치된다 (단계 132). 도 3a 는 에치 층 (308) 이 배치되고, 에치 마스크 (312) 가 배치된 웨이퍼 (304) 의 단면도이다. 다양한 수의 간헐적인 층들이 웨이퍼 (304), 에치 층 (308) 과 에치 마스크 (312) 사이에 배치될 수도 있다. 도 3b 에서 나타낸 바와 같이 피처들 (316) 이 에처 내에서 에치 마스크 (312) 를 통해 에치 층 (308) 에 에칭된다 (단계 136).If the process indicator is outside the threshold, the etch chamber is well tuned and ready for processing. The masked wafer is then placed in the etch (step 132). 3A is a cross-sectional view of a wafer 304 having an etch layer 308 disposed and an etch mask 312 disposed thereon. A variety of intermittent layers may be disposed between the wafer 304, the etch layer 308 and the etch mask 312. The features 316 are etched into the etch layer 308 through the etch mask 312 in the etch as shown in Figure 3B (step 136).

일 예에 있어서, 시스템이 5 개의 에처를 동시에 사용한다면, 본 발명의 공정이 각 에처에서 사용될 수 있다. 패터닝된 에치를 제공하기 위해 에처가 사용되기 전에, 본 발명의 공정이 5 개의 에처 각각을 조정하는 데 사용될 수 있다. 조정은 5 개의 에처로 하여금 더욱 균일한 디바이스를 제공게 한다. 여기서 균일성은, 상이하거나 동일한 공정들을 사용할 수도 있는 상이한 디바이스들 간에서 균일한 결과를 제공하는 것으로서 정의된다.In one example, the process of the present invention may be used in each of the two cases if the system uses five (5) simultaneously. Before the etchant is used to provide the patterned etch, the process of the present invention may be used to adjust each of the five etchers. The adjustment causes the five emitters to provide a more uniform device. Where uniformity is defined as providing uniform results between different devices, which may use different or identical processes.

명세서 및 청구항에 있어서 조정은 레시피 또는 에칭 챔버를 변경하는 것으로 정의된다. 조정의 목적은 공정 인디케이터를 개선하는 것이다.In the specification and claims, adjustment is defined as changing the recipe or etching chamber. The purpose of the adjustment is to improve the process indicator.

에칭 챔버는 유전체 층을 에칭하기 위한 유전체 에칭 챔버, 또는 전도성 층이나 실리콘 층을 에칭하기 위한 전도성 에칭 챔버 등의 임의의 에칭 챔버일 수 있다. 바람직하게는, 에칭 챔버는 유전체 에칭 챔버이다. 다른 실시 형태에서 에칭 챔버는 다른 에치 및 퇴적 레시피를 사용하는 전도체 에칭 챔버이다.The etch chamber may be any etch chamber, such as a dielectric etch chamber for etching the dielectric layer, or a conductive etch chamber for etching the conductive layer or silicon layer. Preferably, the etch chamber is a dielectric etch chamber. In another embodiment, the etch chamber is a conductor etch chamber using other etch and deposition recipes.

본 발명의 일 실시 형태는, 본 발명이 상이한 유형의 에칭 챔버들을 조정하는 방법을 제공하는 것이다. 예를 들어, 캘리포니아 (CA) 프레몬트 소재의 Lam Research Corp. 의 표준 유전체 에처가, 균일한 에칭을 제공하기 위한 상이한 에치를 허용하기 위해 Lam Research Corp. 의 업그레이드된 유전체 에처로 조정될 수 있다.One embodiment of the present invention is to provide a method for adjusting different types of etching chambers. For example, Lam Research Corp. of Fremont, CA, Lt; RTI ID = 0.0 > Lam Research < / RTI > Corp. to allow for different etches to provide uniform etch. Lt; RTI ID = 0.0 > dielectric < / RTI >

다른 실시 형태에서, 본 발명은 각 챔버를 세정한 후 또는 챔버가 어떤 이유에서든 개방될 때마다 동일한 에칭 챔버에 주기적으로 사용된다. 시간이 지나면서 에칭 챔버들은 조정이 어긋나게 되거나, 또는 세정 공정과 같은 소정의 이벤트들 이후 챔버가 재조정될 필요가 있을 수도 있다. 조정이 어긋나게 되는 것은 챔버가 주기적으로 개방되는지 여부에 관계없이 발생할 수 있다. 예를 들어, 많은 RF 시간이 지난 후, 소정 부분의 두께가 변경되고 그 전기적 특성이 변경되어, 에칭 챔버들이 더 이상 전과 같이 작동하지 않을 수 있다. 본 발명의 공정은 에처가 조정이 어긋날 때 테스팅 및 조정을 제공한다. 동일한 챔버를 시간이 지남에 따라 조정하거나 거의 동일한 챔버들을 함께 조정하는 것을 "챔버 매칭 (chamber matching)" 이라고 부른다. 챔버 매칭은 툴 대 툴, 사이트 대 사이트, 또는 로트 대 로트를 매칭시킬 수 있다.In another embodiment, the invention is used periodically in the same etch chamber after cleaning each chamber or whenever the chamber is opened for any reason. Over time, the etch chambers may be misaligned, or the chamber may need to be readjusted after certain events such as a cleaning process. The misalignment can occur regardless of whether the chamber is periodically opened or not. For example, after a number of RF times, the thickness of a given portion may be changed and its electrical characteristics may change so that the etch chambers may no longer function as before. The process of the present invention provides for testing and adjustment when the etchant misaligns. Adjusting the same chamber over time or adjusting the same chambers together is referred to as "chamber matching ". Chamber matching can match tool-to-tool, site-to-site, or lot-to-lot.

챔버 매칭이 있는지, 또는 챔버와 다양한 서브 시스템들이 적절하게 동작하는지 (다시 말하면 출력 파워가 동작하는지), 그리고 그것들이 적절하게 교정되는지 여부에 대한 결정을 "공정 교정 (process calibration)" 이라고 부른다. 공정 인디케이터가 결함 검출이나 공정 교정을 위한 표시를 제공한다.The determination of whether there is chamber matching or whether the chamber and the various subsystems operate properly (i.e., the output power is operating) and whether they are properly calibrated is referred to as "process calibration ". Process indicators provide indications for defect detection or process calibration.

CD는 이온 효과가 영향을 훨씬 적게 미치는 측벽에 위치하기 때문에, 공정에서 퇴적량에 매우 민감하다. 통상의 에치 테스트는 그것이 에칭이기 때문에 퇴적을 잘 측정하지 않지만, 퇴적 테스트는 그것을 직접 측정하므로 CD에 대해 훨씬 더 우수한 인디케이터이다. 반면에, 에치 테스트는 에치 속도와 같이 이온들에 매우 의존적일 수 있는 (수직 에칭) 피처 특성에 대해 더 우수한 인디케이터이다. 그러므로 2 개의 층은 퇴적 및 에치 특성 모두를 측정하는 데 있어서 매우 상호 보완적이다.CD is very sensitive to deposition in the process because it is located on the sidewall where the ionic effect is much less affected. A typical etch test does not measure the deposition well because it is an etch, but the deposition test is a much better indicator for the CD because it measures it directly. On the other hand, etch testing is a better indicator of feature properties (vertical etch) that can be highly dependent on ions, such as etch rate. Therefore, the two layers are highly complementary in measuring both deposition and etch characteristics.

일 실시 형태에서, 블랭킷 에치 층의 두께 및 블랭킷 퇴적 층의 두께를 측정하기 위해 단일 위치가 이용된다. 다른 실시 형태에서는, 블랭킷 에치 층의 두께 및 블랭킷 퇴적 층의 두께가 적어도 49 개의 위치에서 측정된다. 도 6 은 웨이퍼 (604) 의 개략적인 상면도이다. 49 개의 폴라 플롯 포인트 (608) 및 부가적인 대각선 플롯 포인트들이 테스트 패턴을 형성하기 위해 웨이퍼에 지정된다.In one embodiment, a single location is used to measure the thickness of the blanket etch layer and the thickness of the blanket deposition layer. In another embodiment, the thickness of the blanket etch layer and the thickness of the blanket deposited layer are measured in at least 49 positions. 6 is a schematic top view of the wafer 604. FIG. 49 polar plot points 608 and additional diagonal plot points are assigned to the wafer to form a test pattern.

도 7 은 본 발명의 블랭킷 퇴적 층에 대해 49 개의 폴라 플롯 포인트 테스트 패턴을 사용하여 공정 인디케이터를 측정하는 테스트 결과의 이미지이다. 알 수 있는 바와 같이, 결과의 공정 인디케이터는 방사상으로 비대칭이다. 도 8 은 본 발명의 블랭킷 에치 층에 대해 49 개의 폴라 플롯 포인트 테스트 패턴을 사용하여 공정 인디케이터를 측정하는 테스트 결과의 이미지이다. 알 수 있는 바와 같이, 공정 인디케이터의 이 층은 방사상으로 대칭이다. 본 발명의 블랭킷 퇴적 층이 비대칭인 것과 본 발명의 블랭킷 에치 층이 대칭인 것을 결합하면 챔버에 특정한 문제가 있다는 것을 알 수 있다. 도 9 는 상부 전극 온도 맵이다. 이 맵은 이러한 특정 경우에서 상부 전극 온도가 비대칭이라는 것을 표시한다. 상부 전극 온도의 비대칭성은 패터닝된 웨이퍼의 CD 균일성에 영향을 미친다. 하나의 블랭킷 에치만을 사용하는 종래의 단일층 테스트는 도 8 에 나타낸 바와 같은 대칭 에칭을 유발하기 때문에 고가의 웨이퍼가 처리되기 전에 비대칭 문제를 포착하지 못한다. 본 발명에서 블랭킷 에치 및 블랭킷 퇴적 모두를 사용하는 것은 이러한 비대칭을 검출하는 보다 우수한 인디케이터를 제공한다.Figure 7 is an image of a test result measuring process indicators using 49 polar plot point test patterns for the blanket deposition layer of the present invention. As can be seen, the resulting process indicator is radially asymmetric. Figure 8 is an image of a test result measuring process indicators using 49 polar plot point test patterns for the blanket etch layer of the present invention. As can be seen, this layer of the process indicator is radially symmetric. It can be seen that the combination of the asymmetric blanket deposition layer of the present invention and the blanket etch layer of the present invention is symmetrical and there is a particular problem with the chamber. 9 is an upper electrode temperature map. This map indicates that in this particular case the top electrode temperature is asymmetric. The asymmetry of the top electrode temperature affects the CD uniformity of the patterned wafer. Conventional monolayer testing using only one blanket etch results in symmetric etching as shown in Figure 8 and therefore fails to capture asymmetric problems before expensive wafers are processed. The use of both blanket etch and blanket deposition in the present invention provides a better indicator for detecting this asymmetry.

본 발명의 다른 실시 형태에 있어서, 상이한 위치에서 복수의 두께를 측정하는 것은 공간 맵을 제공할 수도 있는 균일성에 관한 공간 정보를 제공한다. 이것은 웨이퍼의 내측 부분 및 외측 부분이 상이한 에치 속도를 가지고 있는지 또는 비대칭적 결과가 존재하는지 여부를 표시할 수도 있다.In another embodiment of the present invention, measuring a plurality of thicknesses at different locations provides spatial information about uniformity that may provide a spatial map. This may indicate whether the inner and outer portions of the wafer have different etch rates or whether an asymmetrical result is present.

다른 실시 형태에서, 상이한 위치에서 복수의 두께 측정이 이루어질 수 있으며, 그 후 상이한 위치에서의 측정값들은 평균 두께를 구하기 위해 평균이 내어진다. 평균을 구하는 것, 중간값이나 최빈값을 얻는 것, 또는 다른 연산들이 공정 인디케이터를 결정하기 위해 사용될 수 있는 조합된 두께의 숫자를 얻기 위해 복수의 두께들을 조합하는 데 이용될 수 있다. 공정 인디케이터를 결정하기 위해 조합된 두께의 숫자와 같은 단일의 숫자를 사용하는 것은 빠른 비교 프로세스를 제공한다.In another embodiment, a plurality of thickness measurements may be made at different locations, and then the measurements at different locations are averaged to obtain an average thickness. Obtaining an average, obtaining an intermediate value or a mode, or other operations may be used to combine a plurality of thicknesses to obtain a combined number of thicknesses that can be used to determine a process indicator. Using a single number such as the number of combined thicknesses to determine the process indicator provides a quick comparison process.

본 발명은 용이하게 이용할 수 있는 재료를 사용하며 저가의, 빠르고, 정확한 공정 인디케이터 테스트를 제공한다. 패터닝된 웨이퍼를 요구하는 테스트들은 이러한 기준에 적합하지 않기 때문에 작동하지 않을 것이다.The present invention provides an inexpensive, fast, accurate process indicator test using readily available materials. Tests that require patterned wafers will not work because they do not meet these criteria.

본 발명의 다른 실시 형태는 공정 체제를 "스캔 (scan)" 하기 위해 사용될 수 있다. 예를 들어, 균일하지 못하여 어떠한 공정 체제가 더 균일한 상태를 가지는지를 아는 것이 바람직한 CD를 가진 에칭된 웨이퍼를 제공하는 공정에서, 본 발명의 일 실시 형태는 패턴 웨이퍼를 사용하는 것보다 훨씬 빠르고 저가인 다량의 공정 체제를 스캔하는 데 사용될 수 있다.Other embodiments of the present invention may be used to "scan" the process setup. For example, in a process for providing etched wafers with CDs where it is desirable to know which process regimes are more uniform due to non-uniformity, one embodiment of the present invention is much faster and lower cost than using pattern wafers Which can be used to scan a large number of process regimes.

본 발명의 다른 실시 형태들은 어떤 이유에서든지 패터닝된 웨이퍼를 요구하는 다른 테스트들 대신에 사용되며, 이러한 실시 형태는 보다 고가의 웨이퍼 (즉, 패턴 웨이퍼) 대신에 사용될 수 있는 저가의 (즉, 블랭킷) 웨이퍼를 제공한다.Other embodiments of the present invention may be used in place of other tests that require patterned wafers for any reason, and these embodiments may be applied to low cost (i.e., blanket) substrates that can be used in place of more expensive wafers Thereby providing a wafer.

다른 실시 형태에서 블랭킷 퇴적은 하나의 웨이퍼 상에서 행해질 수도 있고 블랭킷 에치는 다른 블랭킷 웨이퍼 상에서 수행될 수도 있다. 양 웨이퍼들의 측정 결과는 조합되어 공정 인디케이터로 사용될 수 있다.In another embodiment, blanket deposition may be performed on one wafer and blanket deposition may be performed on other blanket wafers. The measurement results of both wafers can be combined and used as a process indicator.

본 발명이 몇몇 바람직한 실시 형태에 관해서 서술하였지만, 본 발명의 범위 내에 포함되는 변형, 치환 및 다양한 대체 등가물이 있다. 또한, 본 발명의 방법 및 장치를 구현하는 많은 대안의 방식들이 있음을 주지하여야 한다. 그러므로, 이하 첨부된 청구범위는 본 발명의 진정한 사상 및 범위 내에 포함되는 그러한 변형, 치환 및 다양한 대체 등가물을 모두 포함하여 해석되어야 하는 것으로 의도된다.
While this invention has been described in terms of several preferred embodiments, there are alterations, permutations, and various alternative equivalents that fall within the scope of the invention. It should also be noted that there are many alternative ways of implementing the method and apparatus of the present invention. It is therefore intended that the appended claims be construed to include all such modifications, substitutions, and various alternative equivalents as fall within the true spirit and scope of the present invention.

Claims (18)

에칭 챔버를 위한 공정 인디케이터를 제공하는 방법으로서
a) 상기 에칭 챔버 내에 블랭킷 에치 층을 가진 웨이퍼를 제공하는 단계;
b) 상기 블랭킷 에치 층의 블랭킷 에치를 수행하는 단계;
c) 상기 블랭킷 에치를 수행하는 단계가 완료된 후, 상기 블랭킷 에치 층 위에 블랭킷 퇴적 층을 퇴적하는 단계;
d) 상기 블랭킷 에치 층의 두께 및 상기 블랭킷 퇴적 층의 두께를 측정하는 단계; 및
e) 공정 인디케이터를 결정하기 위해 상기 측정된 두께들을 이용하는 단계를 포함하는, 에칭 챔버의 공정 인디케이터 제공 방법.
A method of providing a process indicator for an etch chamber
a) providing a wafer having a blanket etch layer in the etch chamber;
b) performing a blanket etch of the blanket etch layer;
c) depositing a blanket deposition layer on the blanket etch layer after the step of performing the blanket etch is completed;
d) measuring the thickness of the blanket etch layer and the thickness of the blanket deposition layer; And
and e) using the measured thicknesses to determine a process indicator.
제 1 항에 있어서,
상기 공정 인디케이터를 결정하기 위해 상기 측정된 두께들을 이용하는 단계는, 조합된 두께의 숫자를 획득하기 위해 복수의 두께들을 수학적으로 조합하는 단계를 제공하는, 에칭 챔버의 공정 인디케이터 제공 방법.
The method according to claim 1,
Wherein using the measured thicknesses to determine the process indicator provides mathematically combining a plurality of thicknesses to obtain a number of combined thicknesses.
제 1 항에 있어서,
상기 블랭킷 에치 층의 두께 및 상기 블랭킷 퇴적 층의 두께를 측정하는 단계는, 복수의 상이한 위치에서 복수의 두께를 측정하고,
상기 측정된 두께들을 이용하는 단계는, 상기 복수의 상이한 위치에서 측정된 상기 복수의 두께로부터 균일성의 공간 맵을 제공하는 단계를 포함하는, 에칭 챔버의 공정 인디케이터 제공 방법.
The method according to claim 1,
Wherein measuring the thickness of the blanket etch layer and the thickness of the blanket deposition layer comprises measuring a plurality of thicknesses at a plurality of different locations,
Wherein using the measured thicknesses comprises providing a spatial map of uniformity from the plurality of thicknesses measured at the plurality of different locations.
제 3 항에 있어서,
상기 공정 인디케이터를 결정하기 위해 상기 측정된 두께들을 이용하는 단계는, 상기 측정된 두께들을 표준과 비교하는 단계를 포함하고,
상기 에칭 챔버의 공정 인디케이터 제공 방법은, 공정 인디케이터 값이 임계치 이내가 될 때까지 상기 에칭 챔버를 조정하고, 상기 a) 내지 e) 의 단계들을 반복하는 단계를 더 포함하는, 에칭 챔버의 공정 인디케이터 제공 방법.
The method of claim 3,
Wherein using the measured thicknesses to determine the process indicator comprises comparing the measured thicknesses to a standard,
The method of providing a process indicator of an etch chamber further comprises adjusting the etch chamber until the process indicator value is within a threshold and repeating the steps of a) to e) Way.
제 4 항에 있어서,
상기 조정은 상기 측정된 두께들의 공간적 대칭성을 증가시키는, 에칭 챔버의 공정 인디케이터 제공 방법.
5. The method of claim 4,
Wherein the adjustment increases the spatial symmetry of the measured thicknesses.
제 5 항에 있어서,
상기 공정 인디케이터 값이 상기 임계치 이내가 된 후 상기 에칭 챔버 내에 패터닝된 웨이퍼를 제공하는 단계; 및
상기 패터닝된 웨이퍼를 에칭하는 단계를 더 포함하는, 에칭 챔버의 공정 인디케이터 제공 방법.
6. The method of claim 5,
Providing a patterned wafer in the etch chamber after the process indicator value is within the threshold; And
And etching the patterned wafer. ≪ Desc / Clms Page number 20 >
제 6 항에 있어서,
상기 표준이 상기 에칭 챔버와 상이한 디바이스에서 측정된 두께로부터 생성되는, 에칭 챔버의 공정 인디케이터 제공 방법.
The method according to claim 6,
Wherein the standard is produced from a measured thickness in a device different from the etch chamber.
제 6 항에 있어서,
상기 블랭킷 에치 층이 실리콘 산화물 층인, 에칭 챔버의 공정 인디케이터 제공 방법.
The method according to claim 6,
Wherein the blanket etch layer is a silicon oxide layer.
제 3 항에 있어서,
상기 복수의 상이한 위치에서의 상기 복수의 두께들은 적어도 49 개의 상이한 위치로부터의 적어도 49 개의 두께들인, 에칭 챔버의 공정 인디케이터 제공 방법.
The method of claim 3,
Wherein the plurality of thicknesses at the plurality of different locations are at least 49 thicknesses from at least 49 different locations.
제 3 항에 있어서,
상기 공정 인디케이터가 결함 검출을 제공하는, 에칭 챔버의 공정 인디케이터 제공 방법.
The method of claim 3,
Wherein the process indicator provides defect detection. ≪ Desc / Clms Page number 17 >
제 3 항에 있어서,
상기 공정 인디케이터가 공정 교정 (calibration) 을 제공하는, 에칭 챔버의 공정 인디케이터 제공 방법.
The method of claim 3,
Wherein the process indicator provides calibration of the process.
제 1 항에 있어서,
상기 공정 인디케이터를 결정하기 위해 상기 측정된 두께들을 이용하는 단계는, 상기 측정된 두께들을 표준과 비교하는 단계를 포함하고,
상기 에칭 챔버의 공정 인디케이터 제공 방법은, 공정 인디케이터 값이 임계치 이내가 될 때까지 상기 에칭 챔버를 조정하고, 상기 a) 내지 e) 의 단계들을 반복하는 단계를 포함하는, 에칭 챔버의 공정 인디케이터 제공 방법.
The method according to claim 1,
Wherein using the measured thicknesses to determine the process indicator comprises comparing the measured thicknesses to a standard,
Wherein the method of providing the process indicator of the etching chamber comprises adjusting the etching chamber until the process indicator value is within the threshold and repeating the steps of a) to e) .
제 12 항에 있어서,
상기 조정은 상기 측정된 두께들의 공간적 대칭성을 증가시키는, 에칭 챔버의 공정 인디케이터 제공 방법.
13. The method of claim 12,
Wherein the adjustment increases the spatial symmetry of the measured thicknesses.
제 13 항에 있어서,
상기 공정 인디케이터 값이 상기 임계치 이내가 된 후 상기 에칭 챔버 내에 패터닝된 웨이퍼를 제공하는 단계; 및
상기 패터닝된 웨이퍼를 에칭하는 단계를 더 포함하는, 에칭 챔버의 공정 인디케이터 제공 방법.
14. The method of claim 13,
Providing a patterned wafer in the etch chamber after the process indicator value is within the threshold; And
And etching the patterned wafer. ≪ Desc / Clms Page number 20 >
제 14 항에 있어서,
상기 표준이 다른 에칭 챔버에서 측정된 두께들로부터 생성되는, 에칭 챔버의 공정 인디케이터 제공 방법.
15. The method of claim 14,
Wherein the standard is generated from the measured thicknesses in the other etch chambers.
제 14 항에 있어서,
상기 블랭킷 에치 층이 실리콘 산화물 층인, 에칭 챔버의 공정 인디케이터 제공 방법.
15. The method of claim 14,
Wherein the blanket etch layer is a silicon oxide layer.
반도체 피처를 형성하는 방법으로서,
a) 에칭 챔버 내에 블랭킷 에치 층을 가진 웨이퍼를 제공하는 단계;
b) 상기 블랭킷 에치 층의 블랭킷 에치를 수행하는 단계;
c) 상기 블랭킷 에치를 수행하는 단계가 완료된 후, 상기 블랭킷 에치 층 위에 블랭킷 퇴적 층을 퇴적하는 단계;
d) 상기 블랭킷 에치 층의 두께 및 상기 블랭킷 퇴적 층의 두께를 측정하는 단계;
e) 공정 인디케이터를 결정하기 위해 상기 측정된 두께들을 이용하는 단계;
f) 상기 공정 인디케이터가 임계치 밖인 경우 상기 에칭 챔버를 조정하는 단계;
g) 공정 인디케이터 값이 상기 임계치 이내가 될 때까지 상기 a) 내지 f) 의 단계들을 반복하는 단계;
h) 상기 공정 인디케이터 값이 상기 임계치 이내가 된 후 상기 에칭 챔버에 패터닝된 웨이퍼를 제공하는 단계; 및
i) 반도체 피처를 형성하기 위해 상기 패터닝된 웨이퍼를 에칭하는 단계를 포함하는, 반도체 피처의 형성 방법.
A method of forming a semiconductor feature,
a) providing a wafer having a blanket etch layer in an etch chamber;
b) performing a blanket etch of the blanket etch layer;
c) depositing a blanket deposition layer on the blanket etch layer after the step of performing the blanket etch is completed;
d) measuring the thickness of the blanket etch layer and the thickness of the blanket deposition layer;
e) using said measured thicknesses to determine a process indicator;
f) adjusting the etch chamber if the process indicator is outside the threshold;
g) repeating the steps a) through f) until the process indicator value is within the threshold;
h) providing a patterned wafer in the etch chamber after the process indicator value is within the threshold; And
i) etching the patterned wafer to form a semiconductor feature.
에칭 챔버를 위한 공정 인디케이터를 제공하는 방법으로서
a) 상기 에칭 챔버 내에 블랭킷 에치 층을 가진 제 1 웨이퍼를 제공하는 단계;
b) 상기 블랭킷 에치 층의 블랭킷 에치를 수행하는 단계;
c) 상기 에칭 챔버로부터 상기 제 1 웨이퍼를 제거하는 단계;
d) 상기 에칭 챔버 내에 제 2 웨이퍼를 제공하는 단계;
e) 상기 제 2 웨이퍼 위에 블랭킷 퇴적 층을 퇴적하는 단계;
f) 상기 제 1 웨이퍼의 상기 블랭킷 에치 층의 두께를 측정하는 단계;
g) 상기 제 2 웨이퍼의 상기 블랭킷 퇴적 층의 두께를 측정하는 단계; 및
h) 공정 인디케이터를 결정하기 위해 상기 측정된 두께들을 이용하는 단계를 포함하는, 에칭 챔버의 공정 인디케이터 제공 방법.



A method of providing a process indicator for an etch chamber
a) providing a first wafer having a blanket etch layer in the etch chamber;
b) performing a blanket etch of the blanket etch layer;
c) removing the first wafer from the etch chamber;
d) providing a second wafer in the etch chamber;
e) depositing a blanket deposition layer on the second wafer;
f) measuring the thickness of the blanket etch layer of the first wafer;
g) measuring the thickness of the blanket deposition layer of the second wafer; And
h) using the measured thicknesses to determine a process indicator.



KR1020100127806A 2009-12-15 2010-12-14 Etch tool process indicator method and apparatus KR101759745B1 (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US12/638,697 2009-12-15
US12/638,697 US8206996B2 (en) 2006-03-28 2009-12-15 Etch tool process indicator method and apparatus

Publications (2)

Publication Number Publication Date
KR20110068914A KR20110068914A (en) 2011-06-22
KR101759745B1 true KR101759745B1 (en) 2017-07-19

Family

ID=44401019

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020100127806A KR101759745B1 (en) 2009-12-15 2010-12-14 Etch tool process indicator method and apparatus

Country Status (3)

Country Link
KR (1) KR101759745B1 (en)
CN (2) CN102142385B (en)
TW (1) TWI525696B (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20170004898A (en) * 2015-07-02 2017-01-11 램 리써치 코포레이션 Determination of semiconductor chamber operating parameters for the optimization of critical dimension uniformity

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN107728589B (en) * 2017-09-25 2019-11-15 华南理工大学 A kind of on-line monitoring method of flexibility IC substrate etch developing process

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FR2801422B1 (en) * 1999-11-23 2002-02-22 Mhs METHOD AND DEVICE FOR MONITORING THE THICKNESS OF A LAYER OF AN INTEGRATED CIRCUIT IN REAL TIME
KR100486651B1 (en) * 2002-09-17 2005-05-03 동부아남반도체 주식회사 Method for forming flash memory cell
US7361607B2 (en) * 2003-06-27 2008-04-22 Lam Research Corporation Method for multi-layer resist plasma etch
US7482178B2 (en) * 2003-08-06 2009-01-27 Applied Materials, Inc. Chamber stability monitoring using an integrated metrology tool
US7135410B2 (en) * 2003-09-26 2006-11-14 Lam Research Corporation Etch with ramping

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20170004898A (en) * 2015-07-02 2017-01-11 램 리써치 코포레이션 Determination of semiconductor chamber operating parameters for the optimization of critical dimension uniformity
KR102637282B1 (en) 2015-07-02 2024-02-15 램 리써치 코포레이션 Determination of semiconductor chamber operating parameters for the optimization of critical dimension uniformity

Also Published As

Publication number Publication date
TW201131646A (en) 2011-09-16
CN103531428A (en) 2014-01-22
CN102142385B (en) 2013-11-20
CN103531428B (en) 2016-06-08
KR20110068914A (en) 2011-06-22
CN102142385A (en) 2011-08-03
TWI525696B (en) 2016-03-11

Similar Documents

Publication Publication Date Title
US9117769B2 (en) Plasma etching method
JP5636486B2 (en) Multi-layer / multi-input / multi-output (MLMIMO) model and method of using the model
TWI398626B (en) Methods and apparatus for monitoring a process in a plasma processing system by measuring self-bias voltage
TWI459168B (en) Adaptive recipe selector
JP2010041051A (en) Method for utilizing multilayer/multi-input/multi-output (mlmimo) model to metal gate structure
US20100081285A1 (en) Apparatus and Method for Improving Photoresist Properties
US7514277B2 (en) Etching method and apparatus
CN107799378B (en) Abnormality detection method and semiconductor manufacturing apparatus
JP2011071522A (en) Dc/rf hybrid processing system
KR20050063806A (en) Method and apparatus employing integrated metrology for improved dielectric etch efficiency
CN102254813A (en) Plasma etching method
US20110049098A1 (en) Plasma etching method
CN1998069A (en) Method of plasma etch endpoint detection using a V-I probe diagnostics
US7951616B2 (en) Process for wafer temperature verification in etch tools
US8492174B2 (en) Etch tool process indicator method and apparatus
KR101759745B1 (en) Etch tool process indicator method and apparatus
JP4068986B2 (en) Sample dry etching method and dry etching apparatus
WO2010110878A1 (en) Plasma etching method
US6930049B2 (en) Endpoint control for small open area by RF source parameter Vdc
CN108227390B (en) Image quality detection method of photoetching machine
KR102637282B1 (en) Determination of semiconductor chamber operating parameters for the optimization of critical dimension uniformity
US20230352282A1 (en) Autonomous operation of plasma processing tool
US20230317483A1 (en) Data fusion of multiple sensors
US20050106868A1 (en) Etching method
JP2007073567A (en) Semiconductor manufacturing apparatus

Legal Events

Date Code Title Description
A201 Request for examination
E701 Decision to grant or registration of patent right
GRNT Written decision to grant