TWI511286B - An soi transistor having drain and source regions of reduced length and a stressed dielectric material adjacent thereto - Google Patents

An soi transistor having drain and source regions of reduced length and a stressed dielectric material adjacent thereto Download PDF

Info

Publication number
TWI511286B
TWI511286B TW097111226A TW97111226A TWI511286B TW I511286 B TWI511286 B TW I511286B TW 097111226 A TW097111226 A TW 097111226A TW 97111226 A TW97111226 A TW 97111226A TW I511286 B TWI511286 B TW I511286B
Authority
TW
Taiwan
Prior art keywords
transistor
layer
drain
insulating layer
buried insulating
Prior art date
Application number
TW097111226A
Other languages
Chinese (zh)
Other versions
TW200849592A (en
Inventor
Andy Wei
Thorsten Kammler
Roman Boschke
Casey Scott
Original Assignee
Advanced Micro Devices Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Advanced Micro Devices Inc filed Critical Advanced Micro Devices Inc
Publication of TW200849592A publication Critical patent/TW200849592A/en
Application granted granted Critical
Publication of TWI511286B publication Critical patent/TWI511286B/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66575Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate
    • H01L29/6659Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate with both lightly doped source and drain extensions and source and drain self-aligned to the sides of the gate, e.g. lightly doped drain [LDD] MOSFET, double diffused drain [DDD] MOSFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/7624Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using semiconductor on insulator [SOI] technology
    • H01L21/76264SOI together with lateral isolation, e.g. using local oxidation of silicon, or dielectric or polycristalline material refilled trench or air gap isolation regions, e.g. completely isolated semiconductor islands
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/84Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being other than a semiconductor body, e.g. being an insulating body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/12Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body
    • H01L27/1203Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body the substrate comprising an insulating body on a semiconductor body, e.g. SOI
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41766Source or drain electrodes for field effect devices with at least part of the source or drain electrode having contact below the semiconductor surface, e.g. the source or drain electrode formed at least partially in a groove or with inclusions of conductor inside the semiconductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66636Lateral single gate silicon transistors with source or drain recessed by etching or first recessed by etching and then refilled
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66742Thin film unipolar transistors
    • H01L29/66772Monocristalline silicon transistors on insulating substrates, e.g. quartz substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7843Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being an applied insulating layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78651Silicon transistors
    • H01L29/78654Monocrystalline silicon transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/665Unipolar field-effect transistors with an insulated gate, i.e. MISFET using self aligned silicidation, i.e. salicide

Description

具有縮減長度之汲極和源極區及與其毗鄰之受力介電材料的SOI電晶體SOI transistor with reduced length drain and source regions and adjacent dielectric materials

大體而言,本發明係關於形成積體電路,更詳言之,尤係關於藉由使用應力(stress)源極、受力之覆蓋層(stressed overlayer)、等等而形成具有受力通道區之電晶體,以增強於MOS電晶體之通道區中之電荷載子移動率(mobility)。In general, the present invention relates to forming integrated circuits, and more particularly to forming a stressed channel region by using a stress source, a stressed overlayer, and the like. The transistor is reinforced to the charge carrier mobility in the channel region of the MOS transistor.

一般而言,現在施用於半導體製造之領域有複數種製程技術,其中,對於複雜的電路而言,譬如微處理器、儲存晶片等等,由於鑑於運作速度和/或電力消耗和/或成本效益之優越特性,CMOS技術為目前最有前景之方法。在使用CMOS技術製造複雜的積體電路期間,數百萬個電晶體,亦即N通道電晶體和P通道電晶體,被形成在包含結晶半導體層之基板上。不管是考慮NMOS電晶體或PMOS電晶體,MOS電晶體包括所謂的PN接面(PN junction),該PN接面是由高度摻雜之汲極和源極區與配置於該汲極區和該源極區之間之反向或弱摻雜之通道區之介面所形成。In general, there are a number of process technologies currently employed in the field of semiconductor fabrication, where complex circuits, such as microprocessors, memory chips, etc., due to operational speed and/or power consumption and/or cost effectiveness The superior features of CMOS technology are currently the most promising approach. During the fabrication of complex integrated circuits using CMOS technology, millions of transistors, namely N-channel transistors and P-channel transistors, are formed on a substrate comprising a crystalline semiconductor layer. Regardless of the NMOS transistor or PMOS transistor, the MOS transistor includes a so-called PN junction, which is a highly doped drain and source region and is disposed in the drain region and The interface between the source regions is opposite or weakly doped channel regions.

通道區之導電率(亦即,導電通道之驅動電流能力)係由接近該通道區所形成並以薄絕緣層而與該通道區分隔之閘極電極所控制。在形成導電通道後,由於施加了適當的控制電壓至閘極電極,該通道區之導電率取決於摻雜劑濃度(dopant concentration)、多數電荷載子之移動率、以及對於電晶體寬度方向中該通道區之給定的延伸區而言在 汲極和源極區之間之距離(其亦稱之為通道長度)而定。因此,在施加控制電壓於閘極電極後,結合了在絕緣層下方快速產生導電通道之能力,通道區之總導電率實質決定了MOS電晶體之效能。因此,減小通道長度對於實現增加操作速度和積體電路之裝填密度(packing density)為主要的設計準則。The conductivity of the channel region (i.e., the drive current capability of the conductive channel) is controlled by a gate electrode formed adjacent to the channel region and separated from the channel region by a thin insulating layer. After the conductive path is formed, the conductivity of the channel region depends on the dopant concentration, the mobility of most charge carriers, and the direction of the transistor width due to the application of an appropriate control voltage to the gate electrode. For a given extension of the channel zone, The distance between the drain and the source region (which is also referred to as the channel length). Therefore, after applying the control voltage to the gate electrode, combined with the ability to rapidly generate a conductive path under the insulating layer, the total conductivity of the channel region substantially determines the performance of the MOS transistor. Therefore, reducing the channel length is the main design criterion for achieving an increased operating speed and a packing density of the integrated circuit.

然而,電晶體尺寸之持續縮小牽涉到多個與其有關之問題,該等問題必須解決以便不會不當地抵銷了由於穩定地減小MOS電晶體之通道長度所獲得的好處。於此方面之一個主要的問題是於源極和汲極區和連接到該源極和汲極區之任何接觸中提供低片電阻率(sheet resistivity)和低接觸電阻率(contact resistivity),並維持通道可控制性。例如,縮減通道長度可能需要增加閘極電極和通道區之間之電容性耦接(capacitive coupling),這可能需要縮減閘極絕緣層之厚度。目前,基於二氧化矽之閘極絕緣層之厚度是在1至2nm之範圍,其中鑑於當縮減閘極介電質厚度時漏電流一般呈指數方式增加,故較不希望再縮減厚度。However, the continued shrinking of the transistor size involves a number of problems associated with it that must be resolved so as not to unduly offset the benefits obtained by steadily reducing the channel length of the MOS transistor. A major problem in this regard is to provide low sheet resistivity and low contact resistivity in any contact between the source and drain regions and the source and drain regions. Maintain channel controllability. For example, reducing the channel length may require increasing the capacitive coupling between the gate electrode and the channel region, which may require reducing the thickness of the gate insulating layer. At present, the thickness of the gate insulating layer based on cerium oxide is in the range of 1 to 2 nm, and in view of the fact that the leakage current generally increases exponentially when the thickness of the gate dielectric is reduced, it is less desirable to reduce the thickness.

關鍵尺寸(亦即,電晶體之閘極長度)之持續尺寸減小需要適應和可能的新研發關於上述所提問題之高度複雜的製程技術。已經提出藉由增加對於給定通道長度於通道區中之電荷載子移動率,由此增強電晶體元件之通道導電率而改善電晶體效能,從而提供達成效能改善的可能性,該效能之改善媲美提升到未來的技術節點,同時避免或至少 延後許多的上述問題,譬如閘極介電質之尺寸縮放。一個用來增加電荷載子移動率之有效的機制是修改於通道區中的晶格結構(lattice structure),例如藉由於通道區附近產生拉應力(tensile stress)或壓應力(compressive stress),以在該通道區中產生對應的應變,該應變對於電子和電洞分別造成修正的移動率。舉例而言,對於標準的矽基板,於通道區中產生拉應變(tensile strain)會增加電子的移動率,所增加之電子的移動率接著可直接轉變成對應的增加導電率,並因此增加驅動電流和運作速度。另一方面,於通道區中之壓應變(compressive strain)可增加電洞的移動率,由此提供增強P型電晶體之效能的可能性。將應力或應變工程引入積體電路製造中是對進一步之裝置世代極有前景之方法,因此,例如,受應變之矽可被視為“新”類型之半導體材料,這使得可以在不需要昂貴的半導體材料的情況下,製造快速有力的半導體裝置,同時仍然可使用許多廣為接受的製造技術。The continued size reduction of the critical dimensions (i.e., the gate length of the transistor) requires adaptation and possible new development of highly complex process techniques with respect to the above mentioned problems. It has been proposed to improve the efficacy of the transistor by increasing the charge carrier mobility in a channel region for a given channel length, thereby enhancing the channel conductivity of the transistor element, thereby providing the possibility of achieving an improvement in performance. Promote to the future of technology nodes while avoiding or at least Many of the above problems are postponed, such as the size scaling of the gate dielectric. An effective mechanism for increasing the charge carrier mobility is to modify the lattice structure in the channel region, for example by generating tensile stress or compressive stress near the channel region. A corresponding strain is generated in the channel region that causes a modified mobility rate for the electron and the hole, respectively. For example, for a standard germanium substrate, a tensile strain in the channel region increases the mobility of the electrons, and the increased mobility of the electrons can then be directly converted to a correspondingly increased conductivity, and thus the drive is increased. Current and operating speed. On the other hand, the compressive strain in the channel region increases the mobility of the hole, thereby providing the possibility of enhancing the performance of the P-type transistor. The introduction of stress or strain engineering into the fabrication of integrated circuits is a promising approach to further device generations, so for example, strained strains can be considered as "new" types of semiconductor materials, which makes it unnecessary to be expensive In the case of semiconductor materials, fast and powerful semiconductor devices are fabricated while still using many widely accepted fabrication techniques.

依照一個有前景之方法,可藉由例如位於接近電晶體、間隔件(spacer)元件等等之諸層而產生應力,以在通道區內引發所希望之應變。然而,藉由施加特定的外部應力而產生通道區中應變之製程可能遭受到外部應力不有效轉變成為通道區中之應變的問題。因此,雖然提供了顯著之優點,但是應力轉移機制的效率可能取決於製程和裝置規格而定,並且,因為覆蓋層可能顯著地從通道區偏移,對於廣為接受的標準電晶體設計而言可能造成降低的效能 增益,因而減少了於通道區中最終產生之應變。因此,已經提出凹入的電晶體架構用來增強橫向應力轉移。According to a promising method, stress can be generated by, for example, layers located adjacent to a transistor, a spacer element, etc., to induce a desired strain in the channel region. However, the process of generating strain in the channel region by applying a specific external stress may suffer from the problem that the external stress is not effectively converted into strain in the channel region. Thus, while providing significant advantages, the efficiency of the stress transfer mechanism may depend on the process and device specifications, and because the overlay may be significantly offset from the channel region, for a widely accepted standard transistor design May cause reduced performance The gain, thus reducing the resulting strain in the channel region. Therefore, recessed transistor architectures have been proposed to enhance lateral stress transfer.

參照第1a至1g圖,現將更詳細說明形成凹入的電晶體架構之習知策略,以便解釋此裝置組構之主要優點,並亦說明尤其涉及絕緣體上覆矽(silicon-on-insulator,SOI)之問題。Referring to Figures 1a through 1g, a conventional strategy for forming a recessed transistor architecture will now be described in more detail to explain the main advantages of the device architecture, and also to the silicon-on-insulator, in particular. SOI) problem.

第1a圖示意地說明包含電晶體元件150之半導體裝置100之上視圖。電晶體元件150典型地包括汲極和源極區151和閘極電極152,該閘極電極152可能已經形成在其個別側壁間隔件153之側壁上。而且,個別接點(contact)157可實質延伸垂直於第1a圖之圖形平面,以便建立汲極和源極區151之電性連接至各自的較高接線層(未圖示)。再者,用於將材料150鈍化之個別的介電材料可能未顯示於第1a圖中,以便不會不適當地模糊了電晶體元件150之個別的結構。FIG. 1a schematically illustrates an upper view of a semiconductor device 100 including a transistor element 150. The transistor element 150 typically includes a drain and source region 151 and a gate electrode 152 that may have been formed on the sidewalls of its individual sidewall spacers 153. Moreover, individual contacts 157 may extend substantially perpendicular to the pattern plane of Figure 1a to establish electrical connection of the drain and source regions 151 to respective higher wiring layers (not shown). Moreover, the individual dielectric materials used to passivate material 150 may not be shown in FIG. 1a so as not to unduly obscure the individual structures of transistor element 150.

第1b圖示意地顯示沿著第1a圖之1b-1b線之半導體裝置100之橫剖面圖。首先,實質平坦的組構係顯示於第1b圖中,以便說明凹入之電晶體組構之優點,而將參照第1c至1d圖作更詳細的解說。於第1b圖中,半導體裝置100包括基板101,其表示基體(bulk)矽基板,在該基板101上部包含半導體層102。因此,電晶體元件150可表示基體電晶體,其中汲極和源極區151和對應的通道區155係電性連接至基板101。而且,於此製造階段中,個別的金屬矽化物區154亦可形成在閘極電極152上和於汲極和源 極區151中,其中可藉由間隔件結構153而實質定義對閘極電極152之對應之橫向偏移。為了方便起見,於第1a圖中未顯示金屬矽化物區154。Fig. 1b is a schematic cross-sectional view showing the semiconductor device 100 along the line 1b-1b of Fig. 1a. First, a substantially flat configuration is shown in Figure 1b to illustrate the advantages of the recessed transistor configuration, and will be explained in more detail with reference to Figures 1c through 1d. In FIG. 1b, the semiconductor device 100 includes a substrate 101, which is a bulk substrate, and a semiconductor layer 102 is included on the upper portion of the substrate 101. Thus, the transistor element 150 can represent a base transistor in which the drain and source regions 151 and corresponding channel regions 155 are electrically coupled to the substrate 101. Moreover, in this stage of fabrication, individual metal telluride regions 154 may also be formed on gate electrode 152 and on the drain and source. In the polar region 151, the corresponding lateral offset to the gate electrode 152 can be substantially defined by the spacer structure 153. For the sake of convenience, the metal telluride region 154 is not shown in Figure 1a.

再者,應變引發介電層103(例如,氮化矽層)形成在電晶體元件150之上,以便引發於通道區155中所希望類型之應變。典型上,介電層103可表示在形成個別接線層或金屬化層(未圖示)之前設置以包覆電晶體150之層間介電材料之一部分,該接線層或金屬化層提供於半導體裝置100中個別電路元件之所需電性連接。當電晶體150表示N通道電晶體時,於通道區155中之拉應變可顯著地增強其間之電子移動率,由此提供提升之電晶體效能。於此情況中,層103之介電材料可提供具有高的本質(intrinsic)拉應力,以便以機械方式轉移應力至通道區155中。Furthermore, a strain-inducing dielectric layer 103 (e.g., a tantalum nitride layer) is formed over the transistor element 150 to induce a desired type of strain in the channel region 155. Typically, the dielectric layer 103 can represent a portion of the interlayer dielectric material that is disposed to encapsulate the transistor 150 prior to forming individual wiring layers or metallization layers (not shown) that are provided to the semiconductor device The required electrical connection of individual circuit components in 100. When transistor 150 represents an N-channel transistor, the tensile strain in channel region 155 can significantly enhance the electron mobility therebetween, thereby providing enhanced transistor performance. In this case, the dielectric material of layer 103 can provide a high intrinsic tensile stress to mechanically transfer stress into channel region 155.

應了解到,高效率應變引發機制可用於P通道電晶體,譬如提供埋置之矽/鍺材料於個別的汲極和源極區151中,從而使得對PMOS電晶體有顯著的電晶體改進,然而,於此情況中,其中,可額外提供高的壓應力給適當的受力介電材料,譬如層103,以更進一步增強整體的電晶體效能。已知氮化矽能夠提供高的本質應力,其中,根據電漿輔助化學氣相沉積(PECVD)之廣為接受的沉積技術而得到高的本質應力,其中可以獲得極高的壓縮值,而個別的拉應變則較不顯著。結果,於下文中,可以假設電晶體150可以表示N通道電晶體其效能被進一步提升,以便藉由應變工程技術降低P通道電晶體和N通道電晶體之效能增益 的不平衡。例如,可藉由凹入汲極和源極區151而增強應力之個別的機械轉移至通道區155中,以便提供實質橫向作用於通道區155之增加的“直接(direct)”應力成分。It will be appreciated that a high efficiency strain inducing mechanism can be used for P-channel transistors, such as providing buried germanium/germanium materials in individual drain and source regions 151, resulting in significant transistor improvements to PMOS transistors, However, in this case, a high compressive stress may be additionally provided to a suitable stressed dielectric material, such as layer 103, to further enhance the overall transistor performance. It is known that tantalum nitride can provide high intrinsic stress, wherein high intrinsic stress is obtained according to a widely accepted deposition technique of plasma assisted chemical vapor deposition (PECVD), in which extremely high compression values can be obtained, and individual The tensile strain is less significant. As a result, in the following, it can be assumed that the transistor 150 can represent an N-channel transistor whose performance is further improved to reduce the performance gain of the P-channel transistor and the N-channel transistor by strain engineering techniques. Unbalanced. For example, individual mechanical transfer of stress enhancement to the channel region 155 can be enhanced by recessing the drain and source regions 151 to provide an increased "direct" stress component that substantially acts laterally on the channel region 155.

第1c圖示意地顯示包含具有凹入之汲極和源極區151之電晶體150之半導體裝置100。也就是說,汲極和源極區151包括表面部分151R,當相較於如第1b圖中所示之實質平面組構的情況時,該表面部分151R係位於相對於通道區155明顯較低之高度位準。因此,層103之受力材料可朝實質的橫向方向作用,如前面所說明者。此外,凹入的架構提供於汲極和源極區151中金屬矽化物區154之增加的表面積,因為在對應之矽化作用期間,可能獲得凹入的汲極和源極區151之額外的側壁面積151s。如此一來,相較於第1b圖中所示之平面組構,可以減少電晶體150之總串聯電阻。Figure 1c schematically shows a semiconductor device 100 comprising a transistor 150 having recessed drain and source regions 151. That is, the drain and source regions 151 include a surface portion 151R that is significantly lower relative to the channel region 155 when compared to the substantially planar configuration as shown in Figure 1b. The height level. Thus, the stressed material of layer 103 can act in a substantial lateral direction, as previously described. In addition, the recessed architecture provides increased surface area of the metal telluride region 154 in the drain and source regions 151 because additional sidewalls of the recessed drain and source regions 151 may be obtained during corresponding deuteration. The area is 151s. As such, the overall series resistance of the transistor 150 can be reduced as compared to the planar configuration shown in FIG. 1b.

針對此原因,如第1b圖中所示用來形成電晶體元件150之對應製造順序可適當修改成引入用來形成於汲極和源極區151中對應之凹處的額外製程步驟,而獲得如第1c圖中所示之電晶體組構。舉例而言,可以使用廣為接受之製程技術用來形成第1c圖中所示之電晶體150達到汲極和源極區151將被形成於半導體層102中之狀態。於對應之製程順序期間,可適當地設計植入順序以獲得汲極和源極區151之所希望的深度,以便考慮到將形成於其中之所希望的凹入程度。由上述所給予之說明當可以明白,由於應力轉移之增加效率和於區154中增加的金屬矽化物量,表 面151R之增加深度可能造成增加的效能增益。因此,於如第1c圖中所示之基體電晶體組構中,可以使用個別調適之習知製程技術以獲得汲極和源極區151之所希望的凹入深度,該深度可根據適當設計之蝕刻製程來形成。於對應的蝕刻製程期間,其他的電晶體元件,譬如P通道電晶體或不需要凹入組構之任何其他的電晶體,可由對應的蝕刻遮罩(etch mask)適當地覆蓋。之後,可根據廣為接受的技術而繼續進一步之處理,例如,藉由形成金屬矽化物區域和根據適當的沉積參數而沉積介電層103,以便獲得所希望的高程度之本質應力。之後,可根據廣為接受之技術而沉積譬如二氧化矽之層間介電材料104。For this reason, the corresponding fabrication sequence for forming the transistor element 150 as shown in FIG. 1b can be suitably modified to introduce additional process steps for forming corresponding recesses in the drain and source regions 151. The transistor structure as shown in Figure 1c. For example, a widely accepted process technique can be used to form the transistor 150 shown in FIG. 1c in a state where the drain and source regions 151 are to be formed in the semiconductor layer 102. During the corresponding process sequence, the implantation sequence can be suitably designed to achieve the desired depth of the drain and source regions 151 to account for the desired degree of recession to be formed therein. From the description given above, it can be understood that due to the increased efficiency of stress transfer and the amount of metal telluride added in zone 154, The increased depth of face 151R may result in increased performance gain. Thus, in a base transistor configuration as shown in FIG. 1c, a conventionally tailored process technique can be used to achieve a desired recess depth for the drain and source regions 151, which can be appropriately designed. The etching process is formed. Other transistor elements, such as P-channel transistors or any other transistor that does not require a recessed configuration, may be suitably covered by a corresponding etch mask during the corresponding etch process. Thereafter, further processing can be continued in accordance with widely accepted techniques, for example, by forming a metal halide region and depositing a dielectric layer 103 in accordance with appropriate deposition parameters to achieve the desired high degree of intrinsic stress. Thereafter, an interlayer dielectric material 104 such as hafnium oxide can be deposited according to widely accepted techniques.

第1d圖以示意方式顯示依照由第1a圖中Id-Id線所表示剖面圖所顯示之第1c圖之電晶體150。因此,可由任何適當的導電材料(譬如鎢、銅、銀或任何其他的材料和合金)所組成的接點157可以延伸穿過層間介電材料104和受力層103到達金屬矽化物區154。可根據非等向性蝕刻製程而形成接點157,其中層103可被有效使用為用於首先圖案化該材料104之蝕刻終止材料。之後,層103可被開口而所得到的開口可接著用所希望之導電材料填滿。因此,就應變和串聯電阻而言,藉由凹入之組構可以獲得顯著的優點,其中各自的效能增益實質由個別汲極和源極區151之深度來決定。深度實質受汲極和源極區151之PN接面之位置所限制,因為金屬矽化物區154必須不延伸超過個別的PN接面。於是,於基體組構中,可以修改個別的電 晶體設計以藉由適當地設計個別的摻雜分佈(dopant profile)而獲得汲極和源極區151之所希望的深度,而不會短路個別的PN接面。Fig. 1d schematically shows the transistor 150 according to Fig. 1c shown in the cross-sectional view indicated by the Id-Id line in Fig. 1a. Thus, contacts 157, which may be comprised of any suitable electrically conductive material, such as tungsten, copper, silver, or any other material and alloy, may extend through interlayer dielectric material 104 and force layer 103 to metal halide region 154. Contact 157 can be formed in accordance with an anisotropic etch process, wherein layer 103 can be effectively used as an etch stop material for first patterning the material 104. Thereafter, layer 103 can be opened and the resulting opening can then be filled with the desired conductive material. Thus, in terms of strain and series resistance, significant advantages can be obtained by the configuration of the recesses, wherein the respective performance gains are substantially determined by the depth of the individual drain and source regions 151. The depth is substantially limited by the location of the PN junction of the drain and source regions 151 because the metal telluride region 154 must not extend beyond the individual PN junctions. Thus, in the matrix structure, individual electricity can be modified. The crystal is designed to achieve the desired depth of the drain and source regions 151 by appropriately designing individual dopant profiles without shorting individual PN junctions.

參照第1e至1g圖,將顯示凹入電晶體組構之其他優點。於第1e圖中,半導體裝置100包括依照平面組構之相鄰的電晶體元件150A、150B,其中各電晶體150A、150B可以實質對應於第1d圖中所顯示之電晶體。於此組構中,接點157可以定位於二個電晶體150A、150B之間,其中該金屬矽化物區154可以提供充足的驅動電流能力,以便避免不適當的增加串聯電阻,因為可以避免在金屬矽化物區154中顯著的電流擁塞,但接點157之導電率相較於區154中金屬矽化物之導電率可能明顯較高,而該金屬矽化物之導電率依序又較汲極和源極區151之導電率顯著地較高。Referring to Figures 1e through 1g, other advantages of the recessed transistor configuration will be shown. In Figure 1e, semiconductor device 100 includes adjacent transistor elements 150A, 150B in accordance with a planar configuration, wherein each transistor 150A, 150B may substantially correspond to the transistor shown in Figure 1d. In this configuration, the contacts 157 can be positioned between the two transistors 150A, 150B, wherein the metal telluride region 154 can provide sufficient drive current capability to avoid undue increase in series resistance because it can be avoided The significant current in the metal telluride region 154 is congested, but the conductivity of the junction 157 may be significantly higher than the conductivity of the metal telluride in the region 154, and the conductivity of the metal telluride is more buckling. The conductivity of the source region 151 is significantly higher.

第1f圖示意地顯示半導體裝置100進一步的應用,其中在相鄰的電晶體150A、150B之間對應的間隔可以顯著地縮減,從而得到金屬矽化物區154之橫向延伸與接點157之顯著縮減的比例。於第1f圖所示例子中,此比例可甚至變成趨近於1,從而導致在金屬矽化物材料內明顯的電流擁塞,這可能由於在部分137A之增加的電流擁塞而不當地降低半導體裝置100之整體效能。Figure 1f schematically shows a further application of the semiconductor device 100 in which the corresponding spacing between adjacent transistors 150A, 150B can be significantly reduced, resulting in a significant reduction in the lateral extension of the metal telluride region 154 and the contact 157. proportion. In the example shown in Figure 1f, this ratio may even become closer to 1, resulting in significant current congestion within the metal telluride material, which may undesirably reduce the semiconductor device 100 due to increased current congestion at portion 137A. Overall performance.

第1g圖示意地顯示相似於第1f圖之半導體裝置100,然而其中使用了凹入之汲極和源極組構,如前面參照第1c和1d圖所說明者。很顯然的,由於在面積157A於接點157和金屬矽化物區154之間增加的介面,可以避免或 至少減少不當增加的串聯電阻,從而亦使凹入之汲極和源極組構在需要於相鄰之電晶體元件之間縮減間隔之半導體裝置中極為有利。Figure 1g schematically shows a semiconductor device 100 similar to Figure 1f, however where a recessed drain and source stack are used, as previously described with reference to Figures 1c and 1d. Obviously, due to the increased interface between area 157A between junction 157 and metal telluride region 154, it can be avoided or At least the improperly increased series resistance is reduced, thereby also making it advantageous to have the recessed drain and source structures in a semiconductor device that requires a reduced spacing between adjacent transistor elements.

原則上,凹入的電晶體組構亦可有利於SOI裝置環境,然而,其中該凹入的SOI組構之深度係由形成於埋置絕緣層(buried insulating layer)之上之半導體層之初始厚度所限制。於是,已經提出蝕刻出接近該埋置絕緣層之凹處但依然保留後續的矽化製程所需之充足的矽的技術。也就是說,為了維持矽化物完整,保持著殘留層,殘留層之厚度實質由閘極電極中用來獲得所希望之低閘極電阻所需之矽化物厚度來決定。舉例來說,於具有凹入的汲極/源極組構之現代SOI電晶體中,可能需要約20mm之最小厚度,以便提供製程均勻性和矽化物完整性。因此仍有提升具有凹入汲極/源極組構之SOI電晶體效能之改善空間。In principle, the recessed transistor structure can also facilitate the SOI device environment, however, wherein the depth of the recessed SOI structure is initiated by a semiconductor layer formed over a buried insulating layer. Thickness is limited. Thus, it has been proposed to etch a recess close to the buried insulating layer but still retain sufficient enthalpy required for subsequent deuteration processes. That is, in order to maintain the integrity of the telluride, the residual layer is maintained, and the thickness of the residual layer is substantially determined by the thickness of the telluride required to obtain the desired low gate resistance in the gate electrode. For example, in modern SOI transistors with recessed drain/source configurations, a minimum thickness of about 20 mm may be required to provide process uniformity and telluride integrity. Therefore, there is still room for improvement in the performance of SOI transistors having recessed drain/source structures.

本揭示內容係關於可以避免或至少減少上述一個或多個問題之影響的各種方法和裝置。The present disclosure is directed to various methods and apparatus that can avoid or at least reduce the effects of one or more of the problems discussed above.

為了提供對本發明之某些態樣的基本理解,茲提出以下的簡化概要。此概要並非本發明廣泛之詳盡綜論,亦非想要識別本發明的關鍵或重要元件或者是描繪本發明的範疇,其唯一的目的是要以簡要的形式提出一些概念作為以下實施方式的前言。In order to provide a basic understanding of certain aspects of the invention, the following simplified summary is presented. This Summary is not an extensive overview of the invention, and is not intended to identify key or critical elements of the invention or the scope of the invention. The sole purpose of the invention is to .

一般而言,此處所揭示之標的內容係關於藉由提供具有縮減之“汲極和源極長度”之SOI電晶體,而在SOI電 晶體中藉由增加應力轉移機制和/或減少串聯電阻用來增強電晶體效能之技術,由此提供於埋置絕緣層之下方橫向毗鄰汲極和源極區形成應變引發材料的可能性。結果,應變引發材料可沿著毗鄰汲極和源極區域之實質整個深度而橫向作用,由此明顯增加於個別通道區中的整體應變。於某些態樣中,一部分之埋置絕緣層之暴露可達到與其他製程技術具有高度相容性而用來形成凹入之汲極/源極組構,由此不會不當地增加額外的製程複雜性。於其他的態樣中,於任何適當的製造階段可以引入個別製程步驟,以便不會不當地影響整體製程順序和電晶體特性。In general, the subject matter disclosed herein relates to SOI transistors by providing a reduced "tap and source length" SOI transistor. Techniques for enhancing the efficacy of a transistor by increasing the stress transfer mechanism and/or reducing the series resistance in the crystal, thereby providing the possibility of forming a strain inducing material laterally adjacent to the drain and source regions under the buried insulating layer. As a result, the strain inducing material can act laterally along substantially the entire depth of the adjacent drain and source regions, thereby significantly increasing the overall strain in the individual channel regions. In some aspects, a portion of the buried insulating layer can be exposed to a high degree of compatibility with other process technologies to form a recessed drain/source structure, thereby not unnecessarily adding additional Process complexity. In other aspects, individual process steps can be introduced at any suitable stage of manufacture so as not to unduly affect the overall process sequence and transistor characteristics.

此處所揭示之一個例示之半導體裝置,包括形成於埋置絕緣層之上之電晶體,其中該電晶體包括位於形成在該埋置絕緣層上之半導體材料中之汲極和源極區。該半導體裝置復包括形成在該電晶體之上之應變引發層,其中該應變引發層實質延伸至毗鄰該汲極和源極區之該埋置絕緣層。An exemplary semiconductor device disclosed herein includes a transistor formed over a buried insulating layer, wherein the transistor includes a drain and a source region in a semiconductor material formed over the buried insulating layer. The semiconductor device further includes a strain inducing layer formed over the transistor, wherein the strain inducing layer substantially extends to the buried insulating layer adjacent to the drain and source regions.

於此處所揭示之一個例示方法中,凹處係從電晶體之閘極電極結構橫向偏移而形成於含矽半導體層中,該含矽半導體層形成於埋置絕緣層上。該方法復包括於含氫環境中施行熱處理,用來引發於凹處中的材料流(material flow),以實質暴露出該埋置絕緣層之一部分。In one exemplary method disclosed herein, the recess is laterally offset from the gate electrode structure of the transistor to be formed in the germanium-containing semiconductor layer, the germanium-containing semiconductor layer being formed on the buried insulating layer. The method further includes performing a heat treatment in the hydrogen-containing environment to initiate a material flow in the recess to substantially expose a portion of the buried insulating layer.

於此處所揭示之另一個例示方法中,凹處係從場效電晶體之閘極電極偏移而形成,其中該閘極電極位於形成在埋置絕緣層上之半導體層之上,其中該凹處實質延伸至該 埋置絕緣層。該方法復包括形成毗鄰該閘極電極之汲極區和源極區,並在該場效電晶體之上和該凹處內形成介電質應變引發層。In another exemplary method disclosed herein, the recess is formed by offsetting a gate electrode of a field effect transistor, wherein the gate electrode is over a semiconductor layer formed over the buried insulating layer, wherein the recess Substantially extend to the Embed the insulation layer. The method further includes forming a drain region and a source region adjacent to the gate electrode, and forming a dielectric strain inducing layer over the field effect transistor and in the recess.

以下將說明本發明之各種例示實施例。為求清楚,本說明書並未說明實際實作之所有特徵。當然,應了解到,在任何此種實際實施例的開發中,必須作出許多實作特定之決定,以便達到發明者的特定目標,譬如符合隨著實施例的不同而有所變化的與系統相關及與商業相關之限制條件。此外,應了解到,此種開發工作可能是複雜且耗時的,然而,對已從本發明的揭示事項獲益的熟悉該技術領域的一般知識者而言,仍將是一種例行之工作。Various illustrative embodiments of the invention are described below. For the sake of clarity, this specification does not describe all of the features of the actual implementation. Of course, it should be understood that in the development of any such actual embodiment, a number of implementation-specific decisions must be made in order to achieve the inventor's specific objectives, such as system-dependent changes that vary from embodiment to embodiment. And business-related restrictions. Moreover, it should be appreciated that such development work can be complex and time consuming, however, it will still be a routine undertaking for those of ordinary skill in the art having the benefit of the teachings of the present invention. .

現將參考附圖來說明本發明。各種結構、系統和裝置以示意方式繪示於各圖式中僅為了說明之目的,以便不·會讓熟習此項技術者已熟知之細節模糊了本發明內容。不過,還是包含附圖來說明與解釋本揭示之例示範例。應以熟悉該項技藝者所認定之意義來了解和解釋本文中的字彙與詞。本文前後一致使用的術語以及詞彙並無暗示特別的定義,特別定義係指與熟悉該項技藝者認知之普通慣用的定義所不同之定義。如果一個術語或詞彙具有特別定義,亦即非為熟悉該項技藝者所了解之義意時,本說明書將會直接且明確的提供其定義。The invention will now be described with reference to the accompanying figures. The various structures, systems, and devices are illustrated in the drawings for purposes of illustration only and are not intended to be However, the accompanying drawings are included to illustrate and explain exemplary embodiments of the present disclosure. The vocabulary and words in this article should be understood and interpreted in a sense that is familiar to the artist. The terms and vocabulary used consistently throughout this document do not imply a particular definition, and a particular definition is a definition that is different from the ordinary customary definitions that are familiar to the skilled person. If a term or vocabulary has a specific definition, that is, it is not intended to be familiar to those skilled in the art, the specification will provide its definition directly and unambiguously.

一般而言,此處所揭示之發明標的內容解決了於SOI電晶體中由主動半導體層之有限厚度和形成的凹入汲極和 源極區結合有效的金屬矽化物區所引起之限制的問題。就此目的而言,此處所揭示之發明標的內容之態樣與製造技術有關,以此技術凹處可毗鄰汲極和源極區而形成,該凹處可大體完全延伸向下至埋置絕緣層,同時於後續金屬矽化物處理期間仍提供充分的處理裕度(process margin)。結果,於形成金屬矽化物區之後,對應之應變引發介電材料可以沉積在埋置絕緣層之實質暴露部分之上,從而相較於在SOI裝置中之習知的凹入汲極/源極架構顯著地增強總應力轉移機制。而且,因為進一步之裝置縮放尺寸,相較於如前面說明之習知設計,可以增強進入通道區中來自個別接觸部分之總導電率,並因此縮減相鄰之電晶體元件之間的間距,而不會不適當地降低總電晶體效能。因為於SOI組構中主動半導體層之整個有效深度可利用於應力轉移機制,因此可以根據待填充於毗鄰該汲極和源極區所形成之凹處中之對應介電材料之內部應力,而達到於個別SOI電晶體中總應變之適當調整。結果,可以根據單一製程步驟,亦即,受應力之介電材料之沉積(該受應力之介電材料可容易提供以便獲得於各種裝置區中所希望程度之應變)而提供用於調整個別應變特性之廣頻寬。In general, the subject matter disclosed herein solves the limited thickness and formation of recessed bucks and layers of active semiconductor layers in SOI transistors. The source region combines the problems caused by the effective metal halide region. For this purpose, aspects of the subject matter disclosed herein are related to fabrication techniques in which a recess can be formed adjacent to the drain and source regions, which recess can extend substantially completely down to the buried insulating layer. At the same time, sufficient process margin is still provided during subsequent metal halide processing. As a result, after the formation of the metal telluride region, the corresponding strain-inducing dielectric material can be deposited over the substantially exposed portion of the buried insulating layer, as compared to conventional recessed drain/sources in SOI devices. The architecture significantly enhances the total stress transfer mechanism. Moreover, because of the further device scaling, the overall conductivity from the individual contact portions in the entry channel region can be enhanced and thus the spacing between adjacent transistor elements can be reduced, as compared to conventional designs as previously described. Does not unduly reduce total transistor performance. Because the entire effective depth of the active semiconductor layer in the SOI fabric can be utilized in the stress transfer mechanism, it can be based on the internal stress of the corresponding dielectric material to be filled in the recess formed adjacent to the drain and source regions. Achieve an appropriate adjustment of the total strain in individual SOI transistors. As a result, it can be provided for adjusting individual strains according to a single process step, i.e., deposition of a stressed dielectric material that can be readily provided to achieve a desired degree of strain in various device regions. The wide bandwidth of the feature.

於一些態樣中,可以高度選擇方式應用此處所揭示之原理,以提供於被選擇之電晶體裝置中顯著的效能增益,同時實質地不影響其他的電晶體裝置。舉例而言,此處所揭示的技術可以有利地應用於N通道電晶體,以便提供藉由基於包覆個別SOI電晶體之受應力之介電材料的應變工 程技術所獲得的高效能增益。於此情況中,可以藉由僅對N通道電晶體來應用這些技術,而至少部分地補償N通道電晶體和P通道電晶體之間關於應變引發機制之不平衡。於其他的例示實施例中,此處所揭示的技術可以有利地應用於P通道電晶體和N通道電晶體,該技術可根據單一應變引發機制提供獲得增強之電晶體效能的可能性,同時提供增強之串聯導電率,如前面之說明。又於其他的態樣中,此處所揭示的技術可以有利地結合額外的應變引發源,譬如設於汲極和源極區和/或通道區中之半導體合金。In some aspects, the principles disclosed herein can be applied in a highly selective manner to provide significant performance gains in the selected transistor device while substantially not affecting other transistor devices. For example, the techniques disclosed herein can be advantageously applied to N-channel transistors to provide strainers by stressing dielectric materials based on individual SOI transistors. The high performance gain gained by the process technology. In this case, the imbalance between the N-channel transistor and the P-channel transistor with respect to the strain-inducing mechanism can be at least partially compensated by applying these techniques only to the N-channel transistor. In other exemplary embodiments, the techniques disclosed herein may be advantageously applied to P-channel transistors and N-channel transistors that provide the possibility of obtaining enhanced transistor performance based on a single strain-initiating mechanism while providing enhancement The series conductivity is as described above. In still other aspects, the techniques disclosed herein may advantageously incorporate additional strain inducing sources, such as semiconductor alloys disposed in the drain and source regions and/or channel regions.

如此一來,此處所揭示之發明標的內容不應被視為限制成單一類型的電晶體,但於此處所揭示的範例實施例中可以是SOI之N通道電晶體。As such, the subject matter disclosed herein should not be construed as limited to a single type of transistor, but in the exemplary embodiments disclosed herein may be an N-channel transistor of SOI.

第2a圖示意地顯示包含基板201之半導體裝置200之剖面圖,該基板201可以表示任何的載體材料用來形成其上依照SOI組構之電晶體裝置。舉例而言,基板201可以表示如典型使用於SOI裝置中的矽基板。再者,包括任何適當材料(譬如二氧化矽、氮化矽、等等)之埋置絕緣層205係形成在基板201之上,並將矽基(silicon-based)半導體層202與基板201予以分隔。矽基半導體層202可以表示於實質結晶結構中任何適當的矽基材料,其中矽基材料可理解為包括有效矽量(譬如大約50體積百分比之矽或更多)之半導體材料,同時亦可出現其他物種,譬如等電子物種(isoelectronic species),例如鍺、碳等等,以及用於調整半導體層202之導電率之摻雜劑。半導體層202和 下方之埋置絕緣層205定義SOI組構,其中應了解的是,對應之SOI組構可以不必延伸橫越整個基板201,而是可以局部地限制於個別裝置面積,而在該個別裝置面積中可獲得想要之此SOI電晶體的有利特性。舉例而言,電晶體250可以表示於要求高操作速度之功能區塊中之電路元件,該高操作速度可由於減少寄生電容、增強效能之SOI電晶體等而藉由電晶體250所提供。於其他裝置面積中,當個別基體電晶體被視為較佳的裝置操作時,例如當考慮靜態記憶體面積等時,可以例如藉由省略埋置絕緣層205而提供基體組構。Figure 2a schematically shows a cross-sectional view of a semiconductor device 200 comprising a substrate 201, which may represent any carrier material used to form a transistor device in accordance with the SOI configuration. For example, substrate 201 can represent a germanium substrate as is typically used in SOI devices. Furthermore, a buried insulating layer 205 including any suitable material (such as cerium oxide, tantalum nitride, etc.) is formed over the substrate 201, and the silicon-based semiconductor layer 202 and the substrate 201 are provided. Separate. The germanium-based semiconductor layer 202 can be represented by any suitable germanium-based material in a substantially crystalline structure, wherein the germanium-based material can be understood to include a semiconductor material having an effective amount of germanium (e.g., about 50 volume percent or more), and can also occur Other species, such as isoelectronic species, such as germanium, carbon, and the like, as well as dopants for adjusting the conductivity of the semiconductor layer 202. Semiconductor layer 202 and The buried insulating layer 205 below defines an SOI fabric, wherein it should be understood that the corresponding SOI fabric may not necessarily extend across the entire substrate 201, but may be locally limited to individual device areas, and in the individual device area The advantageous properties of the desired SOI transistor can be obtained. For example, transistor 250 can be represented by circuit components in functional blocks that require high operating speeds that can be provided by transistor 250 due to parasitic capacitance, enhanced performance SOI transistors, and the like. Among other device areas, when individual substrate transistors are considered to be preferred device operations, such as when considering static memory area or the like, the substrate structure can be provided, for example, by omitting the buried insulating layer 205.

於所示實施例中,電晶體250可以包括由適當橫向摻雜劑分佈(dopant profile)所定義之個別的汲極和源極區251,該摻雜劑分佈亦可延伸向下至埋置絕緣層205。通道區255係形成在汲極和源極區251之間具有閘極電極結構252而藉由閘極絕緣層256與該通道區255分隔。於複雜的應用中,閘極電極252之閘極長度,亦即,於第2a圖中的水平延伸,可以約為50nm和明顯更少者,譬如30nm和更少。閘極電極252可以由側壁間隔件結構253所包覆,該側壁間隔件結構253可以包括任何適當的材料,譬如氮化矽、二氧化矽等。例如,間隔件結構253可以包括一個或多個個別的間隔件元件,該等間隔件元件可以藉由關於間隔件材料具有高度蝕刻選擇性之個別之襯底材料(liner material)而彼此分隔。於其他的情況中,結構253可以藉由實質同質之材料組成而形成。再者,例如與間隔件結構 253實質相同的材料(譬如氮化矽)所組成之蓋層(cap layer)259可以形成在閘極電極252之頂部上,其中可以提供個別之襯底材料258,譬如二氧化矽等。In the illustrated embodiment, the transistor 250 can include individual drain and source regions 251 defined by a suitable lateral dopant profile that can also extend down to buried insulation. Layer 205. The channel region 255 is formed with a gate electrode structure 252 between the drain and source regions 251 and is separated from the channel region 255 by a gate insulating layer 256. In complex applications, the gate length of the gate electrode 252, that is, the horizontal extension in Figure 2a, can be about 50 nm and significantly less, such as 30 nm and less. The gate electrode 252 can be covered by a sidewall spacer structure 253, which can comprise any suitable material, such as tantalum nitride, hafnium oxide, or the like. For example, the spacer structure 253 can include one or more individual spacer elements that can be separated from each other by a separate liner material having a high etch selectivity with respect to the spacer material. In other cases, structure 253 can be formed from a substantially homogeneous material composition. Furthermore, for example, with a spacer structure A cap layer 259 of substantially the same material (e.g., tantalum nitride) may be formed on top of the gate electrode 252, wherein a separate substrate material 258, such as hafnium oxide or the like, may be provided.

如顯示於第2a圖之半導體裝置200可以根據下列製程而形成。於提供在其上(至少局部地)已形成有埋置絕緣層205和半導體層202之基板201之後,可於層202中定義個別之主動區,對應於需要特定導電率之電晶體面積或其他的半導體面積。為此目的,可以形成適當的隔離結構(未圖示),之後可以引入所需的摻雜劑濃度用來設定電晶體特性,譬如導電率類型、臨限電壓等。接著,可以根據廣為接受之技術形成閘極電極252和閘極絕緣層256,其中複雜的氧化作用和/或沉積技術可以使用於閘極絕緣層256之材料,接著沉積適當的閘極電極材料,該閘極電極材料當需要時可以包含個別的蓋材料(cap material)、抗反射塗層(anti-reflecting coating;ARC)材料等等。舉例而言,可以在圖案化閘極電極材料之前,形成用於蓋層259和襯底258之材料。可以根據複雜的微影術(lithography)和蝕刻技術而執行圖案化,而於其他的情況中,閘極電極252可以藉由形成佔位結構(place holder structure)而於稍後階段形成,並於稍後階段去除該佔位結構。例如,於一些電晶體中,可以實作應變引發機制,例如以任何適當的組成之半導體合金的形式,以便於至少一部分之個別主動區中修改結晶結構。例如,若於某種類型之電晶體(譬如P通道電晶體)中可能希望有壓應變,可以形成個別的凹 處並且該等凹處可用磊晶生長(epitaxially grown)之半導體材料(譬如矽/鍺)再填滿。The semiconductor device 200 as shown in Fig. 2a can be formed in accordance with the following processes. After providing (at least partially) the substrate 201 on which the buried insulating layer 205 and the semiconductor layer 202 have been formed, individual active regions may be defined in the layer 202, corresponding to a transistor area requiring a specific conductivity or other The area of the semiconductor. For this purpose, a suitable isolation structure (not shown) can be formed, after which the desired dopant concentration can be introduced to set the transistor characteristics, such as conductivity type, threshold voltage, and the like. Next, the gate electrode 252 and the gate insulating layer 256 can be formed according to widely accepted techniques in which complex oxidation and/or deposition techniques can be used for the material of the gate insulating layer 256, followed by deposition of a suitable gate electrode material. The gate electrode material may include individual cap materials, anti-reflecting coating (ARC) materials, and the like, as needed. For example, materials for cap layer 259 and substrate 258 can be formed prior to patterning the gate electrode material. Patterning can be performed according to complex lithography and etching techniques, while in other cases, gate electrode 252 can be formed at a later stage by forming a place holder structure, and The placeholder structure is removed at a later stage. For example, in some transistors, a strain inducing mechanism can be implemented, such as in the form of a semiconductor alloy of any suitable composition, to facilitate modification of the crystalline structure in at least a portion of the individual active regions. For example, if a compressive strain may be desired in a certain type of transistor (such as a P-channel transistor), individual recesses may be formed. The recesses can be refilled with epitaxially grown semiconductor materials such as ruthenium/iridium.

於下文中,可以假設電晶體250可以表示N通道電晶體,該N通道電晶體可以藉由仍待形成之對應受應力介電材料而於通道區255中接收適當類型之應變,而不須提供額外的應變引發源。於是,於圖案化閘極電極252之後,可能結合襯底258(該襯底258可以具有約2至5奈米範圍的厚度)和蓋層259(該蓋層259可以具有約2至5奈米範圍的厚度),而可執行個別的植入(implantation)製程,例如環狀植入(halo implantation)、源極/汲極延伸植入、等等。為了此目的,如有需要,可以藉由形成一個或多個額外的間隔件元件而接著形成適當的偏移間隔件(offset spacer),以便獲得間隔件結構253。在用於形成間隔件元件之個別步驟期間,可以形成個別的植入製程以便最終獲得汲極和源極區251之所希望的橫向摻雜分佈。In the following, it can be assumed that the transistor 250 can represent an N-channel transistor that can receive the appropriate type of strain in the channel region 255 by the corresponding stressed dielectric material still to be formed, without providing Additional strain inducing sources. Thus, after patterning the gate electrode 252, it is possible to bond the substrate 258 (which may have a thickness in the range of about 2 to 5 nanometers) and the cap layer 259 (the cap layer 259 may have about 2 to 5 nm) A range of thicknesses can be performed while performing an individual implantation process, such as a halo implantation, a source/dip extension implant, and the like. For this purpose, a spacer structure 253 can be obtained by forming one or more additional spacer elements and then forming a suitable offset spacer, if desired. During the individual steps used to form the spacer elements, individual implantation processes can be formed to ultimately achieve the desired lateral doping profile of the drain and source regions 251.

第2b圖示意地顯示當暴露於蝕刻製程210之蝕刻環境時的半導體裝置200。於蝕刻製程210期間,可以於汲極和源極區251中形成具預定深度210D之凹處210R,該深度210D可以考慮到汲極和源極區關於形成於其中逐漸變細的程度之後續修改而根據裝置需求來作選擇,後文中將作說明。舉例而言,於一些例示實施例中,可以將蝕刻製程210設計成展現出與用來形成於SOI裝置中凹入的汲極和源極組構之蝕刻製程有高度之相容性,從而維持層202之所需厚度,如前面的說明。因此,於此情況中,可以使 用廣為接受之製程配方(process recipe)。於一個實施例中,可以設計蝕刻製程210,以便同時蝕刻間隔件結構253和蓋層259之材料,其中蝕刻正面可以可靠地終止於襯底258,由此當該閘極電極252由多晶矽組成時,實質地避免不適當地損害該閘極電極252。於其他的例示實施例中,蝕刻製程210可以包含選擇性的蝕刻製程用來去除層202之材料以及後續的選擇性的蝕刻步驟用來去除蓋層259和結構253之一部分。個別的選擇性蝕刻配方,例如對於矽、氮化矽和二氧化矽,於此技術中係廣為接受的。當半導體裝置200之其他面積不需容納各自的凹處210R時,可以根據適當設計過的蝕刻遮罩(未圖示)來執行蝕刻製程210。例如,若已形成可以包含額外的應變引發源(譬如埋置之半導體合金等)之個別的電晶體元件,則對應的電晶體不需要進一步增強由覆蓋受力層所提供之應變轉移機制,並因此對應之電晶體可以由阻劑遮罩(resist mask)等所覆蓋。於其他的例示實施例中,當對應的最後所希望之應變量可以藉由在稍後製造階段中將被填充入凹處210R之對應介電材料之本質應力量所調整時,凹處210R可以形成於其他類型的電晶體中,譬如P通道電晶體。Figure 2b schematically shows the semiconductor device 200 when exposed to an etch environment of the etch process 210. During the etch process 210, a recess 210R having a predetermined depth 210D may be formed in the drain and source regions 251, which may take into account subsequent modifications of the extent to which the drain and source regions are tapered in respect of being formed therein. The choice is based on the needs of the device, which will be explained later. For example, in some exemplary embodiments, the etch process 210 can be designed to exhibit a high degree of compatibility with the etch process used to form the recessed drain and source structures in the SOI device to maintain The desired thickness of layer 202 is as previously described. Therefore, in this case, it can be made Use a widely accepted process recipe. In one embodiment, the etch process 210 can be designed to simultaneously etch the material of the spacer structure 253 and the cap layer 259, wherein the etched front surface can be reliably terminated to the substrate 258, thereby when the gate electrode 252 is comprised of polysilicon Substantially avoiding improper damage to the gate electrode 252. In other exemplary embodiments, the etch process 210 can include a selective etch process to remove the material of the layer 202 and a subsequent selective etch step to remove portions of the cap layer 259 and structure 253. Individual selective etching formulations, such as tantalum, tantalum nitride, and hafnium oxide, are widely accepted in the art. When the other areas of the semiconductor device 200 do not need to accommodate the respective recesses 210R, the etching process 210 can be performed in accordance with a suitably designed etch mask (not shown). For example, if an individual transistor element that can include an additional strain inducing source (such as a buried semiconductor alloy, etc.) has been formed, the corresponding transistor does not need to further enhance the strain transfer mechanism provided by the covering force layer, and Therefore, the corresponding transistor can be covered by a resist mask or the like. In other exemplary embodiments, the recess 210R may be adjusted when the corresponding last desired strain amount can be adjusted by the amount of intrinsic stress of the corresponding dielectric material to be filled into the recess 210R in a later manufacturing stage. Formed in other types of transistors, such as P-channel transistors.

第2c圖示意地顯示於又進一步之製造階段的半導體裝置200。於此,裝置在有氫氣環境的情況中受到熱處理211以便起始任何非鈍化(non-passivated)矽基材料(亦即,於層202中之矽材料)之材料流(material flow),該非鈍化矽基材料可以不被耐溫(temperature-resistant) 材料(譬如間隔件結構253)所覆蓋。熱處理可以於750至1000℃的溫度範圍,或者800至950℃的溫度範圍內執行,經過數秒至數分鐘,譬如約30秒至5分鐘之時間週期。於此“高溫氫氣烘烤”期間,矽基材料會移動以便減少其表面積,如箭號211A所示。於一些例示實施例中,熱處理211可以執行於電晶體250已經執行用來活化摻雜劑和固化結晶損害之個別的退火製程的狀態中,同時,於其他的例示實施例中,熱處理211可以用作為於汲極和源極區251中對損壞面積再結晶(re-crystallizing)之第一步驟,並且將對應的摻雜劑原子活化至某一程度。應了解到,材料流211A可實質上被限制於暴露之矽基面積,於此矽基面積中可各自減少總暴露表面積。例如,在實質平坦的暴露含矽區可能受到熱處理211之其他裝置面積中,僅可觀察到減少之材料流,或者於其他的實施例中,個別的暴露部分可被適當的材料,譬如二氧化矽、氮化矽、等等所覆蓋。Figure 2c is a schematic representation of the semiconductor device 200 at a further manufacturing stage. Here, the device is subjected to a heat treatment 211 in the presence of a hydrogen atmosphere to initiate the material flow of any non-passivated bismuth-based material (i.e., the ruthenium material in layer 202), which is non-passivated. Bismuth based materials may not be temperature-resistant Material (such as spacer structure 253) is covered. The heat treatment may be carried out at a temperature ranging from 750 to 1000 ° C, or from 800 to 950 ° C, over a period of seconds to minutes, such as a period of from about 30 seconds to 5 minutes. During this "high temperature hydrogen bake", the bismuth based material will move to reduce its surface area as indicated by arrow 211A. In some exemplary embodiments, the heat treatment 211 may be performed in a state in which the transistor 250 has performed an individual annealing process for activating the dopant and curing the crystallization damage, while in other exemplary embodiments, the heat treatment 211 may be used. As a first step of re-crystallizing the damaged area in the drain and source regions 251, the corresponding dopant atoms are activated to some extent. It will be appreciated that material stream 211A can be substantially confined to the exposed ruthenium area, where the total exposed surface area can be reduced each. For example, in a substantially flat exposed enamel-containing region that may be subjected to heat treatment 211, only reduced material flow may be observed, or in other embodiments, individual exposed portions may be coated with a suitable material, such as dioxide. Covered by tantalum, tantalum nitride, etc.

第2d圖示意地顯示於熱處理211後之半導體裝置200。如所示,材料流211A可能導致先前覆蓋埋置絕緣層205之層202之材料的去除,以及可能以相較於包含凹處210R之汲極和源極區減少總表面積之方式而聚積毗鄰於閘極電極結構。於是,由於前面的熱處理211,先前凹入之汲極和源極區251可以包括具有實質梯形的邊緣251S之額外部分251A。結果,埋置絕緣層205之個別部分205A於熱處理211期間可以實質暴露,由此亦縮減汲極和源極區251於對應於埋置絕緣層205之高度位準上之有效“長 度”251L。因為於矽基材料中對應之摻雜劑原子可能也已經轉移至額外部分251A,因此所希望之高摻雜劑濃度可以仍維持於具有縮減長度之整個汲極和源極區251內。再者,部分251A此時可用於後續的矽化作用製程,並且可以提供充分的處理裕度以便避免個別PN接面不當的短路,而實質上與閘極電極252所需要的矽化作用程度無關,以便減少其電阻。再者,藉由適當地調整凹處210R之深度210D(第2b圖),可以調整於汲極和源極區251中矽基材料的量,由此提供適當調整額外部分251A之尺寸的可能性,並因此調整來自個別PN接面之邊緣251S之偏移。因此,個別之矽化作用製程可能不會造成不適當的矽化物生長於汲極和源極區251中,該不適當的矽化物會產生短路PN接面之風險。Fig. 2d schematically shows the semiconductor device 200 after the heat treatment 211. As shown, material flow 211A may result in the removal of material previously covering layer 202 of buried insulating layer 205, and may accumulate adjacent to the reduced total surface area of the drain and source regions including recess 210R. Gate electrode structure. Thus, due to the previous heat treatment 211, the previously recessed drain and source regions 251 may include additional portions 251A having substantially trapezoidal edges 251S. As a result, the individual portions 205A of the buried insulating layer 205 can be substantially exposed during the heat treatment 211, thereby also reducing the effective "long" of the drain and source regions 251 at a level corresponding to the buried insulating layer 205. Degree 251 L. Since the corresponding dopant atoms in the ruthenium-based material may have also been transferred to the additional portion 251A, the desired high dopant concentration may still be maintained over the entire drain and source regions 251 having a reduced length. Furthermore, portion 251A is now available for subsequent deuteration processes and can provide sufficient processing margin to avoid improper shorting of individual PN junctions, substantially independent of the degree of deuteration required by gate electrode 252. In order to reduce its resistance. Further, by appropriately adjusting the depth 210D of the recess 210R (Fig. 2b), the amount of the bismuth-based material in the drain and source regions 251 can be adjusted, thereby providing an appropriate adjustment of the additional portion. The possibility of 251A size, and thus the offset from the edge 251S of the individual PN junctions. Therefore, individual deuteration processes may not cause improper germanium growth in the drain and source regions 251, which Inadequate telluride creates the risk of shorting the PN junction.

第2e圖示意地顯示於又進一步之製造階段的半導體裝置200。個別的金屬矽化物區254依據用於減少之閘極電阻之需求而形成在閘極電極252和額外部分251S中,如前面之說明。對於對應之矽化作用製程,可以使用廣為接受之製程技術,其中可用用來形成金屬矽化物區254之非反應金屬(例如鎳、鉑、鈷等)可自非矽面積(譬如埋置絕緣層205之部分205A和間隔件253)有效地去除。若可能希望進一步地減少串聯電阻,和/或甚至進一步提升應力轉移,則可根據廣為接受之蝕刻配方藉由執行個別的選擇性蝕刻製程而縮減間隔件結構253的寬度。於此情況中,視間隔件去除之程度而定,可以減少對通道區255之偏移, 同時仍可避免由於額外部分251A而於汲極和源極區251之較低部分中之PN接面短路之風險。Figure 2e is a schematic representation of the semiconductor device 200 at a further stage of fabrication. Individual metal telluride regions 254 are formed in gate electrode 252 and additional portion 251S in accordance with the need for reduced gate resistance, as previously described. For the corresponding deuteration process, a widely accepted process technique can be used in which non-reactive metals (e.g., nickel, platinum, cobalt, etc.) that can be used to form the metal telluride region 254 can be self-irritating (e.g., buried insulating layer). Portion 205A of 205 and spacer 253) are effectively removed. If it is desired to further reduce the series resistance, and/or even further enhance the stress transfer, the width of the spacer structure 253 can be reduced by performing a separate selective etching process in accordance with the widely accepted etching recipe. In this case, depending on the extent of the spacer removal, the offset to the channel region 255 can be reduced. At the same time, the risk of shorting the PN junction in the lower portion of the drain and source regions 251 due to the additional portion 251A can still be avoided.

形成金屬矽化物區254之後,可以根據廣為接受之技術提供受力之介電材料203。舉例而言,於所示實施例中,層203可以包括高拉應力之介電材料以便產生於通道區255中之個別拉應變。因為可以沿著汲極和源極區251的整個深度形成高度受力層203,並且可以與埋置絕緣層205接觸,也就是說,可以達成明顯增強之應力轉移機制之部分205A。於一些例示實施例中(未圖示),可以於如第2d圖中所示之裝置200中執行另一蝕刻製程,以便根據選擇性蝕刻製程從埋置絕緣層之暴露部分205A選擇性地去除材料。於此情況中,層203之高度受力材料可以甚至延伸超過該汲極和源極區251,由此進一步增強整體應變引發機制。於對應的蝕刻製程期間,若隔離結構之材料實質由與埋置絕緣層205相同的材料組成,則亦可以去除個別的該隔離結構之材料,而因為對應之材料接著可被層203之材料所取代,故上述做法係可接受的。After the metal halide region 254 is formed, the stressed dielectric material 203 can be provided in accordance with widely accepted techniques. For example, in the illustrated embodiment, layer 203 can include a high tensile stress dielectric material to create individual tensile strains in channel region 255. Since the highly stressed layer 203 can be formed along the entire depth of the drain and source regions 251, and can be in contact with the buried insulating layer 205, that is, a portion 205A of the significantly enhanced stress transfer mechanism can be achieved. In some exemplary embodiments (not shown), another etch process can be performed in device 200 as shown in FIG. 2d to selectively remove from exposed portion 205A of the buried insulating layer in accordance with a selective etch process. material. In this case, the highly stressed material of layer 203 may even extend beyond the drain and source regions 251, thereby further enhancing the overall strain inducing mechanism. During the corresponding etching process, if the material of the isolation structure is substantially composed of the same material as the buried insulating layer 205, the material of the isolation structure may also be removed, because the corresponding material may be subsequently used by the material of the layer 203. Instead, the above practices are acceptable.

第2f圖示意地顯示半導體裝置200沿著提供有個別接點253之平面之剖面圖,類似於第1a圖中由線1d-1d所示之剖面圖。由於個別邊緣251S大體逐漸變細之形狀,很明顯的,接點257可以於汲極和源極區251中形成與金屬矽化物區254之邊緣251S之增加的介面面積(interface area)。即使對於稍微未對準之接點257,仍可獲得接點257與個別金屬矽化物區254之間明顯的重疊,以利於造成非 顯著之電流群聚(current crowding),如前面參照習知組構之說明者。於是,接點257可以與汲極和源極區251中之金屬矽化物區254接合,由此形成實質由汲極和源極區251逐漸變細之程度所定義之角度。舉例而言,對應之角度可以在約20至60度之範圍。Figure 2f schematically shows a cross-sectional view of the semiconductor device 200 along a plane provided with individual contacts 253, similar to the cross-sectional view shown by lines 1d-1d in Figure 1a. Due to the generally tapered shape of the individual edges 251S, it is apparent that the contacts 257 can form an increased interface area with the edges 251S of the metal telluride regions 254 in the drain and source regions 251. Even for the slightly misaligned contacts 257, a significant overlap between the contacts 257 and the individual metal halide regions 254 can be obtained to facilitate Significant current crowding, as previously described with reference to the conventional organization. Thus, junction 257 can be bonded to metal germanide region 254 in drain and source regions 251, thereby forming an angle defined substantially to the extent that the drain and source regions 251 are tapered. For example, the corresponding angle can range from about 20 to 60 degrees.

第2g圖示意地顯示相較於習知例如參照第1b和1c圖說明之具有凹入汲極/源極組構之電晶體的半導體裝置200之優點。很明顯的,由於額外的高度230,亦即,初始層202之厚度與左手側所示習知電晶體之凹部203A的差,該高度差係可用於從受力層202轉移入形成該汲極和源極區251和通道區255之半導體材料的橫向應力轉移,相較於裝置100可以增強對應的總電晶體效能。再者,向下至埋置絕緣層205之邊緣251S之整個側壁面積係可用於電流流動,由此亦有效地減少電晶體250中之串聯電阻。The 2g diagram schematically shows the advantages of the semiconductor device 200 having a recessed drain/source configuration transistor as described with reference to Figures 1b and 1c, for example. It is apparent that due to the additional height 230, that is, the difference between the thickness of the initial layer 202 and the recess 203A of the conventional transistor shown on the left hand side, the height difference can be used to transfer from the force layer 202 to form the drain. The lateral stress transfer of the semiconductor material with source region 251 and channel region 255 can enhance the corresponding total transistor performance compared to device 100. Furthermore, the entire sidewall area down to the edge 251S of the buried insulating layer 205 can be used for current flow, thereby effectively reducing the series resistance in the transistor 250.

第2h圖示意地顯示當包括緊密地間隔開之電晶體250A、250B時的半導體裝置200,各電晶體可以具有與上述電晶體250實質相同的組構。如所示,接點257可碰到邊緣251S之各自增加的側壁面積,使得電流可以從接點257流入金屬矽化物區254向下至埋置絕緣層205,由此即使在緊密間隔開之電晶體之間設有接點的情況下,亦明顯地減輕了任何關於電流擁塞之問題。Figure 2h schematically shows the semiconductor device 200 when including closely spaced transistors 250A, 250B, each of which may have substantially the same configuration as the transistor 250 described above. As shown, the contacts 257 can encounter respective increased sidewall areas of the edges 251S such that current can flow from the contacts 257 into the metal halide region 254 down to the buried insulating layer 205, thereby even being closely spaced apart. In the case where contacts are provided between the crystals, any problems with current congestion are also significantly alleviated.

參照第3a至3d圖,現將說明另一例示實施例,於該實施例中埋置絕緣層之暴露之部分可以發生於較早之製造階段。Referring to Figures 3a through 3d, another illustrative embodiment will now be described in which the exposed portions of the buried insulating layer can occur at an earlier stage of fabrication.

第3a圖示意地顯示於早期製造階段包括電晶體350之半導體裝置300。電晶體350可以包括基板301,該基板301包含具有矽基半導體層302形成於其上之埋置絕緣層305。再者,閘極電極352可以形成在半導體層302之上,並且可以藉由閘極絕緣層356而與該半導體層302分隔開。關於目前所說明之組件,可以應用如前面參照裝置100和200說明之相同的準則。而且,於此製造階段中,可以藉由適當設計的側壁間隔件結構353和蓋層359而包覆閘極電極352,其中如果需要的話,可以提供對應之襯底358。應該了解的是,於一些例示實施例中,半導體裝置300可以包括其他的電晶體面積,於此面積中可能必須形成凹處以便將個別的半導體合金併入其中,譬如矽/鍺等。於是,各自的側壁間隔件353和蓋層359亦可提供於其他的電晶體面積中。可以根據廣為接受的間隔件製造技術(亦即,藉由共形方式(conformally)沉積適當的材料,譬如氮化矽)而形成間隔件353,可能地結合適當的襯底材料(未圖示),並且非等向性蝕刻該材料以便獲得最後的間隔件353。可以依照如前面參照蓋層259所述之製程技術而形成蓋層359。Figure 3a schematically shows a semiconductor device 300 comprising a transistor 350 in an early stage of fabrication. The transistor 350 may include a substrate 301 including a buried insulating layer 305 having a germanium-based semiconductor layer 302 formed thereon. Furthermore, the gate electrode 352 may be formed over the semiconductor layer 302 and may be separated from the semiconductor layer 302 by the gate insulating layer 356. With regard to the components currently described, the same criteria as previously described with reference to devices 100 and 200 can be applied. Moreover, in this stage of fabrication, the gate electrode 352 can be covered by a suitably designed sidewall spacer structure 353 and cap layer 359, wherein a corresponding substrate 358 can be provided if desired. It should be appreciated that in some exemplary embodiments, semiconductor device 300 may include other transistor areas in which recesses may have to be formed to incorporate individual semiconductor alloys, such as ruthenium/iridium, and the like. Thus, the respective sidewall spacers 353 and cap layer 359 can also be provided in other transistor areas. The spacer 353 can be formed in accordance with the widely accepted spacer fabrication technique (i.e., by depositing a suitable material, such as tantalum nitride) in a conformally manner, possibly in combination with a suitable substrate material (not shown) And the material is anisotropically etched to obtain the final spacer 353. The cap layer 359 can be formed in accordance with the process techniques described above with reference to the cap layer 259.

接著,裝置300可以經受蝕刻製程310以便從半導體層302之暴露部分去除材料。為了此目的,廣為接受的蝕刻配方可使用於此技術。Device 300 can then be subjected to an etch process 310 to remove material from the exposed portions of semiconductor layer 302. For this purpose, widely accepted etching formulations can be used with this technology.

第3b圖示意地顯示於蝕刻製程310後和於去除間隔件結構353和蓋層359後之半導體裝置300。因此,個別的 凹處310R可形成於層302中,其中凹處310R之尺寸和容積可能對應於如其他電晶體類型(譬如P通道電晶體)所需之尺寸和容積,該尺寸和容積可以容納對應之矽/鍺材料。於其他的情況中,凹處310R之尺寸和尤其對閘極電極352之偏移可以依照由最後所希望之汲極和源極長度之要求規格而選擇。FIG. 3b schematically shows the semiconductor device 300 after the etching process 310 and after removing the spacer structure 353 and the cap layer 359. Therefore, individual A recess 310R can be formed in layer 302, wherein the size and volume of recess 310R can correspond to the size and volume required for other transistor types, such as P-channel transistors, which can accommodate corresponding 矽/锗 material. In other cases, the size of the recess 310R and, in particular, the offset to the gate electrode 352 can be selected in accordance with the desired specifications of the last desired drain and source length.

第3c圖示意地顯示於進一步製造階段之半導體裝置300,其中個別之偏移間隔件353A可以形成在閘極電極352之側壁上,該閘極電極352具有如用於後續用來界定個別汲極和源極延伸區植入製程所需之寬度。間隔件353A可以根據廣為接受之技術形成,例如藉由沉積適當的材料,譬如二氧化矽等。再者,裝置300可在有氫氣的情況下接受熱處理311,如前面之說明,以便再組構(reconfigure)半導體材料於層302中,由此由於材料之傾向於減少其表面積而起始對應之材料流,如前面之說明。結果,處理311可得到埋置絕緣層305之實質暴露之部分305A,由此有效地縮短仍待形成之汲極和源極區之長度。如此一來,熱處理311可不實質影響最後獲得的摻雜劑分佈,因為於熱處理311後可形成各自的PN接面。可根據適當的摻雜劑物種而執行對應之植入製程,以便定義於層302之材料中各自的延伸區。Figure 3c is a schematic illustration of a semiconductor device 300 in a further fabrication stage in which individual offset spacers 353A can be formed on the sidewalls of the gate electrode 352, which has the purpose of defining individual gates for subsequent use. And the width required to implant the source extension process. Spacer 353A can be formed according to widely accepted techniques, such as by depositing a suitable material, such as ruthenium dioxide or the like. Furthermore, device 300 can be subjected to heat treatment 311 in the presence of hydrogen, as previously described, to reconfigure the semiconductor material in layer 302, thereby initiating correspondence due to the tendency of the material to reduce its surface area. Material flow, as explained above. As a result, the process 311 can result in a substantially exposed portion 305A of the buried insulating layer 305, thereby effectively reducing the length of the drain and source regions still to be formed. As such, the heat treatment 311 may not substantially affect the finally obtained dopant distribution because the respective PN junctions may be formed after the heat treatment 311. Corresponding implant processes can be performed in accordance with appropriate dopant species to define respective extension regions in the material of layer 302.

第3d圖示意地顯示於進一步製造階段之半導體裝置300。如所示,各自的延伸區351E可以形成於層302中,如前面之說明。再者,可以提供包含襯底353C之間隔件結 構353B。可以根據廣為接受之技術形成結合襯底353C之間隔件353B,亦即可以根據任何適當的沉積技術沉積材料353C,譬如以二氧化矽之形式的化學氣相沉積(CVD)等中,以便提供關於間隔件353B之材料的高度蝕刻選擇性。之後,可以高度共形之方式沉積間隔件材料,且之後可執行非等向性蝕刻製程以獲得各自的間隔件元件。應了解到,由於傾斜面351S,於非等向性蝕刻製程期間對應之材料移除相較於實質水平表面部分可能較不有效。結果,個別的蝕刻製程可能執行某種程度的過度蝕刻(over-etch)時間,以便從表面部分351S完全地移除材料。於此情況中,間隔件353B之高度可以減少,但這實質上不會不良地影響進一步之製程。於其他的例示實施例中,接著於非等向性蝕刻製程後,可施行短暫高度選擇性等向蝕刻製程以大體上完全移除任何殘存於傾斜的側壁部分351S的材料。例如,可以根據對應之蝕刻遮罩而執行對應的等向蝕刻製程,該蝕刻遮罩可以覆蓋可能不接受等向蝕刻處理之其他的裝置面積。然後對應的蝕刻遮罩亦可根據廣為接受之植入參數用於後續的離子植入312來定義個別的汲極和源極區351。之後,可如前所述繼續進一步之處理,亦及,藉由執行各自的退火循環用來活化於汲極和源極區351中的摻雜劑和用來固化晶格損壞。之後,可執行各自的清洗製程以便製備用於金屬矽化物製程之暴露之表面部分。如此一來,可於早期製造階段根據熱處理311提供減少汲極和源極長度之有利的電晶體組構,由此實質地避免了關於摻 雜劑擴散之任何不當的影響,同時實質上不會造成不當的製程複雜度。應了解的是,用來形成凹處310R之對應製程可以有利地與其他裝置面積(譬如P通道電晶體)中形成之凹處結合,由此增強於對應蝕刻製程期間之製程均勻性。若後續的選擇性磊晶生長製程可以於其他裝置面積中實施,則對應之生長遮罩可以形成在凹處310內,例如根據適當選擇之材料層,譬如二氧化矽和氮化矽,該選擇之材料層可以藉由氧化作用、沉積等選擇性地形成於電晶體350中。Figure 3d schematically shows the semiconductor device 300 in a further manufacturing stage. As shown, respective extensions 351E can be formed in layer 302 as previously described. Furthermore, a spacer junction comprising substrate 353C can be provided Structure 353B. The spacer 353B of the bonding substrate 353C may be formed according to a widely accepted technique, that is, the material 353C may be deposited according to any suitable deposition technique, such as chemical vapor deposition (CVD) in the form of cerium oxide, etc., to provide High etch selectivity for the material of spacer 353B. Thereafter, the spacer material can be deposited in a highly conformal manner, and then an anisotropic etch process can be performed to obtain the respective spacer elements. It will be appreciated that due to the sloped surface 351S, the corresponding material removal during the anisotropic etch process may be less effective than the substantially horizontal surface portion. As a result, individual etching processes may perform some degree of over-etch time to completely remove material from surface portion 351S. In this case, the height of the spacer 353B can be reduced, but this does not substantially adversely affect the further process. In other exemplary embodiments, subsequent to the anisotropic etch process, a short highly selective isotropic etch process can be performed to substantially completely remove any material remaining in the slanted sidewall portions 351S. For example, a corresponding isotropic etch process can be performed in accordance with the corresponding etch mask, which can cover other device areas that may not accept an isotropic etch process. The corresponding etch mask can then also define individual drain and source regions 351 for subsequent ion implantation 312 based on widely accepted implant parameters. Thereafter, further processing can be continued as previously described, and also by the respective annealing cycles to activate the dopants in the drain and source regions 351 and to cure the lattice damage. Thereafter, a separate cleaning process can be performed to prepare an exposed surface portion for the metal telluride process. As a result, an advantageous transistor structure that reduces the length of the drain and the source can be provided according to the heat treatment 311 at an early manufacturing stage, thereby substantially avoiding the Any undue influence of the diffusion of the dopant without substantially causing undue process complexity. It will be appreciated that the corresponding process for forming the recess 310R can advantageously be combined with recesses formed in other device areas, such as P-channel transistors, thereby enhancing process uniformity during the corresponding etching process. If a subsequent selective epitaxial growth process can be performed in other device areas, a corresponding growth mask can be formed in the recess 310, such as according to a suitably selected material layer, such as hafnium oxide and tantalum nitride. The material layer can be selectively formed in the transistor 350 by oxidation, deposition, or the like.

參照第4a至4b圖,現將說明另一例示實施例,其中可以根據蝕刻製程修正汲極和源極組構,以便暴露埋置絕緣層之一部分。Referring to Figures 4a through 4b, another illustrative embodiment will now be described in which the drain and source structures can be modified in accordance with an etch process to expose a portion of the buried insulating layer.

第4a圖示意地顯示包括具有與第2a圖中所示電晶體250實質相同的組構之電晶體450之半導體裝置400。因此,該裝置可以包括基板401、埋置絕緣層405和半導體層402。電晶體450可以包括形成在閘極絕緣層456上之閘極電極452,該閘極絕緣層456分隔該閘極電極與由個別汲極和源極區451所包圍之通道區域455。可包含適當襯底材料之側壁間隔件結構453可以形成在閘極電極452之側壁上,該閘極電極452可藉由結合蝕刻終止層458和蓋層459所而被蓋住。目前為止所說明之組件可以根據如前面說明之相同製程形成。Figure 4a schematically shows a semiconductor device 400 comprising a transistor 450 having substantially the same organization as the transistor 250 shown in Figure 2a. Accordingly, the device may include a substrate 401, a buried insulating layer 405, and a semiconductor layer 402. The transistor 450 can include a gate electrode 452 formed over the gate insulating layer 456 that separates the gate electrode from the channel region 455 surrounded by individual drain and source regions 451. A sidewall spacer structure 453, which may comprise a suitable substrate material, may be formed on the sidewalls of the gate electrode 452, which may be covered by bonding the etch stop layer 458 and the cap layer 459. The components described so far can be formed according to the same process as explained above.

接著,在凹入汲極和源極區451之前可以形成間隔件層440,其中可以選擇間隔件層440之厚度以便獲得於汲 極和源極區451中對PN接面之所希望的偏移。間隔件層440可由任何適當的材料形成,並且於一些例示實施例中可由具有與間隔件453和蓋層459材料實質相同蝕刻率之材料組成。接著,可執行非等向性蝕刻製程,其可去除間隔件層440之材料和包覆閘極電極452之材料,同時亦去除半導體層402之材料。舉例而言,可執行非等向性蝕刻製程,其中可於蝕刻製程之前一階段適當地調適各自的處理參數和蝕刻成分(etch component),以於蝕刻製程期間獲得增強之等向性成分。於是,於進行的蝕刻製程期間,可獲得對應凹處之大體逐漸變細之形狀。可繼續蝕刻製程直到一部分之埋置絕緣層405暴露為止。Next, a spacer layer 440 can be formed prior to recessing the drain and source regions 451, wherein the thickness of the spacer layer 440 can be selected to obtain The desired offset of the PN junction in the pole and source regions 451. The spacer layer 440 can be formed of any suitable material and, in some exemplary embodiments, can be comprised of a material having substantially the same etch rate as the spacer 453 and cap layer 459 materials. Next, an anisotropic etch process can be performed that removes the material of the spacer layer 440 and the material that encapsulates the gate electrode 452 while also removing the material of the semiconductor layer 402. For example, an anisotropic etch process can be performed in which the respective processing parameters and etch components can be suitably adapted at a stage prior to the etch process to obtain enhanced isotropic components during the etch process. Thus, during the etching process that is performed, a substantially tapered shape corresponding to the recess can be obtained. The etching process can be continued until a portion of the buried insulating layer 405 is exposed.

第4b圖示意地顯示於對應之蝕刻順序後之半導體裝置400。因此,由於前面的蝕刻製程之等向性成分,延伸向下至埋置絕緣層405之凹處410R可用傾斜的側壁部分毗鄰汲極和源極區451而形成。再者,間隔件453之寬度於前面蝕刻製程之等向性階段也可能已經縮減。然而,對應之襯底材料可以可靠地防止暴露閘極電極452,並亦可提供用於後續矽化作用製程所希望之偏移。於是,根據第4b圖中所示之組構,可藉由形成個別的金屬矽化物區和於凹處410R中形成受應變之介電材料而繼續進一步之製程,如前面之說明。Figure 4b is a schematic representation of the semiconductor device 400 after the corresponding etch sequence. Therefore, due to the isotropic composition of the previous etching process, the recess 410R extending down to the buried insulating layer 405 can be formed by the inclined sidewall portions adjacent to the drain and source regions 451. Furthermore, the width of the spacer 453 may also have been reduced in the isotropic phase of the previous etching process. However, the corresponding substrate material can reliably prevent exposure of the gate electrode 452 and can also provide the desired offset for subsequent deuteration processes. Thus, according to the configuration shown in Figure 4b, further processing can be continued by forming individual metal germanide regions and forming strained dielectric material in recess 410R, as previously described.

結果,本文所揭露之發明標的內容提供一種技術和由此技術獲得的個別半導體裝置,於此半導體裝置中藉由在形成個別的金屬矽化物區和受應變之介電材料之前凹入汲 極和源極區實質地向下至埋置絕緣層,而可以明顯地增強SOI裝置之電晶體特性。於一些態樣中,這可根據於於適當溫度之含氫環境中之熱處理,以便引發由於材料之傾向於減少其表面所引起之材料流。於是,可以提供具有縮減有效長度之逐漸變細之汲極和源極區,由此從埋置絕緣層實質地完全去除材料而使得對應之受力材料可以沿著其整個深度有利地作用於汲極和源極區上。於是,即使對於包含緊密間隔之電晶體元件之高度縮小尺寸之半導體裝置,也可以獲得增強之應力轉移和減少之串聯電阻。“縮減有效汲極長度”之技術可以使用於N通道電晶體和P通道電晶體,其中,於一些例示實施例中,此處所揭示之技術可能僅有利地應用於N通道電晶體,以提供用於此類型電晶體之額外的應變引發源,以便有效地減少P通道電晶體和N通道電晶體之間的效能增益不平衡。用來起始材料流之對應製程步驟可以於任何適當的階段併入,而不會不當地影響整體製程順序。又於其他的實施例中,從汲極和源極區對應的去除材料以便暴露埋置絕緣層之一部分可根據蝕刻製程而完成,該蝕刻製程可執行於任何適當的製造階段,例如於完成汲極和源極區後,而不會不當地影響整體製程順序。As a result, the subject matter disclosed herein provides a technique and individual semiconductor devices obtained by this technique in which recesses are recessed prior to formation of individual metal telluride regions and strained dielectric materials. The pole and source regions are substantially down to the buried insulating layer, and the transistor characteristics of the SOI device can be significantly enhanced. In some aspects, this may be based on a heat treatment in a hydrogen-containing environment at a suitable temperature to initiate a flow of material due to the tendency of the material to reduce its surface. Thus, it is possible to provide a tapered drain and source region having a reduced effective length, whereby the material is substantially completely removed from the buried insulating layer such that the corresponding stressed material can advantageously act on the entire depth thereof. On the pole and source areas. Thus, enhanced resistance transfer and reduced series resistance can be obtained even for highly scaled semiconductor devices including closely spaced transistor elements. The technique of "reducing the effective gate length" can be used for N-channel transistors and P-channel transistors, wherein, in some exemplary embodiments, the techniques disclosed herein may be advantageously applied to N-channel transistors for use. An additional strain inducing source of this type of transistor is effective to effectively reduce the performance gain imbalance between the P-channel transistor and the N-channel transistor. The corresponding process steps used to initiate the material flow can be incorporated at any suitable stage without unduly affecting the overall process sequence. In still other embodiments, removing material from the drain and source regions to expose a portion of the buried insulating layer can be accomplished in accordance with an etch process that can be performed at any suitable stage of fabrication, such as after completion. After the pole and source regions, without unduly affecting the overall process sequence.

以上所揭示之特定實施例僅作例示用,因為對於熟悉該技術領域者而言,藉助此處之教示而能以不同但等效之方式修改及實施本發明是顯而易見的。例如,以上所提出之製程步驟可以不同順序執行。再者,在此所示之架構或 設計細節並非意欲限制,除了以下附加之申請專利範圍所敘述者之外。因此,很明顯的是,可在本發明之精神和範疇內改變或修改以上所揭示之特定實施例及所思及之所有此等變化。由此,本發明所要求保護者係如附加之申請專利範圍所提出者。The specific embodiments disclosed above are intended to be illustrative only, as the invention may be For example, the process steps set forth above can be performed in a different order. Again, the architecture shown here or The design details are not intended to be limiting, except as described in the appended claims. Therefore, it is apparent that the particular embodiments disclosed above and all such variations are contemplated within the spirit and scope of the invention. Thus, the Applicants of the present invention are as set forth in the appended claims.

100‧‧‧半導體裝置100‧‧‧Semiconductor device

101‧‧‧基板101‧‧‧Substrate

102‧‧‧半導體層102‧‧‧Semiconductor layer

103‧‧‧介電層、層103‧‧‧Dielectric layer, layer

137A‧‧‧部分Section 137A‧‧‧

150‧‧‧電晶體元件、電晶體150‧‧‧Optoelectronic components, transistors

150A、150B‧‧‧電晶體元件、電晶體150A, 150B‧‧‧Optoelectronic components, transistors

151‧‧‧汲極和源極區151‧‧‧Bungee and source areas

151R‧‧‧表面部分151R‧‧‧Surface part

151S‧‧‧額外的側壁面積151S‧‧‧Additional sidewall area

152‧‧‧閘極電極152‧‧‧gate electrode

153‧‧‧側壁間隔件、間隔件結構153‧‧‧ Sidewall spacers, spacer structure

154‧‧‧金屬矽化物區154‧‧‧Metal Telluride Zone

155‧‧‧通道區155‧‧‧Channel area

157‧‧‧接點157‧‧‧Contacts

157A‧‧‧面積157A‧‧‧ area

200‧‧‧半導體裝置200‧‧‧Semiconductor device

201‧‧‧基板201‧‧‧Substrate

202‧‧‧半導體層202‧‧‧Semiconductor layer

203‧‧‧介電材料、層203‧‧‧Dielectric materials, layers

205‧‧‧埋置絕緣層205‧‧‧ buried insulation

205A‧‧‧埋置絕緣層之一部分205A‧‧‧ part of the buried insulation

210‧‧‧蝕刻製程210‧‧‧ etching process

210D‧‧‧深度210D‧‧‧Deep

210R‧‧‧凹處210R‧‧‧ recess

211‧‧‧熱處理211‧‧‧ heat treatment

211A‧‧‧箭號、材料流211A‧‧‧Arrow, material flow

230‧‧‧高度230‧‧‧ Height

232‧‧‧閘極絕緣層232‧‧‧gate insulation

250、250A、250B‧‧‧電晶體250, 250A, 250B‧‧‧ transistors

251‧‧‧汲極和源極區251‧‧‧Bungee and source regions

251A‧‧‧額外部分251A‧‧‧Additional part

251L‧‧‧有效“長度”251L‧‧‧effective "length"

251S‧‧‧邊緣、額外部分251S‧‧‧ edge, extra part

252‧‧‧閘極電極結構、閘極電極252‧‧ ‧ gate electrode structure, gate electrode

253‧‧‧側壁間隔件結構253‧‧‧ Sidewall spacer structure

254‧‧‧金屬矽化物區254‧‧‧Metal Telluride Zone

255‧‧‧通道區255‧‧‧Channel area

256‧‧‧閘極絕緣層256‧‧‧ gate insulation

257‧‧‧接點257‧‧‧Contacts

258‧‧‧襯底258‧‧‧substrate

259‧‧‧蓋層259‧‧‧ cover

300‧‧‧半導體裝置300‧‧‧Semiconductor device

301‧‧‧基板301‧‧‧Substrate

302‧‧‧矽基半導體層302‧‧‧矽-based semiconductor layer

305‧‧‧埋置絕緣層305‧‧‧ buried insulation

305A‧‧‧暴露之部分305A‧‧‧ exposed parts

310‧‧‧蝕刻製程310‧‧‧ etching process

310R‧‧‧凹處310R‧‧‧ recess

311‧‧‧熱處理311‧‧‧ heat treatment

312‧‧‧離子植入312‧‧‧Ion implantation

350‧‧‧電晶體350‧‧‧Optoelectronics

351‧‧‧汲極和源極區351‧‧‧Bungee and source areas

351E‧‧‧延伸區351E‧‧‧Extension

351S‧‧‧傾斜面、傾斜的側壁部分351S‧‧‧Slanted, sloping side wall section

352‧‧‧閘極電極352‧‧‧gate electrode

353‧‧‧側壁間隔件結構353‧‧‧ sidewall spacer structure

353A‧‧‧偏移間隔件353A‧‧‧Offset spacer

353B‧‧‧包含襯底之間隔件結構353B‧‧‧ spacer structure containing substrate

353C‧‧‧襯底353C‧‧‧Substrate

356‧‧‧閘極絕緣層356‧‧‧ gate insulation

358‧‧‧襯底358‧‧‧Substrate

359‧‧‧蓋層359‧‧‧ cover

400‧‧‧半導體裝置400‧‧‧Semiconductor device

401‧‧‧基板401‧‧‧Substrate

402‧‧‧半導體層402‧‧‧Semiconductor layer

405‧‧‧埋置絕緣層405‧‧‧ buried insulation

410R‧‧‧凹處410R‧‧‧ recess

440‧‧‧間隔件層440‧‧‧ spacer layer

450‧‧‧電晶體450‧‧‧Optoelectronics

451‧‧‧汲極和源極區451‧‧‧Bungee and source areas

452‧‧‧閘極電極452‧‧ ‧ gate electrode

453‧‧‧側壁間隔件結構453‧‧‧ sidewall spacer structure

455‧‧‧通道區455‧‧‧Channel area

456‧‧‧閘極絕緣層456‧‧‧gate insulation

458‧‧‧蝕刻終止層458‧‧‧etch stop layer

459‧‧‧蓋層459‧‧‧ cover

藉由參照下列說明配合所附圖式可以了解本發明,其中相同之元件符號表示相同的元件,其中:第1a圖示意地顯示包含電晶體之習知半導體裝置之上視圖;第1b至1c圖示意地顯示第1a圖之裝置之電晶體於基體架構中分別於實質平面和凹入汲極/源極組構之剖面圖;第1d圖示意地顯示第1c圖中所示之電晶體之剖面圖;第1e至1f圖示意地顯示在具有實質平面源極/汲極組構之相鄰元件之間具有適度寬度間隔和窄間隔的基體電晶體元件;第1g圖示意地顯示其間具有窄間隔之電晶體元件,其中凹入的汲極/源極組構提供增強之導電特性;第2a至2f圖示意地顯示依照例示實施例在各種製造階段期間形成具有實質延伸向下至SOI裝置之埋置絕緣層之凹處的縮減長度之汲極和源極區域的電晶體元件之剖面圖;第2g圖示意地顯示依照本發明之例示實施例具有凹入的汲極/源極組構之習知SOI電晶體,和具有縮減之汲極 和源極長度之電晶體;第2h圖示意地顯示依照例示實施例包含在其間具有縮減之間隔之電晶體之半導體裝置之剖面圖;第3a至3d圖示意地顯示依照另一例示實施例於各種製造階段期間的SOI電晶體之剖面圖,其中於早期製造階段根據高溫氫烘烤而形成凹處;以及第4a至4b圖示意地顯示依照又另一例示實施例的SOI電晶體在用來暴露於汲極和源極區中埋置絕緣層之一部分的製程順序期間之剖面圖。The invention may be understood by the following description in conjunction with the accompanying drawings, wherein the same reference numerals represent the same elements, wherein: FIG. 1a schematically shows a top view of a conventional semiconductor device including a transistor; FIGS. 1b to 1c Illustratively showing a cross-sectional view of the transistor of the device of FIG. 1a in a substantially planar and concave drain/source configuration in a substrate structure; FIG. 1d schematically shows a cross section of the transistor shown in FIG. 1c. Figure 1e to 1f schematically show a base transistor element having a moderate width spacing and a narrow spacing between adjacent elements having a substantially planar source/drain configuration; Figure 1g schematically shows a narrow spacing therebetween a transistor element in which the recessed drain/source structure provides enhanced conductive characteristics; FIGS. 2a through 2f schematically illustrate the formation of a buried portion having substantial extension down to the SOI device during various stages of fabrication in accordance with an exemplary embodiment A cross-sectional view of the reduced length of the recess of the insulating layer and the transistor element of the source region; FIG. 2g schematically shows a recessed drain/source composition in accordance with an exemplary embodiment of the present invention Conventional SOI transistors, and reduced bungee And a source length transistor; FIG. 2h schematically shows a cross-sectional view of a semiconductor device including a transistor having a reduced interval therebetween in accordance with an exemplary embodiment; and FIGS. 3a through 3d schematically illustrate in accordance with another exemplary embodiment A cross-sectional view of a SOI transistor during various stages of fabrication, wherein a recess is formed in accordance with high temperature hydrogen bake at an early stage of fabrication; and 4a through 4b schematically show an SOI transistor used in accordance with yet another illustrative embodiment A cross-sectional view of the process sequence exposed to portions of the buried insulating layer in the drain and source regions.

雖然此處所揭示之標的事物容許各種修改和替代形式,但其特定實施例已藉由圖式中實例之方式顯示並在此詳細說明。然而,應了解到此處特定實施例之說明並不欲限制本發明於所揭示之特定形式,反之,本發明將涵蓋所有落於由所附之申請專利範圍所界定之精神和範圍內之所有的修飾、等效、和替代者。While the subject matter disclosed herein is susceptible to various modifications and alternatives, the specific embodiments are shown by way of example in the drawings. It should be understood, however, that the description of the specific embodiments of the invention are not intended to Modifications, equivalences, and replacements.

200‧‧‧半導體裝置200‧‧‧Semiconductor device

250A、250B‧‧‧電晶體250A, 250B‧‧‧O crystal

252‧‧‧閘極電極結構、閘極電極252‧‧ ‧ gate electrode structure, gate electrode

254‧‧‧金屬矽化物區254‧‧‧Metal Telluride Zone

257‧‧‧接點257‧‧‧Contacts

Claims (19)

一種半導體裝置,包括:形成於埋置絕緣層之上之電晶體,該電晶體包括位於形成在該埋置絕緣層上之半導體材料中之汲極和源極區;於該汲極和源極區之邊緣處之金屬矽化物區,該金屬矽化物區實質延伸至該埋置絕緣層;以及形成在該電晶體與該金屬矽化物區之上之應變引發層,該應變引發層實質延伸至毗鄰該汲極和源極區之該埋置絕緣層。 A semiconductor device comprising: a transistor formed over a buried insulating layer, the transistor comprising a drain and a source region in a semiconductor material formed on the buried insulating layer; and the drain and source a metal telluride region at the edge of the region, the metal halide region extending substantially to the buried insulating layer; and a strain inducing layer formed over the transistor and the metal halide region, the strain inducing layer substantially extending to The buried insulating layer adjacent to the drain and source regions. 如申請專利範圍第1項之半導體裝置,復包括用導電材料填滿之接點,該接點之一部分延伸至該埋置絕緣層。 The semiconductor device of claim 1, wherein the semiconductor device comprises a contact filled with a conductive material, and a portion of the contact extends to the buried insulating layer. 如申請專利範圍第1項之半導體裝置,其中,該半導體材料於電晶體長度方向之尺寸係於該埋置絕緣層處為最大。 The semiconductor device of claim 1, wherein the semiconductor material has a dimension in the longitudinal direction of the transistor that is the largest at the buried insulating layer. 如申請專利範圍第1項之半導體裝置,其中,該電晶體表示N通道電晶體。 The semiconductor device of claim 1, wherein the transistor represents an N-channel transistor. 一種製造半導體裝置之方法,包括:在形成於埋置絕緣層上之含矽半導體層中形成從電晶體之閘極電極結構橫向偏移之凹處;於含氫環境中施行熱處理,用來引發於該凹處中之材料流以實質暴露該埋置絕緣層之一部分。 A method of fabricating a semiconductor device, comprising: forming a recess laterally offset from a gate electrode structure of a transistor in a germanium-containing semiconductor layer formed on a buried insulating layer; performing heat treatment in a hydrogen-containing environment to induce A stream of material in the recess to substantially expose a portion of the buried insulating layer. 如申請專利範圍第5項之方法,其中,該熱處理係於從大約攝氏750度至1000度之溫度範圍來執行。 The method of claim 5, wherein the heat treatment is performed at a temperature ranging from about 750 degrees Celsius to 1000 degrees Celsius. 如申請專利範圍第5項之方法,復包括於執行該熱處理之前形成毗鄰該閘極電極結構之汲極和源極區。 The method of claim 5, further comprising forming a drain and a source region adjacent to the gate electrode structure prior to performing the heat treatment. 如申請專利範圍第7項之方法,復包括於執行該熱處理之後形成毗鄰該閘極電極結構之汲極和源極區。 The method of claim 7, further comprising forming a drain and a source region adjacent to the gate electrode structure after performing the heat treatment. 如申請專利範圍第7項之方法,復包括於執行該熱處理之後於該汲極和源極區之邊緣面積上形成金屬矽化物,該金屬矽化物延伸至該埋置絕緣層。 The method of claim 7, further comprising forming a metal halide on the edge regions of the drain and source regions after performing the heat treatment, the metal halide extending to the buried insulating layer. 如申請專利範圍第9項之方法,復包括於該電晶體之上形成應變引發層,該應變引發層延伸至該凹處內。 The method of claim 9, wherein the method comprises forming a strain inducing layer over the transistor, the strain inducing layer extending into the recess. 如申請專利範圍第10項之方法,其中,形成該應變引發層以引發拉應變。 The method of claim 10, wherein the strain inducing layer is formed to induce tensile strain. 如申請專利範圍第5項之方法,復包括於形成該凹處之前於該閘極電極之上提供蓋層。 The method of claim 5, further comprising providing a cap layer over the gate electrode prior to forming the recess. 如申請專利範圍第12項之方法,其中,形成該凹處包括於共同蝕刻製程中蝕刻該半導體層和該蓋層。 The method of claim 12, wherein forming the recess comprises etching the semiconductor layer and the cap layer in a common etching process. 如申請專利範圍第8項之方法,其中,形成該凹處包括遮罩該閘極電極結構並蝕刻該半導體材料至預定的深度。 The method of claim 8, wherein forming the recess comprises masking the gate electrode structure and etching the semiconductor material to a predetermined depth. 如申請專利範圍第14項之方法,復包括去除用於遮罩該閘極電極結構之材料之一部分,並執行該熱處理。 The method of claim 14, further comprising removing a portion of the material for masking the gate electrode structure and performing the heat treatment. 一種製造半導體裝置之方法,包括:形成從場效電晶體之閘極電極偏移之凹處,該閘極電極位於形成在埋置絕緣層上之半導體層之上,該凹處延伸至該埋置絕緣層; 形成毗鄰該閘極電極之汲極區和源極區;執行熱處理,用以引發在該凹處中之材料流以暴露該埋置絕緣層之一部分;以及在該場效電晶體之上和該凹處中形成介電質應變引發層。 A method of fabricating a semiconductor device, comprising: forming a recess offset from a gate electrode of a field effect transistor, the gate electrode being over a semiconductor layer formed over a buried insulating layer, the recess extending to the buried Insulating layer; Forming a drain region and a source region adjacent to the gate electrode; performing a heat treatment for inducing a material flow in the recess to expose a portion of the buried insulating layer; and over the field effect transistor A dielectric strain inducing layer is formed in the recess. 如申請專利範圍第16項之方法,其中,形成該凹處包括蝕刻入該半導體層至預定的深度,並於含氫環境中執行熱處理以暴露該埋置絕緣層之一部分。 The method of claim 16, wherein forming the recess comprises etching the semiconductor layer to a predetermined depth and performing a heat treatment in a hydrogen-containing environment to expose a portion of the buried insulating layer. 如申請專利範圍第16項之方法,其中,該凹處藉由蝕刻該半導體層以暴露該埋置絕緣層之一部分而形成。 The method of claim 16, wherein the recess is formed by etching the semiconductor layer to expose a portion of the buried insulating layer. 如申請專利範圍第18項之方法,其中,蝕刻該半導體層包括執行包括等向性蝕刻步驟之蝕刻製程以獲得逐漸變細的凹處。 The method of claim 18, wherein etching the semiconductor layer comprises performing an etching process including an isotropic etching step to obtain a tapered recess.
TW097111226A 2007-03-30 2008-03-28 An soi transistor having drain and source regions of reduced length and a stressed dielectric material adjacent thereto TWI511286B (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
DE102007015504.4A DE102007015504B4 (en) 2007-03-30 2007-03-30 SOI transistor having reduced length drain and source regions and a strained dielectric material adjacent thereto and method of fabrication
US11/936,855 US9450073B2 (en) 2007-03-30 2007-11-08 SOI transistor having drain and source regions of reduced length and a stressed dielectric material adjacent thereto

Publications (2)

Publication Number Publication Date
TW200849592A TW200849592A (en) 2008-12-16
TWI511286B true TWI511286B (en) 2015-12-01

Family

ID=39719533

Family Applications (1)

Application Number Title Priority Date Filing Date
TW097111226A TWI511286B (en) 2007-03-30 2008-03-28 An soi transistor having drain and source regions of reduced length and a stressed dielectric material adjacent thereto

Country Status (4)

Country Link
US (1) US9450073B2 (en)
DE (1) DE102007015504B4 (en)
TW (1) TWI511286B (en)
WO (1) WO2008121326A2 (en)

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7452455B2 (en) * 2004-07-22 2008-11-18 Phelps Dodge Corporation System and method for producing metal powder by electrowinning
DE102008011814B4 (en) * 2008-02-29 2012-04-26 Advanced Micro Devices, Inc. CMOS device with buried insulating layer and deformed channel regions and method for producing the same
US8358012B2 (en) * 2010-08-03 2013-01-22 International Business Machines Corporation Metal semiconductor alloy structure for low contact resistance
US8871626B2 (en) 2011-12-20 2014-10-28 International Business Machines Corporation FinFET with vertical silicide structure
US8445334B1 (en) 2011-12-20 2013-05-21 International Business Machines Corporation SOI FinFET with recessed merged Fins and liner for enhanced stress coupling
US10734531B2 (en) 2017-06-22 2020-08-04 The Penn State Research Foundation Two-dimensional electrostrictive field effect transistor (2D-EFET)
US10355105B2 (en) 2017-10-31 2019-07-16 Taiwan Semiconductor Manufacturing Company, Ltd. Fin field-effect transistors and methods of forming the same

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5510296A (en) * 1995-04-27 1996-04-23 Vanguard International Semiconductor Corporation Manufacturable process for tungsten polycide contacts using amorphous silicon
US5965917A (en) * 1999-01-04 1999-10-12 Advanced Micro Devices, Inc. Structure and method of formation of body contacts in SOI MOSFETS to elimate floating body effects
US20020036320A1 (en) * 2000-09-28 2002-03-28 Takashi Ichimori Semiconductor device having low parasitic resistance and small junction leakage characteristic and method of manufacturing the same
US6867433B2 (en) * 2003-04-30 2005-03-15 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor-on-insulator chip incorporating strained-channel partially-depleted, fully-depleted, and multiple-gate transistors
US20050082614A1 (en) * 2002-10-21 2005-04-21 Shinobu Takehiro Semiconductor device and fabrication method with etch stop film below active layer
US20050110082A1 (en) * 2003-11-25 2005-05-26 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device having high drive current and method of manufacture therefor
US6900502B2 (en) * 2003-04-03 2005-05-31 Taiwan Semiconductor Manufacturing Company, Ltd. Strained channel on insulator device

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3761918B2 (en) * 1994-09-13 2006-03-29 株式会社東芝 Manufacturing method of semiconductor device
JPH09298195A (en) * 1996-05-08 1997-11-18 Mitsubishi Electric Corp Semiconductor device and its manufacture
US7105894B2 (en) * 2003-02-27 2006-09-12 Taiwan Semiconductor Manufacturing Co., Ltd. Contacts to semiconductor fin devices
AU2003297191A1 (en) 2003-12-16 2005-07-14 International Business Machines Corporation Contoured insulator layer of silicon-on-onsulator wafers and process of manufacture
US6963114B2 (en) * 2003-12-29 2005-11-08 Taiwan Semiconductor Manufacturing Company, Ltd. SOI MOSFET with multi-sided source/drain silicide
US7227205B2 (en) * 2004-06-24 2007-06-05 International Business Machines Corporation Strained-silicon CMOS device and method
KR100618852B1 (en) * 2004-07-27 2006-09-01 삼성전자주식회사 Semiconductor device having high operating current
US8853746B2 (en) * 2006-06-29 2014-10-07 International Business Machines Corporation CMOS devices with stressed channel regions, and methods for fabricating the same

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5510296A (en) * 1995-04-27 1996-04-23 Vanguard International Semiconductor Corporation Manufacturable process for tungsten polycide contacts using amorphous silicon
US5965917A (en) * 1999-01-04 1999-10-12 Advanced Micro Devices, Inc. Structure and method of formation of body contacts in SOI MOSFETS to elimate floating body effects
US20020036320A1 (en) * 2000-09-28 2002-03-28 Takashi Ichimori Semiconductor device having low parasitic resistance and small junction leakage characteristic and method of manufacturing the same
US20050082614A1 (en) * 2002-10-21 2005-04-21 Shinobu Takehiro Semiconductor device and fabrication method with etch stop film below active layer
US6900502B2 (en) * 2003-04-03 2005-05-31 Taiwan Semiconductor Manufacturing Company, Ltd. Strained channel on insulator device
US6867433B2 (en) * 2003-04-30 2005-03-15 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor-on-insulator chip incorporating strained-channel partially-depleted, fully-depleted, and multiple-gate transistors
US20050110082A1 (en) * 2003-11-25 2005-05-26 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device having high drive current and method of manufacture therefor

Also Published As

Publication number Publication date
DE102007015504A1 (en) 2008-10-02
WO2008121326A3 (en) 2008-12-18
WO2008121326A2 (en) 2008-10-09
US20080237712A1 (en) 2008-10-02
DE102007015504B4 (en) 2014-10-23
TW200849592A (en) 2008-12-16
US9450073B2 (en) 2016-09-20

Similar Documents

Publication Publication Date Title
TWI443750B (en) A technique for froming a contact insulation layer with enhanced stress transfer efficiency
KR101366201B1 (en) A transistor having locally provided metal silicide region in contact areas and a method of forming the transistor
TWI420602B (en) Technique for forming recessed strained drain/source regions in nmos and pmos transistors
TWI413216B (en) Methods for fabricating a stressed mos device
US8754487B2 (en) Semiconductor device with metal gate
TWI438867B (en) A cmos device having gate insulation layers of different type and thickness and a method of forming the same
TWI411109B (en) Semiconductor device and method for production of semiconductor device
US10741639B2 (en) Formation of dielectric layer as etch-stop for source and drain epitaxy disconnection
JP5544367B2 (en) Recessed drain and source areas combined with advanced silicide formation in transistors
TWI542001B (en) Contact trenches for enhancing stress transfer in closely spaced transistors
US8124467B2 (en) Reducing silicide resistance in silicon/germanium-containing drain/source regions of transistors
TWI446455B (en) Enhanced transistor performance of n-channel transistors by using an additional layer above a dual stress liner in a semiconductor device
TWI588902B (en) Method of forming a semiconductor structure including silicided and non-silicided circuit elements
JP2010532572A (en) Blocking preamorphization of transistor gate electrode
TWI511286B (en) An soi transistor having drain and source regions of reduced length and a stressed dielectric material adjacent thereto
TW201306256A (en) Semiconductor device and method for fabricating the same
KR20100129752A (en) A cmos device comprising an nmos transistor with recessed drain and source areas and a pmos transistor having a silicon/germanium material in the drain and source areas
JP2009181978A (en) Semiconductor device and fabrication process thereof
JP5666451B2 (en) Structurally strained substrate for forming strained transistors with active layer thickness reduction
TW201240096A (en) Performance enhancement in transistors by reducing the recessing of active regions and removing spacers
KR102411804B1 (en) Source/drains in semiconductor devices and methods of forming thereof
JP2013516762A (en) Low parasitic capacitance body contact transistor
KR100674645B1 (en) Method of manufacturing semiconductor devices
KR20080007391A (en) Technique for forming a contact insulation layer with enhanced stress transfer efficiency
TW200532861A (en) MOS device and fabrication method thereof