TWI502094B - 化學氣相沉積之控制設備及方法 - Google Patents

化學氣相沉積之控制設備及方法 Download PDF

Info

Publication number
TWI502094B
TWI502094B TW100116156A TW100116156A TWI502094B TW I502094 B TWI502094 B TW I502094B TW 100116156 A TW100116156 A TW 100116156A TW 100116156 A TW100116156 A TW 100116156A TW I502094 B TWI502094 B TW I502094B
Authority
TW
Taiwan
Prior art keywords
heating element
substrate
element regions
heating
regions
Prior art date
Application number
TW100116156A
Other languages
English (en)
Other versions
TW201215703A (en
Inventor
Eric M Lee
Jacques Faguet
Eric J Strang
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US12/814,278 external-priority patent/US8852347B2/en
Priority claimed from US12/814,301 external-priority patent/US9139910B2/en
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of TW201215703A publication Critical patent/TW201215703A/zh
Application granted granted Critical
Publication of TWI502094B publication Critical patent/TWI502094B/zh

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05CAPPARATUS FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05C11/00Component parts, details or accessories not specifically provided for in groups B05C1/00 - B05C9/00
    • B05C11/02Apparatus for spreading or distributing liquids or other fluent materials already applied to a surface ; Controlling means therefor; Control of the thickness of a coating by spreading or distributing liquids or other fluent materials already applied to the coated surface
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05DPROCESSES FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05D1/00Processes for applying liquids or other fluent materials
    • B05D1/60Deposition of organic layers from vapour phase
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05DPROCESSES FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05D3/00Pretreatment of surfaces to which liquids or other fluent materials are to be applied; After-treatment of applied coatings, e.g. intermediate treating of an applied coating preparatory to subsequent applications of liquids or other fluent materials
    • B05D3/002Pretreatement
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4411Cooling of the reaction chamber walls
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4412Details relating to the exhausts, e.g. pumps, filters, scrubbers, particle traps
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/4486Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by producing an aerosol and subsequent evaporation of the droplets or particles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/511Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using microwave discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Metallurgy (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Dispersion Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Electrodes Of Semiconductors (AREA)

Description

化學氣相沉積之控制設備及方法
本發明係關於一種處理系統與基板的處理方法,尤關於一種沉積系統與利用沉積處理的薄膜沉積方法。
本申請案係與同時審查中之美國專利申請案第12/814,301號相關,其專利名稱為「化學氣相沉積的控制方法」、代理人案號為TDC-026、且與本申請案同一日提出申請;與審查中之美國專利申請案第11/693,067號相關,其專利名稱為「蒸氣沉積系統及操作方法」、代理人案號為TTCA-195、且於2007年3月29日提出申請;與審查中之美國專利申請案第12/044,574號相關,其專利名稱為「用於蒸氣沉積系統的氣體加熱裝置及其操作方法」、代理人案號為TTCA-216、且於2008年3月7日提出申請;且與審查中之美國專利申請案第12/559,398號相關,其專利名稱為「用於蒸氣沉積系統的高溫氣體加熱裝置」、代理人案號為TTCA-317、且於2009年9月14日提出申請。在此將這些申請案之全部內容列為參考資料。
在材料處理期間,例如用以生產積體電路(IC)之半導體裝置的製造過程,氣相沉積為用以形成薄膜於基板之上的一般技術,也是用以在複雜的表面輪廓之上及其中形成保角薄膜的一般技術。氣相沉積處理包括化學氣相沉積(CVD)及電漿增強型CVD(PECVD)。例如,在半導體製造過程中,此種氣相沉積處理可用於前段製程(FEOL)操作之中的閘極介電薄膜的形成,且用於後段製程(BEOL)操作之中的金屬化所需之低介電常數(低k值)或超低k值、多孔性或非多孔性、介電薄膜的形成與阻障/晶種層的形成,也用於DRAM生產之中的電容器介電薄膜的形成。
在CVD處理之中,將薄膜前驅物蒸氣的連續氣流通入到設有基板的處理室之中,其中薄膜前驅物的成分具有欲形成在基板之上的薄膜之中所具有的主要之原子或分子的物質。在此連續的處理期間,在有或無用以促進化學吸附材料之還原的額外之氣體組成的存在之情況下,前驅物蒸氣發生熱分解且反應時,前驅物蒸氣將同時被化學吸附在基板的表面之上,因此,殘留在所需的薄膜之背後。
在PECVD處理之中,CVD處理更包括用以改變或增強薄膜之沉積機制的電漿。例如,電漿激發將使成膜反應得以在大幅地低於藉由受熱激發的CVD製成類似的薄膜時所需之典型的溫度的情況下進行。此外,電漿激發可活化成膜的化學反應,而這並非是有利於熱CVD之能量或動能的因素。
其它的CVD技術包括熱絲線CVD(或已知為熱線CVD或熱解CVD)。在熱絲線CVD中,藉由受電阻式加熱的絲線使薄膜前驅物發生熱分解,且所產生之斷裂的分子被吸附在基板的表面之上且在其上發生反應,而殘留所需的薄膜。和PECVD不同地,熱絲線CVD並不需要有電漿的形成。
本發明係關於一種處理系統與基板的處理方法,尤關於一種沉積系統與利用沉積處理之薄膜的沉積方法,例如氣相沉積處理。
又,本發明係關於一種沉積系統與利用絲線輔助化學氣相沉積(CVD)或熱解CVD的薄膜沉積方法,其中利用氣體加熱裝置所具備之加熱元件陣列熱解成膜成分。
根據一實施例,揭露一種用於沉積系統之中的氣體加熱裝置。氣體加熱裝置係構成為對成膜成分的一個或更多之組成加熱。氣體加熱裝置係包含加熱元件陣列,具有複數之加熱元件區,且為了引起該成膜成分的一個或更多之組成在加熱時的熱解,故構成為接收流到該複數之加熱元件區的各處或流過其中之一成膜成分的流體,該複數之加熱元件區的每一個係包含一個或更多之電阻式加熱元件,其中該複數之加熱元件區的每一個係配置成與任一個彼此呈電性獨立,及其中該複數之加熱元件區的每一個係排列成與至少一部分之該流體有交互作用,且影響該成膜成分的熱解及影響該成膜成分流到該基板之不同的區域之傳送;及包含一個或更多之電源,耦合到該加熱元件陣列,且構成為提供電信號給該複數之加熱元件區的每一個。
根據另一實施例,揭露一種利用氣體加熱裝置而用以沉積薄膜於基板之上的沉積系統。沉積系統係包含處理室,具有抽氣系統,構成為將處理室抽真空;基板支座,耦合到處理室且構成為支撐該基板;氣體散佈系統,耦合到該處理室且構成為將一成膜成分通入到該基板之表面的附近之處理空間。沉積系統更包含加熱元件陣列,具有複數之加熱元件區,且為了引起成膜成分的一個或更多之組成在加熱時的熱解,故構成為接收流到複數之加熱元件區的各處或流過其中之一成膜成分的流體,複數之加熱元件區的每一個係包含一個或更多之電阻式加熱元件,其中複數之加熱元件區的每一個係配置成與任一個彼此呈電性獨立,及其中複數之加熱元件區的每一個係排列成與至少一部分之該流體有交互作用,且影響成膜成分的熱解及影響成膜成分流到基板之不同的區域之傳送;及一個或更多之電源,耦合到加熱元件陣列,且構成為提供電信號給複數之加熱元件區的每一個。
根據另一實施例,揭露一種薄膜沉積方法,用於在沉積系統之中沉積薄膜在一基板之上。該薄膜沉積方法包含以下步驟:設置步驟,在沉積系統之中設置包含複數之加熱元件區的氣體加熱裝置,而該複數之加熱元件區的每一個係具有一個或更多之電阻式加熱元件;溫控步驟,獨立地控制該複數之加熱元件區的每一個之溫度;基板的裝設步驟,在該沉積系統之中的基板支座之上裝設基板,其中該基板支座係包含一個或更多之溫度控制區;成膜成分的提供步驟,提供成膜成分給耦合到該沉積系統的該氣體加熱裝置;熱解步驟,利用該氣體加熱裝置熱解該成膜成分的一個或更多之組成;及通入步驟,通入該成膜成分到該沉積系統之中的該基板,俾沉積一薄膜於該基板之上。
在以下說明中,為了便於徹底瞭解本發明且為了說明及非限制之目的,故提到具體之細節,例如,除了提到處理系統之中所採用的方法與處理,也提到處理系統之特定的幾何形狀與各種元件的說明。
然而,熟悉相關技術之人士應意識到:在無一個或更多之具體的細節、或改採用其它的替代及/或額外的方法、材料、或元件的狀態下,仍可實施各種實施例。在其它情況下,為了避免混淆本發明之各種實施例的實施樣態,故不圖示或不詳細說明熟知的結構、材料、或操作。同樣地,為了說明之目的,故提出具體之數字、材料、及架構而用以提供對本發明之徹底瞭解。然而,在無具體之細節的情況下,仍可實施本發明。又,吾人應瞭解:圖式所顯示之各種實施例僅為例示性且並未照比例製圖。
本說明書所稱之「其中一實施例」或「一實施例」雖然意謂著:與實施例相關之特定的特徵、結構、材料、或特性將包括在本發明的至少一實施例之中,但並不代表這些特徵將存在每一個實施例之中。因此,在本說明書的各處出現之「在其中一實施例之中」或「在一實施例之中」的用語並不一定述及本發明之相同的實施例。又,在一個或更多之實施例之中,可以適當的方式任意地組合特定的特徵、結構、材料、或特性。一實施例之中所具有之各種額外的層及/或結構及/或述及之特徵在其它的實施例之中可以被省略。
如上所述,本發明係關於一種處理基板的處理系統與方法,尤關於一種利用沉積處理之沉積薄膜用的沉積系統與方法,例如氣相沉積處理。又,本發明更關於一種利用絲線輔助化學氣相沉積(CVD)或熱解CVD之沉積薄膜用的沉積系統與方法,其中利用具備加熱元件陣列的氣體加熱裝置熱解成膜成分。
其中,本發明人已意識到:除了別的以外,當使絲線輔助CVD或熱解CVD系統能夠在空間上控制各種處理機制或參數時,將可在基板之上製成高品質、堅固的薄膜。這些處理機制的其中一些包括:(1)加熱元件陣列處的反應區之修正及/或空間/時間控制,例如,反應區處的成膜化學物質之空間及/或時間調整;(2)基板或基板支座處的表面反應度之修正及/或空間/時間控制,例如,基板溫度之空間及/或時間調整;及(3)反應區(即加熱元件陣列)與基板或基板支座之間的擴散通路長度之修正及/或空間/時間控制。
以下參照圖式,其中類似的標號代表數個圖式之間相同或對應的部分,圖1概要地顯示沉積薄膜用的沉積系統1,例如導電性薄膜、非導電性薄膜、或半導電性薄膜。例如,此薄膜係包括介電薄膜,例如低介電常數(低k值)或超低k值介電薄膜、或此薄膜係包括用於氣隙介電質之中的耗蝕層。沉積系統1係包括化學氣相沉積(CVD)系統或熱促進的蒸氣沉積系統,其中,為了在基板之上形成薄膜,故藉由熱活化或分解成膜成分。例如,沉積系統1係包含絲線輔助CVD(FACVD)系統或熱解CVD系統。
沉積系統1係包含處理室10,其具有基板支座20,構成為支撐基板25,俾形成薄膜於基板25之上。又,基板支座係構成為控制基板的溫度在適合於成膜反應的溫度。
處理室10耦合到成膜成分傳送系統30,成膜成分傳送系統30則構成為藉由氣體散佈系統40將成膜成分通入到處理室10。又,氣體加熱裝置45係耦合到氣體散佈系統40或位在其下游,且構成為化學性地調整成膜成分。
氣體加熱裝置45係包含加熱元件陣列55,其具有複數之加熱元件區55(A、B、C),且為了引起成膜成分的一個或更多之組成在加熱時的熱解,故構成為接收來自成膜成分傳送系統30與氣體散佈系統40而流到複數之加熱元件區55(A、B、C)的各處或流過其中之成膜成分的流體。複數之加熱元件區55(A、B、C)的每一個係包含一個或更多之加熱元件,且配置成彼此呈電性獨立,其中將複數之加熱元件區55(A、B、C)的每一個加以排列,俾能與至少一部分之流體產生交互作用,且影響到達基板25之不同的處理區域之成膜成分的熱解及其傳送。雖然圖示三個加熱元件區與處理區域,但加熱元件陣列55亦可構成為具有較少(例如,兩個)、或更多(例如,四個、五個等等)的加熱元件區與處理區域。
如上所述,複數之加熱元件區55(A、B、C)係促進加熱元件陣列處的反應區之修正及/或空間/時間控制,例如,反應區處的成膜化學物質之空間及/或時間調整;及/或反應區(即,加熱元件陣列)與基板或基板支座之間的擴散通路長度之修正及/或空間/時間控制。例如,可調整複數之加熱元件區55(A、B、C)彼此之間相對的間隔及/或方位,及/或相對於基板的間隔及/或方位。
一個或更多之電源50係耦合到加熱元件陣列55,且構成為提供電信號給複數之加熱元件區55的每一個(A、B、C)。例如,加熱元件區55的每一個(A、B、C)係包含一個或更多之電阻式加熱元件。當電流流過一個或更多之電阻式加熱元件且影響其加熱時,這些加熱元件與成膜成分的交互作用係造成成膜成分的一個或更多之組成的熱解。
處理室10更經由導管62而耦合到抽真空系統60,其中抽真空系統60係構成為將處理室10與氣體散佈系統40抽真空,俾達到適合在基板25之上形成薄膜且適合成膜成分發生熱解的壓力。
成膜成分傳送系統30係包括一個或更多之材料源,構成為通入成膜成分到氣體散佈系統40。例如,成膜成分係包括一個或更多之氣體、或形成於一個或更多之氣體之中的一個或更多之蒸氣、或其中兩種或更多種之混合物。成膜成分傳送系統30係包括一個或更多之氣體源、或一個或更多之蒸氣源、或其組合。在此,氣化係代表材料(一般以氣態以外的狀態加以貯存者)從非氣態轉化成氣態的變化。因此,在此使用可互換的用語「氣化」、「昇華」、及「蒸發」代表從固態或液態前驅物變成全體之蒸氣(氣體)的形成,不論轉化是否從,例如,固態變成液態再變成氣態、固態變成氣態、或液態變成氣態。
當成膜成分通入到氣體加熱裝置45時,將藉由上述之氣體加熱裝置45使成膜成分的一個或更多之組成受到熱解。成膜成分係包括薄膜前驅物,而此薄膜前驅物在氣體加熱裝置45之中受到熱解時,將斷裂或不斷裂。薄膜前驅物或前驅物係包括欲製成在基板之上的薄膜所具有的主要之原子或分子的物質。此外,成膜成分係包括還原劑,而此還原劑在氣體加熱裝置45之中受到熱解時,將斷裂或不斷裂。還原劑或多個還原劑係有助於基板25之上的薄膜前驅物的還原。例如,還原劑或多個還原劑係與基板25之上的一部分或實質所有的薄膜前驅物發生反應。此外又,成膜成分係包括聚合劑(或交聯劑),而此聚合劑在氣體加熱裝置45之中受到熱解時,將斷裂或不斷裂。聚合劑係有助於基板25之上的薄膜前驅物或斷裂的薄膜前驅物的聚合反應。
根據一實施例,欲在基板25之上形成聚合物或共聚物薄膜時,係將包含一個或更多之單體氣體的成膜成分通入到氣體加熱裝置45之中,即通入到加熱元件陣列55之中,其具有足以熱解一個或更多之單體氣體且產生反應性物質源的溫度。將這些反應性物質通入且散佈到基板25之上表面附近的處理空間33之中。為了使化性改變的成膜成分在基板25的上表面處凝結且引起其聚合反應,故將基板25維持在低於氣體加熱裝置45之溫度。
成膜成分係包括引發劑,而此引發劑在氣體加熱裝置45之中受到熱解時,將斷裂或不斷裂。引發劑或斷裂的引發劑係有助於薄膜前驅物的斷裂、或有助於薄膜前驅物的聚合反應。使用引發劑將得以在較低的熱源溫度就有較大的沉積速率。例如,利用一個或更多之加熱元件使引發劑斷裂,俾生成引發劑的自由基物質(即,斷裂的引發劑),其係與成膜成分之中的一個或更多之剩餘的組成發生反應。又,例如,斷裂的引發劑或引發劑的自由基係催化成膜成分之自由基的形成。引發劑係包括過氧化物。此外,例如,引發劑係包括:有機之過氧化物,例如二三級丁基過氧化物、二三級戊基過氧化物、或過氧苯甲酸三級丁酯;偶氮化合物,例如2,2’-偶氮雙異丁腈;或另一個單體,例如全氟辛烷磺醯氟。
在一例子中,當形成有機矽聚合物時,係使用有機矽前驅物的單體氣體(或複數氣體)。此外,例如,當形成碳氟-有機矽共聚物時,係使用碳氟前驅物與有機矽前驅物的單體氣體。
在另一個例子中,當形成碳氟-有機矽共聚物時,引發劑為在環狀乙烯基甲基矽氧烷的聚合反應之中所使用的全氟辛烷磺醯氟(PFOSF),例如1,3,5-三乙烯基-1,3,5-三甲基環三矽氧烷(V3 D3 )。
在另一個例子中,當形成含SiCOH之多孔性薄膜時,成膜成分係包含結構形成用材料與孔洞產生用材料。結構形成用材料係包含二乙氧基甲基矽烷(DEMS)且孔洞產生用材料係包含α-萜品烯(ATRP)。含SiCOH之多孔性薄膜係可當作低介電常數(低k值)材料使用。
在另一個例子中,當形成交聯的甲基丙烯酸新戊酯有機玻璃時,成膜成分係包含單體、交聯劑、及引發劑。單體係包含甲基丙烯酸三甲基矽烷基甲酯(TMMA)、甲基丙烯酸炔丙酯(PMA)、甲基丙烯酸環戊酯(CPMA)、甲基丙烯酸新戊酯(npMA)、及聚(甲基丙烯酸新戊酯)(P(npMA)),且交聯劑係包含乙二醇二丙烯酸酯(EGDA)、乙二醇二甲基丙烯酸酯(EGDMA)、1,3-丙二醇二丙烯酸酯(PDDA)、或1,3-丙二醇二甲基丙烯酸酯(PDDMA)、或其中兩種或更多種之任一組合。此外,引發劑係包含一個或更多之過氧化物、一個或更多之氫過氧化物、及/或一個或更多之二氮雜苯(diazine)。此外,又,引發劑係包含三級丁基過氧化物(TBPO)、或二三級丁基過氧化物(DTBPO)。
在另一個例子中,聚合物薄膜包含甲基丙烯酸新戊酯-乙二醇二丙烯酸酯共聚物(P(npMA-co-EGDA)),且單體係包含npMA(甲基丙烯酸新戊酯)及交聯劑係包含EGDA(乙二醇二丙烯酸酯)。聚合物薄膜係可當作耗蝕性氣隙材料使用。
又,在另一個例子中,係利用於2010年3月23日申請之專利名稱為「化學氣相沉積方法」、代理人案號為TDC-017之審查中的美國專利申請案第12/730,088號之中所述的任一種處理與化學前驅物沉積含矽的薄膜、含有機物的薄膜、及/或含有機矽的薄膜。
根據一實施例,成膜成分傳送系統30係包括第一材料源32,構成為通入一個或更多之薄膜前驅物到氣體散佈系統40、及第二材料源34,構成為通入(化學)引發劑到氣體散佈系統40。又,成膜成分傳送系統30係包括額外的氣體源,構成為通入惰性氣體、載體氣體、稀釋氣體、或氧化劑。例如,惰性氣體、載體氣體或稀釋氣體係包括惰性氣體,即氦(He)、氖(Ne)、氬(Ar)、氪(Kr)、氙(Xe)、或氡(Rn)。
再參照圖1,電源50係構成為提供電信號給加熱元件陣列55之中的一個或更多之電阻式薄膜加熱元件。例如,電源50係構成為供給DC(直流)功率或AC(交流)功率。此外,例如,電源50係構成為提供連續的功率或變動的功率。又,例如,電源50係構成為調變功率、或提供脈衝調制的功率、步進的功率、或漸變的功率、或其中兩種或更多種之任一組合。又,例如,電源50係構成為進行功率、電壓、或電流的設定、監測、調整或控制的至少一個。
又參照圖1,溫度控制系統22係耦合到氣體散佈系統40、氣體加熱裝置45、處理室10、及/或基板支座20,且構成為控制這些元件的一個或更多個的溫度。溫度控制系統22係包括溫度量測系統,構成為在一個或更多之位置處量測氣體散佈系統40的溫度、在一個或更多之位置處量測氣體加熱裝置45的溫度、在一個或更多之位置處量測處理室10的溫度及/或在一個或更多之位置處量測基板支座20的溫度。溫度的量測值係用於調整或控制沉積系統1之中的一個或更多之位置處的溫度。
溫度量測系統所使用的溫度量測裝置係包括光纖溫度計、光溫計、如2002年7月2日申請之審查中的美國專利申請案第10/168544號之中所述的頻帶緣溫度量測系統,在此將其內容列為參考資料、或熱電偶,例如K型熱電偶。光學溫度計的例子,包括:市售之Advanced Energies企業的型號為OR2000F之光纖溫度計;市售之Luxtron公司的型號為M600之光纖溫度計;或市售之Takaoka電子製造公司的型號為FT-1420之光纖溫度計。
或者,當量測一個或更多之電阻式加熱元件的溫度時,可量測各電阻式加熱元件的電特性。例如,為了量測各電阻式加熱元件的電阻,係可監測耦合到一個或更多個的電阻式加熱元件之電壓、電流或功率的其中兩個或更多個。元件的電阻之變動係隨著影響元件之電阻係數的元件之溫度的變動而變大。
根據來自溫度控制系統22或控制器80或兩者的程式指令,電源50係構成為操作氣體加熱裝置45,例如,溫度從約100℃到約600℃之範圍內的一個或更多之加熱元件。例如,溫度在約200℃到約550℃的範圍內。根據成膜成分選擇溫度,尤其,係根據成膜成分的組成選擇溫度。
此外,根據來自溫度控制系統22或控制器80或兩者的程式指令,而將氣體散佈系統40之溫度設定成約等於或小於氣體加熱裝置45,即一個或更多之加熱元件,之溫度的值。例如,溫度為小於或約等於1200℃的值。此外,例如,溫度為小於約1000℃的值。此外,例如,溫度為小於約800℃的值。此外,例如,溫度為小於約600℃的值。此外,例如,溫度為小於約550℃的值。又,例如,溫度在約80℃到約550℃的範圍內。選擇一溫度,其約等於或小於一個或更多之加熱元件的溫度,且選擇一溫度,其高至足以防止氣體散佈系統的表面發生凝結,而此凝結係會或不會造成薄膜之形成,且足以減少殘餘物的累積。
又,此外,根據來自溫度控制系統22或控制器80或兩者的程式指令,而將處理室10之溫度設定成小於氣體加熱裝置45,即一個或更多之加熱元件,之溫度的值。例如,溫度為小於約200℃的值。此外,例如,溫度為小於約150℃的值。又,例如,溫度在約80℃到約150℃的範圍內。然而,溫度係等於或小於氣體散佈系統40之溫度。選擇一溫度,其小於一個或更多之電阻式薄膜加熱元件的溫度,且選擇一溫度,其高至足以防止處理室的表面發生凝結,而此凝結係會或不會造成薄膜之形成,且足以減少殘餘物的累積。
一旦成膜成分進入處理空間33,成膜成分係吸附在基板的表面之上,且發生成膜反應而在基板25之上生成薄膜。根據來自溫度控制系統22或控制器80或兩者的程式指令,基板支座20係構成為將基板25的溫度設定在小於氣體加熱裝置45的溫度、小於氣體散佈系統40的溫度、且小於處理室10的溫度的值。例如,基板溫度可達約80℃。此外,基板溫度可約為室溫。例如,基板溫度可達約25℃。然而,溫度可小於或大於室溫。
基板支座20係促進基板或基板支座處的表面反應度之修正及/或空間/時間控制,例如,基板溫度的空間及/或時間調整。
基板支座20係包含一個或更多之溫度控制元件21,其耦合到溫度控制系統22。溫度控制系統22係包括基板加熱系統、或基板冷卻系統、或兩者。例如,基板支座20係包括位於基板支座20的表面之下的基板加熱元件或基板冷卻元件(未圖示)。例如,加熱系統或冷卻系統係包括再循環流體的流動,在冷卻時,其吸收來自基板支座20的且將熱量傳遞到熱交換器系統(未圖示)、或在加熱時,將來自熱交換器系統的熱量傳遞到基板支座20。冷卻系統或加熱系統係包括加熱/冷卻元件,例如電阻式加熱元件、或位在基板支座20之中的熱電式加熱器/冷卻器。此外,將加熱元件或冷卻元件或兩者排列在一個以上之分別受控制的溫度區之中。基板支座20係具有兩個溫度區,包括內圍區與外圍區。分別地加熱或冷卻基板支座的溫度區而控制這些區域的溫度。
此外,基板支座20係包含基板夾持系統23(例如,電子式或機械式夾持系統),用以將基板25夾持在基板支座20的上表面。例如,基板支座20係包括靜電式夾具(ESC)。
又,基板支座20係藉由背面供氣系統24而促進熱傳導氣體傳送到基板25的背面,俾提高基板25與基板支座20之間的氣體-間隙之熱傳導率。當需要升高或降低基板的溫度而對其進行溫度控制時,即可利用此種系統。例如,背面供氣系統係包含兩個溫度區的氣體散佈系統,其中獨立地改變基板25的中央與邊緣之間的背面氣體(例如,氦)的壓力。
舉例而言,基板支座係包括專利名稱為「多溫度區電阻加熱器」之美國專利第6,740,853號之中的任一種溫度控制元件,並在此將其全部內容列為參考資料。
抽真空系統60係包括具有抽真空速度達每秒約為5000公升(及更大)之能力的渦輪式分子真空泵浦(TMP)及用以調節處理室之壓力的閘閥。例如,採用每秒1000至3000公升的TMP。為了進行低壓處理,典型地小於約1Torr,故使用各種TMP。為了進行高壓處理(即,大於約1Torr),故使用機械式增壓泵浦與乾式粗抽泵浦。又,將用以監測處理室之壓力的裝置(未圖示)耦合到處理室10。壓力監測裝置為,例如,市售的MKS儀器公司(麻薩諸塞州安多弗)之型號628B的Baratron絕對電容型壓力計。
又參照圖1,沉積系統1更包含控制器80,而控制器80係具有微處理器、記憶體、及數位式I/O埠,其中數位式I/O埠具有產生控制電壓之能力,而控制電壓不僅足以監測沉積系統1的輸出,且足以傳達且致動輸入到沉積系統1。又,控制器80不僅耦合到背面氣體傳送系統(未圖示)、及/或靜電式夾持系統(未圖示)且與其交換資訊,更耦合到處理室10、基板支座20、溫度控制系統22、成膜成分傳送系統30、氣體散佈系統40、氣體加熱裝置45、及抽真空系統60且與其交換資訊。為了進行薄膜沉積方法,故根據處理配方而利用貯存在記憶體之中的程式致動輸入到前述之沉積系統1的元件。
控制器80係耦合到氣體加熱裝置45的一個或更多之電源50,且構成為控制送到加熱元件陣列55的複數之加熱元件區55(A、B、C)的每一個之電信號。其中,控制器80係構成為控制一個或更多之電參數,包括電流、電壓、或功率、或其中兩種或更多種之任一組合。此外,控制器80係耦合到氣體散佈系統40的一個或更多之流體控制裝置,且構成為控制流到加熱元件陣列55的複數之加熱元件區55(A、B、C)之每一個的成膜成分或其它之處理氣體的流量。又,控制器80係耦合到基板支座20之中的一個或更多之溫度控制元件,且構成為控制基板25之不同的區域之溫度。
控制器80可位在沉積系統1的所在之處、或可位在遠離沉積系統1之處,而經由網際網路或內部網路進行控制。因此,利用直接連接、內部網路、或網際網路的至少一個而使控制器80得以與沉積系統1交換資料。控制器80係耦合到客戶端(即,半導體裝置生產者等等)的內部網路、或耦合到供應商端(即,設備製造者)的內部網路。又,另一個電腦(即,控制器、伺服器等等)係藉由直接連接、內部網路、或網際網路的至少一個而接續到控制器80而得以交換資料。
利用耦合到,例如,處理室10或氣體散佈系統40之現場的清洗系統(未圖示)而周期性地清洗沉積系統1。為了清除累積在沉積系統1的內表面之上的殘餘物,故依操作者所決定之每一頻度,現場的清洗系統係對沉積系統1進行定期的清洗。現場的清洗系統係包含,例如,自由基產生器,構成為通入具有能與殘餘物起化學反應且清除此種殘餘物之能力的化學自由基。此外,例如,現場的清洗系統係包括,例如,臭氧產生器,構成為通入一分壓之臭氧。例如,自由基產生器係包括上游電漿源,構成為分別從氧(O2 )、三氟化氮(NF3 )、O3 、XeF2 、ClF3 、或C3 F8 (或更一般而言,Cx Fy )產生氧或氟自由基。自由基產生器係包括市售的反應氣體產生器,MKS儀器企業公司,產品(麻薩諸塞州,威明頓,工業路90號,01887)。
以下參照圖2,其顯示根據一實施例之氣體散佈系統200。氣體散佈系統200係包含外殼240,構成為耦合到沉積系統的處理室(例如圖1所示之沉積系統1的處理室10)或位在之中、及氣體散佈板241,構成為耦合到外殼240,其中組合形成充氣部242。氣體散佈板241為任意的。氣體散佈系統200係與處理室呈絕熱、或與處理室並非絕熱。
氣體散佈系統200係構成為接收來自成膜成分傳送系統(未圖示)而通入充氣部242的成膜成分且將成膜成分散佈到處理室之中。例如,氣體散佈系統200係構成為接收來自成膜成分傳送系統而通入充氣部242的成膜成分232的一個或更多之組成與任意的引發劑234。成膜成分232的一個或更多之組成與任意的引發劑234係如圖所示地分別通入到充氣部242、或經由相同的開口加以通入。或者,當清洗上述圖1之沉積系統時,氣體散佈系統200係構成為接收通入充氣部242的清洗用流體、溶液、氣體等等,而取代成膜成分232及/或任意的引發劑234。
氣體散佈板241係包含複數之開口244,其排列成將來自充氣部242的成膜成分通入且散佈到靠近其上待形成薄膜之基板(未圖示)的處理空間233之中。例如,氣體散佈板241係包含一個或更多之出口246,其構成為面對著基板的上表面。
又,氣體散佈系統200係包含氣體加熱裝置250,其具有由複數之加熱元件區252(A-C)所構成的加熱元件陣列。複數之加熱元件區252(A-C)的每一個係包括耦合到電源254的一個或更多之加熱元件,且構成為接收來自電源254的電信號。複數之加熱元件區252(A-C)係位在氣體散佈系統200的出口246處,故其得以與成膜成分的任一成分有交互作用、或與包括任意的引發劑之成膜成分的所有的組成有交互作用。
如上所述,複數之加熱元件區252(A-C)的每一個係包含一個或更多之電阻式加熱元件。例如,一個或更多之電阻式加熱元件係包括含金屬的帶部或含金屬的線部。又,例如,一個或更多之電阻式加熱元件係由電阻式金屬、電阻式金屬合金、電阻式金屬氮化物、或其中兩種或更多種之組合所組成。
當電源254將電能耦合到複數之加熱元件區252(A-C)時,複數之加熱元件區252(A-C)係被升溫到足以熱解成膜成分的一個或更多之組成的溫度。電源254係包括直流(DC)電源、或包括交流(AC)電源。電源254係構成為藉由直接電連接到一個或更多之加熱元件而將電能耦合到複數之加熱元件區252(A-C)。或者,電源254係構成為藉由電磁感應而將電能耦合到複數之加熱元件區252(A-C)。
形成於氣體散佈板241之中的一個或更多之開口244包括一個或更多之開孔或一個或更多之開槽或其組合。一個或更多之開口244係呈直線形地分佈在氣體散佈板241之上。或者,一個或更多之開口244係呈圓形地分佈在氣體散佈板241之上(例如,使開孔分佈在徑向或角方向或兩者上)。當複數之加熱元件區252(A-C)位在氣體散佈系統200的出口246處時,將各加熱元件加以定位,俾能使從氣體散佈板241的一個或更多之開口244放出的成膜成分及/或任意的引發劑之流體流過或流到至少一加熱元件之上。
此外,使複數之開口244以各種密集度的形式分佈在氣體散佈板241之上。例如,使較多的開口形成在靠近氣體散佈板241的中央處且使較少的開口形成在靠近氣體散佈板241的外圍處。或者,例如,使較多的開口形成在靠近氣體散佈板241的外圍處且使較少的開口形成在靠近氣體散佈板241的中央處。此外,又,開口的尺寸係隨著其在氣體散佈板241之上的位置而變化。例如,使較大的開口形成在靠近氣體散佈板241的中央處且使較小的開口形成在靠近氣體散佈板241的外圍處。或者,例如,使較小的開口形成在靠近氣體散佈板241的外圍處且使較大的開口形成在靠近氣體散佈板241的中央處。
又參照圖2,氣體散佈系統200係包含耦合到外殼240之中間氣體散佈板260,故外殼240、中間的氣體散佈板260與氣體散佈板241的組合係形成與充氣部242分開且位在中間的氣體散佈板260與氣體散佈板241之間的中間充氣部245。中間氣體散佈板260係任意的。氣體散佈系統200係構成為接收從成膜成分傳送系統(未圖示)通入充氣部242之中的成膜成分且經由中間充氣部245而將成膜成分散佈到處理室。
中間氣體散佈板260係包含複數之開口262,其排列成散佈成膜成分且將其通入到中間充氣部245。可如上述般地形成、排列、分佈或決定複數之開口262的大小。中間氣體散佈板260之中的開口262可以與複數之加熱元件區252(A-C)對齊或不與其對齊。
氣體散佈系統200更包含氣體散佈歧管270,其耦合到外殼240,故外殼240與氣體散佈歧管270的組合係形成與充氣部242分開且位在中間氣體散佈板260與氣體散佈歧管270之間的第二中間充氣部243。氣體散佈系統200係構成為接收從成膜成分傳送系統(未圖示)通入到充氣部242之中的成膜成分且經由第二中間充氣部243與中間充氣部245而將成膜成分散佈到處理室。氣體散佈歧管270係包含一個或更多之導管272,其構成為藉由環形溝槽274而將成膜成分散佈且通入到第二中間的充氣部243。氣體散佈歧管270為任意的。
以下參照圖3A,顯示出根據另一實施例之沉積系統1001的剖面示意圖。沉積系統1001係包含基板支座1020,其構成為支撐在其上形成薄膜之基板1025。又,基板支座係構成為將基板的溫度控制在適合成膜反應的溫度。又,沉積系統1001係包含成膜成分傳送系統1030,其構成為經由氣體散佈系統1040而將成膜成分通入到基板1025。又,此外,沉積系統1001係包含氣體加熱裝置1045,其耦合到氣體散佈系統1040或安裝氣體散佈系統1040的下游,且構成為化學性地調整成膜成分。
氣體加熱裝置1045係包含具有複數之加熱元件區1055(A、B、C)的加熱元件陣列1055,其構成為接收來自成膜成分傳送系統1030與氣體散佈系統1040而依序流到複數之加熱元件區1055(A、B、C)或流過其中之成膜成分的流體,俾能在加熱時,引起成膜成分之一個或更多之組成的熱解。複數之加熱元件區1055(A、B、C)的每一個係包含一個或更多之加熱元件,且配置成彼此呈電性獨立,其中將複數之加熱元件區的每一個加以排列且使其與流體之至少一部分有交互作用,並影響流到基板1025之不同的區域之成膜成分的熱解與傳送。
一個或更多之電源1050係耦合到氣體加熱裝置1045,且構成為提供電信號給加熱元件陣列1055的複數之加熱元件區1055(A、B、C)的每一個。例如,加熱元件陣列1055的複數之加熱元件區1055(A、B、C)的每一個係包含一個或更多之電阻式加熱元件。當電流流過一個或更多之電阻式加熱元件且使其加熱時,這些加熱元件與成膜成分之間的交互作用係造成成膜成分的一個或更多之組成的熱解。如圖3A所示,複數之加熱元件區1055(A、B、C)的每一個所具有的一個或更多之加熱元件係排列在一平面上,即呈平面排列。或者,複數之加熱元件區1055(A、B、C)的每一個所具有的一個或更多之加熱元件並非排列在一平面上,即呈非平面排列。
如圖3A所示,加熱元件陣列1055之中的複數之加熱元件區1055(A、B、C)係排列在實質平行於基板1025且與基板1025隔開達距離1035的平面1034之中。其中,成膜成分的流體係經由氣體散佈系統1040而進入沉積系統1001、流過加熱元件陣列1055而通入到處理空間1033、且向下流過處理空間1033而從實質正交於基板1025的方向到達基板1025,即呈停滯流體型態。成膜成分之流體的至少一部分係流過複數之加熱元件區1055(A、B、C)的每一個。將氣體散佈系統1040加以分區,俾能控制流到複數之加熱元件區1055(A、B、C)之每一個的成膜成分的流量。
複數之加熱元件區1055(A、B、C)係分別對應於基板1025之不同的處理區域1033(A-C)。例如,加熱元件區1055A係對應於位在基板1025之實質的中央區域之處理區域1033A。此外,例如,加熱元件區1055B與1055C係分別對應於處理區域1033B與1033C,其位在基板1025之實質的邊緣或外圍區域。因此,藉由獨立地控制複數之加熱元件區1055(A-C)的每一個及/或控制流向複數之加熱元件區1055(A-C)的每一個之成膜成分的流量將可控制處理區域1033(A-C)的每一個之中的處理參數。
對應於複數之加熱元件區1055(A-C),基板支座1020係包含用以控制基板1025之溫度的複數之溫度控制區。溫度控制區係與處理區域1033(A-C)及/或複數之加熱元件區1055(A-C)對齊。
例如,基板支座1020係包含耦合到溫度控制系統1022且對應於基板1025的複數之溫度控制區的一個或更多之溫度控制元件1022(A-C)。溫度控制系統1022係包括基板加熱系統、或基板冷卻系統、或兩者。例如,溫度控制元件1022(A-C)係包括嵌在基板支座1020之中的基板加熱元件及/或基板冷卻元件。溫度控制元件1022(A-C)係對應於基板1025的複數之溫度控制區與處理區域1033(A-C)。基板支座1020之各區域的溫度係藉由加熱或冷卻基板支座1025之中的各區域而加以控制。
此外,例如,基板支座1020係包含基板夾持系統1023A(例如,電子式或機械式夾持系統),俾能將基板1025夾持在基板支座1020的上表面。例如,基板支座1020係包括靜電式夾具(ESC)。利用ESC控制系統1023而操作且控制基板夾持系統1023A。
又,例如,基板支座1020係藉由背面供氣系統1024而促進熱傳導氣體傳送到基板1025的背面,俾提高基板1025與基板支座1020之間的氣體-間隙之熱傳導率。當需要升高或降低基板的溫度而對其進行溫度控制時,即可利用此種系統。如圖3A所示,背面供氣系統1024係包含一個或更多之熱傳導氣體供應區1024(A-C),俾可控制地調整複數之溫度控制區處的熱傳導而控制基板1025的溫度。熱傳導氣體供應區1024(A-C)係對應於複數之加熱元件區1055(A-C)與處理區域1033(A-C)。可藉由分別地改變熱傳導氣體供應區1024(A-C)的每一個處的背面(例如,氦He)壓力而控制基板1025之各區域的溫度。
又,參照圖3A,控制器1080係耦合到成膜成分傳送系統1030、一個或更多之電源1050、溫度控制系統1022、ESC控制系統1023、及/或背面供氣系統1024,俾能對基板1025之不同的區域處的處理參數進行監測、調整、或控制的至少一個。例如,利用前述的一個或更多之元件對基板1025之上的薄膜沉積的均勻性加以控制。
以下參照圖3B,顯示出根據另一實施例之沉積系統2001的剖面示意圖。沉積系統2001係包含氣體加熱裝置2045,其耦合到氣體散佈系統1040或安裝在其下游,且構成為化學性地調整成膜成分。氣體加熱裝置2045係包含具有複數之加熱元件區2055(A、B、C)的加熱元件陣列2055。
加熱元件陣列2055的複數之加熱元件區2055(A、B、C)的每一個係包含一個或更多之電阻式加熱元件。當電流流過一個或更多之電阻式加熱元件且使其加熱時,這些加熱元件與成膜成分之間的交互作用係造成成膜成分的一個或更多之組成的熱解。如圖3B所示,複數之加熱元件區2055(A、B、C)的每一個所具有的一個或更多之加熱元件係排列在一平面上,即呈平面排列。或者,複數之加熱元件區2055(A、B、C)的每一個所具有的一個或更多之加熱元件並非排列在一平面上,即呈非平面排列。
如圖3B所示,加熱元件陣列2055之中的複數之加熱元件區2055(A、B、C)的至少一個係排列在第一平面2034A之中,同時,加熱元件陣列2055之中的複數之加熱元件區2055(A、B、C)至少另一個係排列在第二平面2034B之中。第一與第二平面2034A、2034B係實質平行於基板1025且與基板1025分別隔開達距離2035A、2035B。然而,並不需要將第一平面2034A及/或第二平面2034B定位成平行於基板1025。其中,成膜成分的流體係經由氣體散佈系統1040而進入沉積系統2001、流過加熱元件陣列2055而通入到處理空間1033、且向下流過處理空間1033而從實質正交於基板1025的方向到達基板1025,即呈停滯流體型態。
複數之加熱元件區2055(A、B、C)係分別對應於基板1025之不同的處理區域1033(A-C)。例如,加熱元件區2055A係對應於位在基板1025之實質中央區域處的處理區域1033A。此外,例如,加熱元件區2055B與2055C係分別對應於處理區域1033B與1033C,其位在基板1025之實質的邊緣或外圍區域處。藉由改變第一平面2034A與第二平面2034B的位置,將可分別改變複數之加熱元件區2055(A-C)的每一個處的反應區與基板1025之間的距離2035A與2035B,俾能對處理區域1033(A-C)的每一個處的處理參數進行額外的控制。
以下參照圖3C,顯示出根據另一實施例之沉積系統3001的剖面示意圖。沉積系統3001係包含氣體加熱裝置3045,其耦合到氣體散佈系統1040或安裝其下游,且構成為化學性地調整成膜成分。氣體加熱裝置3045係包含具有複數之加熱元件區3055(A、B、C)的加熱元件陣列3055。
如圖3C所示,藉由定位系統3060而調整加熱元件陣列3055之中的複數之加熱元件區3055(A、B、C)的至少一個之位置。例如,加熱元件陣列3055之中的複數之加熱元件區3055(A、B、C)的至少一個係排列在第一平面3034A之中,同時,加熱元件陣列3055之中的複數之加熱元件區3055(A、B、C)的至少另一個係排列在第二平面3034B之中。第一與第二平面3034A、3034B係實質平行於基板1025且與基板1025分別隔開達距離3035A、3035B。然而,並不需要將第一平面3034A及/或第二平面3034B定位成平行於基板1025。其中,成膜成分的流體係經由氣體散佈系統1040而進入沉積系統3001、流過加熱元件陣列3055而通入到處理空間1033、且向下流過處理空間1033而從實質正交於基板1025的方向到達基板1025,即呈停滯流體型態。
複數之加熱元件區3055(A、B、C)係分別對應於基板1025之不同的處理區域1033(A-C)。例如,加熱元件區3055A係對應於位在基板1025之實質的中央區域處的處理區域1033A。此外,例如,加熱元件區3055B與3055C係分別對應於處理區域1033B與1033C,其位在基板1025之實質的邊緣或外圍區域處。藉由改變第一平面3034A與第二平面3034B的位置,將可分別改變複數之加熱元件區3055(A-C)的每一個處的反應區與基板1025之間的距離3035A與3035B,俾能對處理區域1033(A-C)的每一個處的處理參數進行額外的控制。
例如,藉由來自控制器1080的輸入,定位系統3060係調整複數之加熱元件區3055(A-C)的任一個或更多個在處理空間1033之中的位置。經由調整平移及/或旋轉的自由度而可調整複數之加熱元件區3055(A-C)的每一個之位置。例如,調整複數之加熱元件區3055(A-C)的每一個相對於基板1025的位置。
以下參照圖3D,顯示出根據另一實施例之沉積系統4001的剖面示意圖。沉積系統4001係包含耦合到氣體散佈系統1040或安裝在其下游之氣體加熱裝置4045,且構成為化學性地調整成膜成分。氣體加熱裝置4045係包含具有複數之加熱元件區4055(A、B、C)的加熱元件陣列4055。
加熱元件陣列4055的複數之加熱元件區4055(A、B、C)的每一個係包含一個或更多之電阻式加熱元件。當電流流過一個或更多之電阻式加熱元件且使其加熱時,這些加熱元件與成膜成分之間的交互作用係造成成膜成分的一個或更多之組成的熱解。如圖3D所示,複數之加熱元件區4055(A、B、C)的每一個所具有的一個或更多之加熱元件係排列在一平面上,即呈平面排列。或者,複數之加熱元件區4055(A、B、C)的每一個所具有的一個或更多之加熱元件並非排列在一平面上,即呈非平面排列。
如圖3D所示,加熱元件陣列4055之中的複數之加熱元件區4055(A、B、C)的至少一個相對於基板1035的方位係被定位成與加熱元件陣列4055之中的複數之加熱元件區4055(A、B、C)的另一個不同。例如,加熱元件區4055C與4055B相對於加熱元件4055A與基板1025呈傾斜。吾人亦可預期其它的架構、方位、及/或排列。
此外,如圖3D所示,加熱元件陣列4055之中的複數之加熱元件區4055(A、B、C)的至少一個係排列在第一平面4034A之中,同時,加熱元件陣列4055之中的複數之加熱元件區4055(A、B、C)的至少另一個係排列在第二平面4034B之中。第一與第二平面4034A、4034B係實質平行於基板1025且與基板1025分別隔開達距離4035A、4035B。然而,並不需要將第一平面4034A及/或第二平面4034B定位成平行於基板1025。其中,成膜成分的流體係經由氣體散佈系統1040而進入沉積系統4001、流過加熱元件陣列4055而通入到處理空間1033、且向下流過處理空間1033而從實質正交於基板1025的方向到達基板1025,即呈停滯流體型態。
複數之加熱元件區4055(A、B、C)係分別對應於基板1025之不同的處理區域1033(A-C)。例如,加熱元件區4055A係對應於位在基板1025之實質的中央區域處的處理區域1033A。此外,例如,加熱元件區4055B與4055C係分別對應於處理區域1033B與1033C,其位在基板1025之實質的邊緣或外圍區域處。藉由改變第一平面4034A與第二平面4034B的位置及/或加熱元件區4055(A、B、C)的方位,將可分別改變複數之加熱元件區4055(A-C)的每一個處的反應區與基板1025之間的距離4035A與4035B,俾能對處理區域1033(A-C)的每一個處的處理參數進行額外的控制。
以下參照圖3E,顯示出根據另一實施例之沉積系統5001的剖面示意圖。沉積系統5001係包含耦合到氣體散佈系統1040或安裝在其下游的氣體加熱裝置5045,且構成為化學性地調整成膜成分。氣體加熱裝置5045係包含具有複數之加熱元件區5055(A、B、C)的加熱元件陣列5055。
加熱元件陣列5055的複數之加熱元件區5055(A、B、C)的每一個係包含一個或更多之電阻式加熱元件。當電流流過一個或更多之電阻式加熱元件且使其加熱時,這些加熱元件與成膜成分之間的交互作用係造成成膜成分的一個或更多之組成的熱解。如圖3E所示,複數之加熱元件區5055(A、B、C)的每一個所具有的一個或更多之加熱元件並非排列在一平面上,即呈非平面排列。或者,複數之加熱元件區5055(A、B、C)的每一個所具有的一個或更多之加熱元件係排列在一平面上,即呈平面排列。
如圖3E所示,加熱元件陣列5055之中的複數之加熱元件區5055(A、B、C)的至少一個相對於基板1035的方位係被定位成與加熱元件陣列5055之中的複數之加熱元件區5055(A、B、C)的另一個不同。例如,加熱元件區5055C與5055B相對於加熱元件5055A與基板1025呈傾斜。吾人亦可預期其它的架構、方位、及/或排列。
此外,如圖3E所示,加熱元件陣列5055之中的複數之加熱元件區5055(A、B、C)的至少一個可以具有與加熱元件陣列5055之中的複數之加熱元件區5055(A、B、C)的另一個不同的形態。例如,加熱元件區5055C與5055B係包括一個或更多之電阻式加熱元件,其相對於加熱元件5055A與基板1025,係排列在非平面之三維的表面,即非平面的排列。吾人亦可預期其它的架構、方位、及/或排列。
又,如圖3E所示,加熱元件陣列5055之中的複數之加熱元件區5055(A、B、C)的至少一個係排列在第一平面5034A之中,同時,加熱元件陣列5055之中的複數之加熱元件區5055(A、B、C)的至少另一個係排列在第二平面5034B之中。第一與第二平面5034A、5034B係實質平行於基板1025且與基板1025分別隔開達距離5035A、5035B。然而,並不需要將第一平面5034A及/或第二平面5034B定位成如圖所示地平行於基板1025。其中,成膜成分的流體係經由氣體散佈系統1040而進入沉積系統5001、流過加熱元件陣列5055而通入到處理空間1033、且向下流過處理空間1033而從實質正交於基板1025的方向到達基板1025,即呈停滯流體型態。
複數之加熱元件區5055(A、B、C)係分別對應於基板1025之不同的處理區域1033(A-C)。例如,加熱元件區5055A係對應於位在基板1025之實質的中央區域處的處理區域1033A。此外,例如,加熱元件區5055B與5055C係分別對應於處理區域1033B與1033C,其位在基板1025之實質的邊緣或外圍區域處。藉由改變第一平面5034A與第二平面5034B的位置及/或加熱元件區5055(A、B、C)的方位,將可分別改變複數之加熱元件區5055(A-C)的每一個處的反應區與基板1025之間的距離5035A與5035B,俾能對處理區域1033(A-C)的每一個處的處理參數進行額外的控制。
雖然上述提到了各種實施例,但吾人亦可預期其它的形狀、架構、方位、及/或排列。加熱元件區的位置/間隔、方位、及/或形狀係隨著處理中之基板的種類而異。例如,基板係包括圓形基板或半導體晶圓。或者,也可使用其它的基板與構造。例如,矩形基板,例如將大尺寸玻璃基板或液晶顯示面板(LCD)水平或直立地配置在處理空間之中而加以處理。又,在另一個配置中,藉由習知之滾筒至滾筒的運作而處理撓性基板,其中基板支座係構成為滾筒。
以下參照圖4,顯示出根據一實施例之氣體加熱裝置300的上視圖。氣體加熱裝置300係構成為對成膜成分一個或更多之組成進行加熱。氣體加熱裝置300係包含具有複數之加熱元件區340(A-C)的加熱元件陣列340,而其每一個係彼此呈電性獨立。複數之加熱元件區的每一個係包含一對或更多對之加熱源320,其中各熱源320係包含電阻式加熱元件330,其構成為接收來自一個或更多之電源的電流。此外,氣體加熱裝置300係包含安裝構造310,其構成為支撐一個或更多之電阻式加熱元件330。又,一對或更多對之加熱源320係安裝於安裝構造310與輔助安裝構造312之間(如圖5B所示)。安裝構造310係包括用以安裝所有的加熱元件區340(A-C)之單一結構。或者,安裝構造310係包括分別設置用以安裝各加熱元件區340(A-C)的複合結構。
如圖4所示,氣體加熱裝置300係包含耦合到安裝構造310的一個或更多之靜態安裝裝置326,且構成為使一個或更多之電阻式加熱元件330固定地耦合到安裝構造310,且氣體加熱裝置300係包含耦合到安裝構造310的一個或更多之動態安裝裝置324且構成為自動地補償一個或更多之電阻式加熱元件330之每一個的長度之變化。又,再者,一個或更多之動態安裝裝置324係實質減小一個或更多之電阻式加熱元件330與一個或更多之動態安裝裝置324之間的滑移量。
如圖4所示,利用電內連線342而使一個或更多之電阻式加熱元件330呈串聯地電耦合,其中,例如,藉由第一端341(A-C)與電源的連接及第二端344(A-C)與電源之電接地端的連接,俾能將電流供應到這些串聯的一個或更多之電阻式加熱元件330。或者,使一個或更多之電阻式加熱元件330呈並聯的電耦合。
以下參照圖5A與圖5B,分別顯示出根據一實施例之熱源320的上視圖與側視圖。電阻式加熱元件330係包含第一端334,固定地耦合到一個或更多之靜態安裝裝置326的其中一個、第二端336,固定地耦合到一個或更多之靜態安裝裝置326的其中一個、彎部333,耦合到一個或更多之動態安裝裝置324的其中一個且位在第一端334與第二端336之間、第一直線部332,延伸於第一端334與彎部333之間、及第二直線部331,延伸於第二端336與彎部333之間。第一端334與第二端336係固定地耦合到相同的靜態安裝裝置或不同的靜態安裝裝置。
如圖5A及圖5B所示,第一直線部332與第二直線部331係具有實質相同的長度。當第一直線部332與第二直線部331呈實質相同的長度時,第一直線部332與第二直線部331因溫度變動而產生之各別的長度變化係實質相同。或者,第一直線部332與第二直線部331為不同的長度。
又,如圖5A及圖5B所示,彎部333係具有180度的彎部。或者,彎部333係具有大於0度至小於360度之間的範圍之彎部。
靜態安裝裝置326係固定地耦合到安裝構造310。為了補償第一直線部332之長度的變化與第二直線部331之長度的變化,故動態安裝裝置324係構成為在平行於第一直線部332及第二直線部331的直線方向325上受調整。在本實施例中,動態安裝裝置324係減小電阻式加熱元件330的鬆弛或自重彎曲,且其可實質減小或使電阻式加熱元件330與動態安裝裝置324之間所產生的滑移量最小(此滑移量將引起微粒的產生及/或污染)。又,動態安裝裝置324係包含熱斷路器327,其構成為減少動態安裝裝置324與安裝構造310之間所發生的熱傳導。
以下參照圖6A、圖6B、圖6C、及圖6D,其分別顯示根據一實施例之動態安裝裝置324的上視圖、側視圖、橫剖面圖、及透視圖。動態安裝裝置324係包含靜態結構350,其固定地耦合到安裝構造310。靜態結構350係包括導軌特徵352及螺旋彈簧370,而螺旋彈簧370係構成為被靜態結構350的導軌特徵352所收納。動態安裝裝置324又包含動態結構360,其動態地耦合到安裝構造310。動態結構360係包含擷取構件362,其構成為擋住一個或更多之電阻式加熱元件330的至少一個、可滑動的構件363,其構成為可滑動地與靜態結構350配合且當其承載著一個或更多之電阻式加熱元件330的至少一個時,將壓緊螺旋彈簧370而使其靠著靜態結構350、及熱斷路器327,設置在擷取構件362與可滑動的構件363之間。螺旋彈簧370的回復力係保持住受到張應力之電阻式加熱元件330及/或減小電阻式加熱元件330的鬆弛或自重彎曲。
如圖6A所示,可滑動的構件363係包含承載構件364,其具有構成為接觸著螺旋彈簧370且接觸著延伸自承載構件364的一對導軌構件366之承載表面364’,其中該對導軌構件366的每一個係延伸於靜態結構350的相對側且具有與靜態結構350之相對面平行的導軌表面。又,安裝構造310係包含溝槽314,構成為接收動態結構360的底座且引導其動作。
如圖6B所示,擷取構件362係包含成形的表面362’,構成為接觸著電阻式加熱元件330的彎部(未圖示)。此外,擷取構件362係包含唇部362”,構成為防止電阻式加熱元件330滑落擷取構件362。
再參照圖5A及圖5B,靜態安裝裝置326、動態安裝裝置324、或靜態安裝裝置326與動態安裝裝置324的兩者係由非導電性或絕緣材料所製成。此外,靜態安裝裝置326、動態安裝裝置324、或靜態安裝裝置326與動態安裝裝置324的兩者係由絕熱材料所製成。又,靜態安裝裝置326、動態安裝裝置324、或靜態安裝裝置326與動態安裝裝置324的兩者係由陶瓷或塑膠所製成。又,再者,例如,靜態安裝裝置326、動態安裝裝置324、或靜態安裝裝置326與動態安裝裝置324的兩者係由石英、氮化矽、碳化矽、藍寶石、氧化鋁、氮化鋁、鐵弗龍、聚亞醯胺等等所製成。
靜態結構350、動態結構360、或靜態結構350與動態結構360的兩者係由非導電性或絕緣材料所製成。此外,靜態結構350、動態結構360、或靜態結構350與動態結構360的兩者係由絕熱材料所製成。又,靜態結構350、動態結構360、或靜態結構350與動態結構360的兩者係由陶瓷或塑膠所製成。又,再者,例如,靜態結構350、動態結構360、或靜態結構350與動態結構360的兩者係由石英、氮化矽、碳化矽、藍寶石、氧化鋁、氮化鋁、鐵弗龍、聚亞醯胺等等所製成。
如圖6A、圖6B、及圖6C所示,顯示出熱斷路器327的橫剖面圖。熱斷路器327係包含一個或更多之臂部329,其延伸於可滑動的構件363與擷取構件362之間。例如,一個或更多之臂部329係包括兩個縮小之橫剖面積的臂部,其從可滑動的構件363之該對導軌構件366延伸到擷取構件362。就熱斷路器327之橫剖面的尺寸329a(寬度)與329b(高度)、及長度329c加以選擇,俾能減小或使擷取構件362與可滑動的構件363之間的熱傳係數(h)最小,其中h=kA/I(其中k代表熱斷路器的熱傳導性、A代表熱斷路器的橫剖面面積、且I代表熱斷路器的長度)。此外,就橫剖面的尺寸329a(寬度)與329b(高度)加以選擇,俾能維持熱斷路器327的機械完整性。熱斷路器327的橫剖面形狀,例如,一個或更多之臂部329的每一個係呈方形、矩形、三角形、圓形、或任意形狀。
根據一實施例,熱斷路器327之寬度(329a)係在約0.5mm(毫米)到約10mm的範圍內。根據另一實施例,熱斷路器327之寬度(329a)係在約1mm(毫米)到約5mm的範圍內。根據另一實施例,熱斷路器327之寬度(329a)係在約2mm(毫米)到約5mm的範圍內。根據另一實施例,熱斷路器327之寬度(329a)係在約3mm(毫米)到約4mm的範圍內。
根據一實施例,熱斷路器327之高度(329b)係在約0.5mm(毫米)到約10mm的範圍內。根據另一實施例,熱斷路器327之高度(329b)係在約1mm(毫米)到約5mm的範圍內。根據另一實施例,熱斷路器327之高度(329b)係在約2mm(毫米)到約5mm的範圍內。根據另一實施例,熱斷路器327之高度(329b)係在約3mm(毫米)到約4mm的範圍內。
根據一實施例,熱斷路器327之長度(329c)係在約0.1cm(厘米)到約5cm的範圍內。根據另一實施例,熱斷路器327之長度(329c)係在約0.5cm到約2cm的範圍內。根據另一實施例,熱斷路器327之長度(329c)係在約0.5cm到約1.5cm的範圍內。根據另一實施例,熱斷路器327之長度(329c)係在約0.5cm到約1cm的範圍內。
根據一實施例,熱斷路器所具有之尺寸係足以使熱斷路器327的熱傳係數為約0.1W/m-K(每公尺-絕對溫度瓦特)或更小。根據另一實施例,熱斷路器327所具有之尺寸係足以使熱斷路器327的熱傳係數為約0.05W/m-K或更小。根據另一實施例,熱斷路器327所具有之尺寸係足以使熱斷路器327的熱傳係數為約0.04W/m-K或更小。根據另一實施例,熱斷路器327所具有之尺寸係足以使熱斷路器327的熱傳係數為約0.03W/m-K或更小。根據另一實施例,熱斷路器327所具有之尺寸係足以使熱斷路器327的熱傳係數為約0.02W/m-K或更小。根據另一實施例,熱斷路器327所具有之尺寸係足以使熱斷路器327的熱傳係數為約0.01W/m-K或更小。
以下參照圖7,顯示出根據另一實施例之熱源420的上視圖。熱源420係包含電阻式加熱元件430,其沿著分別迂迴穿過耦合到安裝構造410且構成為在方向(425、425’、及425”)上移動的複數之動態結構(424、424’、及424”)的蛇行狀路徑。例如,蛇行狀路徑係實質包含藉由彎部433而互相連接之直線部。蛇行狀路徑的一端係連接到電源,同時,蛇行狀路徑的另一端係連接到電源的電接地端。在本實施例中,複數之動態安裝裝置(424、424’、及424”)係減小電阻式加熱元件430所產生之鬆弛或自重彎曲,且其將實質減小或使電阻式加熱元件430與動態安裝裝置424之間的滑移量最小(此種滑移量將引起微粒的產生及/或污染)。
雖然上述之氣體加熱裝置係用於沉積系統之中,但氣體加熱裝置係可用於任何需要對處理成分,例如成膜成分,進行氣體加熱的系統。半導體製造過程與積體電路(IC)製造過程中所使用之其它的系統係包括蝕刻系統、熱處理系統等等
圖8顯示根據另一實施例之沉積薄膜於基板之上的沉積方法。沉積方法700係包括:在步驟710時,將包含複數之加熱元件區的氣體加熱裝置耦合到沉積系統的處理室,其中氣體加熱裝置之各加熱元件區係包含一個或更多之電阻式加熱元件及安裝構造,而安裝構造係構成為支撐一個或更多之電阻式元件。
在步驟720時,使複數之加熱元件區的每一個之中的一個或更多之電阻式加熱元件的溫度升高。例如,藉由使電流流過一個或更多之電阻式加熱元件而使溫度升高。
在步驟730時,藉由耦合到安裝構造的一個或更多之動態安裝裝置自動地補償複數之加熱元件區的每一個之中的一個或更多之電阻式加熱元件之長度的變化。例如,在進行元件之長度變化的補償時,將同時實質減少一個或更多之電阻式加熱元件與動態安裝裝置之間的滑移量。又,一個或更多之動態安裝裝置的每一個係包括熱斷路器,用以減少一個或更多之電阻式加熱元件與安裝構造之間的熱傳導。
在步驟740時,將基板設置在沉積系統的處理室之中。例如,沉積系統係包括上述圖1所示之沉積系統。基板為,例如,矽(Si)基板。Si基板係包括N型或P型材料,其取決於形成中之裝置的種類。基板是任一尺寸或形狀,例如200mm的基板、300mm的基板、或甚至更大的基板。根據本發明之一實施例,基板是具有一個或更多之介層孔或溝道、或其組合之圖案化的基板。
在步驟750時,將成膜成分供給氣體散佈系統,而氣體散佈系統係構成為將成膜成分通入到基板之上方的處理室空間。例如,使氣體散佈系統位在基板的上方且面對著基板的上表面。
在步驟760時,利用氣體加熱裝置使成膜成分的一個或更多之組成受到熱解。氣體加熱裝置是上述圖2至圖6所示之任一種系統、或其任一組合。
在步驟770時,使基板曝露於成膜成分之中,俾用以促進薄膜的形成。將基板的溫度設定成小於如一個或更多之電阻式薄膜加熱元件的一個或更多之加熱元件的溫度的值。例如,基板的溫度係約為室溫。
圖9顯示根據另一實施例之沉積薄膜於基板之上的沉積方法。沉積方法800係包括,在步驟810時,將包含複數之加熱元件區的氣體加熱裝置設置於沉積系統的處理室,其中氣體加熱裝置的各加熱元件區係包含一個或更多之電阻式加熱元件及安裝構造,而安裝構造係構成為支撐一個或更多之電阻式元件。
沉積方法可更包含使複數之加熱元件區的每一個與基板隔開,俾控制複數之加熱元件區的每一個處的反應區到基板的表面之間的擴散通路長度。例如,沉積方法係包含:使複數之加熱元件區的每一個與基板以不同的間隔隔開,俾控制複數之加熱元件區的每一個處的反應區到基板的表面之間的擴散通路長度。或者(或此外),本沉積方法更包含使複數之加熱元件區的每一個相對於基板呈不同的指向,俾控制複數之加熱元件區的每一個處的反應區到基板的表面之間的擴散通路長度。再者,又,本沉積方法係包括調整複數之加熱元件區的至少一個之位置及/或方位。
在步驟820時,複數之加熱元件區的每一個之溫度係受到分別的控制。例如,藉由可控制地調整耦合到複數之加熱元件區之各個的電信號而控制複數之加熱元件區之各個的溫度。電信號係包括功率、電壓、或電流、或其中兩種或更多種之任一組合。本沉積方法係包含一時地調節或脈衝調節供應到複數之加熱元件區的至少一個之功率。
在步驟830時,將基板設置在沉積系統的處理室之中。例如,沉積系統係包括上述圖1、圖3A、圖3B、圖3C、圖3D、圖3E所示之沉積系統。基板為,例如,矽(Si)基板。Si基板係包括N型或P型材料,其取決於形成中之裝置的種類。基板是任一尺寸或形狀,例如200mm的基板、300mm的基板、或甚至更大的基板。根據本發明之一實施例,基板是具有一個或更多之介層孔或溝道、或其組合之圖案化的基板。本沉積方法係包括調整與相對於基板的複數之加熱元件區有關的基板支座之位置。
基板支座係包含一個或更多之溫度控制區,用以控制基板的溫度。一個或更多之溫度控制區係對應於複數之加熱元件區的每一個。一個或更多之溫度控制區係包括嵌在基板支座之中的一個或更多之溫度控制元件,用以加熱及/或冷卻基板支座之不同的區域、及/或一個或更多之熱傳導氣體供應區,用以將熱傳導氣體供應到基板之背面處的不同之區域。
在步驟840時,一個或更多之溫度控制區處的基板的溫度係受到分別的控制。又,就一個或更多之溫度控制區的至少一個一時地調變基板的溫度。
在步驟850時,將成膜成分供給氣體散佈系統,而氣體散佈系統係構成為將成膜成分通入到基板之上方的處理室空間。例如,使氣體散佈系統位在基板的上方且面對著基板的上表面。本沉積方法更包含分別地控制流到複數之加熱元件區的每一個之成膜成分的流量。再者,本沉積方法係包含一時地調節或脈衝調節流到複數之加熱元件區的每一個之流量。
在步驟860時,利用氣體加熱裝置使成膜成分的一個或更多之組成受到熱解。氣體加熱裝置是上述圖1至圖7所示之任一種系統、或其任一組合。
在步驟870時,使基板曝露於成膜成分之中,俾用以促進薄膜的形成。將基板的溫度設定成小於如一個或更多之電阻式薄膜加熱元件的一個或更多之加熱元件的溫度的值。例如,基板的溫度係約為室溫。
雖然以上已藉由各種實施例對本發明進行詳細說明,但熟悉本技術之人士必須清楚理解:在不違反本發明之揭示及優點的情況下,吾人係可對本發明進行各種修改。故,所有之此種修改皆應視為本發明之範圍內。
1、1001、2001、3001、4001、5001...沉積系統
10...處理室
20、1020...基板支座
21...溫度控制元件
22、1022...溫度控制系統
23...基板夾持系統
24、1024...背面供氣系統
25、1025...基板
30、1030...成膜成分傳送系統
32、34...材料源
33、233、1033...處理空間
40、200、1040...氣體散佈系統
45、250、300、1045、2045、3045、4045、5045...氣體加熱裝置
50、254、1050...電源
55、340、1055、2055、3055、4055、5055...加熱元件陣列
55A、55B、55C、252A、252B、252C、340A、340B、340C、1055A、1055B、1055C、2055A、2055B、2055C、3055A、3055B、3055C、4055A、4055B、4055C、5055A、5055B、5055C...加熱元件區
60...抽真空系統
62、272...導管
80、1080...控制器
232...成膜成分
234...引發劑
240...外殼
241、260...氣體散佈板
242...充氣部
243、245...中間充氣部
244、262...開口
246...出口
270...氣體散佈歧管
274...環形溝槽
310、410...安裝構造
312...輔助安裝構造
314...溝槽
320、420...加熱源
324、424...動態安裝裝置
325...直線方向
326...靜態安裝裝置
327...熱斷路器
330、430...電阻式加熱元件
331、332...直線部
333、433...彎部
334‧‧‧第一端
336‧‧‧第二端
341A、341B、341C‧‧‧第一端
342‧‧‧電內連線
344A、344B、344C‧‧‧第二端
350‧‧‧靜態結構
352‧‧‧導軌特徵
360、424’、424”‧‧‧動態結構
362‧‧‧擷取構件
362’‧‧‧成形的表面
362”‧‧‧唇部
363‧‧‧可滑動的構件
364‧‧‧承載構件
364’‧‧‧承載表面
366‧‧‧導軌構件
370‧‧‧螺旋彈簧
329‧‧‧臂部
329a‧‧‧寬度
329b‧‧‧高度
329c‧‧‧長度
425、425’、425”‧‧‧方向
1023A‧‧‧基板夾持系統
1024A、1024 B、1024C‧‧‧熱傳導氣體供應區
1034、2034A、2034B、3034A、3034B、4034A、4034B、5034A、5034B‧‧‧平面
1035、2035A、2035B、3035A、3035B、4035A、4035B、5035A、5035B‧‧‧距離
1033A、1033B、1033C‧‧‧處理區域
3060‧‧‧定位系統
圖1顯示根據一實施例之沉積系統的示意圖。
圖2顯示根據一實施例之氣體散佈系統的示意圖。
圖3A顯示根據另一實施例之沉積系統的剖面示意圖。
圖3B顯示根據另一實施例之沉積系統的剖面示意圖。
圖3C顯示根據另一實施例之沉積系統的剖面示意圖。
圖3D顯示根據另一實施例之沉積系統的剖面示意圖。
圖3E顯示根據另一實施例之沉積系統的剖面示意圖。
圖4係提供根據一實施例之氣體加熱裝置的上視圖。
圖5A係提供根據一實施例之加熱元件的上視圖。
圖5B提供圖4A所示之加熱元件的側視圖。
圖6A係提供根據一實施例之動態安裝裝置的上視圖。
圖6B係提供圖6A所示之動態安裝裝置的側視圖。
圖6C係提供圖6A所示之動態安裝裝置的橫剖面圖。
圖6D係提供圖6A所示之動態安裝裝置的透視圖。
圖7係提供根據另一實施例之加熱元件的上視圖。
圖8圖示根據一實施例之基板之上的薄膜沉積方法。
圖9圖示根據另一實施例之基板之上的薄膜沉積方法。
1...沉積系統
10...處理室
20...基板支座
21...溫度控制元件
22...溫度控制系統
23...基板夾持系統
24...背面供氣系統
25...基板
30...成膜成分傳送系統
32、34...材料源
33...處理空間
40...氣體散佈系統
45...氣體加熱裝置
50...電源
55...加熱元件陣列
55A、55B、55C...加熱元件區
60...抽真空系統
62...導管
80...控制器

Claims (35)

  1. 一種氣體加熱裝置,用於一沉積系統中,包含:一加熱元件陣列,具有複數之加熱元件區,且為了引起該成膜成分的一個或更多之組成在加熱時的熱解,故構成為接收流到該複數之加熱元件區的各處或流過其中之一成膜成分的流體,該複數之加熱元件區的每一個係包含一個或更多之電阻式加熱元件,其中該複數之加熱元件區的每一個係配置成與任一個彼此呈電性獨立,其中該複數之加熱元件區的每一個係排列成與至少一部分之該流體有交互作用,且影響該成膜成分的熱解及影響該成膜成分流到一基板之不同的區域之傳送,且其中與該複數之加熱元件區的至少一個之中的該基板有關的一間隔、或與該基板有關的一方位、或與該基板有關的一間隔及一方位係不同於該複數之加熱元件區的其它之基板;及一個或更多之電源,耦合到該加熱元件陣列,且構成為提供一電信號給該複數之加熱元件區的每一個。
  2. 如申請專利範圍第1項之氣體加熱裝置,更包含:一控制器,耦合到該一個或更多之電源,且構成為控制提供給該複數之加熱元件區的每一個之該電信號,其中該控制器係構成為控制一個或更多之電參數,包括電流、電壓、或功率、或其中兩種或更多種之任一組合。
  3. 如申請專利範圍第1項之氣體加熱裝置,更包含:一氣體散佈系統,構成為散佈該成膜成分且使其流到該加熱元件陣列的各處或流過其中。
  4. 如申請專利範圍第3項之氣體加熱裝置,其中該氣體散佈系統係包含一充氣部,構成為接收該成膜成分,及一個或更多之開口,與該複數之加熱元件區的每一個之中的該一個或更多之電阻式加熱元件對齊且構成為使該成膜成分的流體散佈到該一個或更多之 電阻式加熱元件之上。
  5. 如申請專利範圍第3項之氣體加熱裝置,其中該氣體散佈系統係構成為控制流到該複數之加熱元件區的每一個之該成膜成分之流體的一流量。
  6. 如申請專利範圍第3項之氣體加熱裝置,其中該控制器係構成為調變或脈衝調制提供給該複數之加熱元件區的至少一個之該電信號。
  7. 如申請專利範圍第1項之氣體加熱裝置,其中該一個或更多之電阻式加熱元件的至少一個係包含一含金屬的帶部或一含金屬的線部,且其中該一個或更多之電源包含一直流(DC)電源、或一交流(AC)電源、或其組合。
  8. 如申請專利範圍第1項之氣體加熱裝置,其中該複數之加熱元件區的至少一個之中的該一個或更多之電阻式加熱元件係裝配呈一平面的排列或一非平面的排列。
  9. 如申請專利範圍第1項之氣體加熱裝置,其中該複數之加熱元件區的至少一個之中的該一個或更多之電阻式加熱元件被裝配呈一平面的排列,及其中該複數之加熱元件區的至少一個之該平面的排列相對於該基板或該複數之加熱元件區的另一個或兩者係呈傾斜。
  10. 如申請專利範圍第1項之氣體加熱裝置,其中該複數之加熱元件區的每一個係排列在與該基板之一表面平行且與其隔開的一平面之中。
  11. 如申請專利範圍第1項之氣體加熱裝置,其中該複數之加熱元 件區的至少一個係排列在一第一平面之中,而該第一平面係不同於該複數之加熱元件區的至少另一個排列在其中之一第二平面,俾能使其與該複數之加熱元件區的每一個處的反應區之間的距離隨著該基板之一表面各處而異。
  12. 如申請專利範圍第1項之氣體加熱裝置,更包含:一定位裝置,耦合到該加熱元件陣列之中的該複數之加熱元件區的至少一個,且構成為調整該複數之加熱元件區的至少一個相對於該基板之一表面的位置。
  13. 如申請專利範圍第1項之氣體加熱裝置,其中該複數之加熱元件區的每一個之中的該一個或更多之加熱元件係包含:一安裝構造,構成為支撐該一個或更多之電阻式加熱元件的至少一個,該安裝構造包含:一靜態安裝裝置,耦合到該安裝構造且構成為使該一個或更多之電阻式加熱元件的該至少一個固定地耦合到該安裝構造,及一動態安裝裝置,耦合到該安裝構造且構成為自動地補償該一個或更多之電阻式加熱元件的該至少一個之長度的變化,其中該動態安裝裝置係包含一熱斷路器,構成為減少該動態安裝裝置與該安裝構造之間的熱傳導,及其中該一個或更多之電阻式加熱元件的該至少一個係包含一第一端,固定地耦合到該靜態安裝裝置、一第二端,固定地耦合到該靜態安裝裝置、一彎部,耦合到該動態安裝裝置且位在該第一端與該第二端之間、一第一直線部,延伸於該第一端與該彎部之間、及一第二直線部,延伸於該第二端與該彎部之間。
  14. 一種薄膜沉積系統,用以沉積薄膜於基板之上,包含:一處理室,具有一抽氣系統,構成為將該處理室抽真空;一基板支座,耦合到該處理室且構成為支撐該基板,該基板 支座係包含一背面供氣系統,該背面供氣系統係構成為獨立地供應一熱傳導氣體到位在該基板之一背面的複數之熱傳導氣體供應區;一氣體散佈系統,耦合到該處理室且構成為將一成膜成分通入到該基板之一表面的附近之處理空間;一加熱元件陣列,具有複數之加熱元件區,且為了引起該成膜成分的一個或更多之組成在加熱時的熱解,故構成為接收流到該複數之加熱元件區的各處或流過其中之一成膜成分的流體,該複數之加熱元件區的每一個係包含一個或更多之電阻式加熱元件,其中該複數之加熱元件區的每一個係配置成與任一個彼此呈電性獨立,其中該複數之加熱元件區的每一個係排列成與至少一部分之該流體有交互作用,且影響該成膜成分的熱解及影響該成膜成分流到該基板之不同的區域之傳送,且其中位在該基板之該背面的該複數之熱傳導氣體供應區的每一個係唯一地對應於該加熱元件陣列之中的該複數之加熱元件區的每一個;及一個或更多之電源,耦合到該加熱元件陣列,且構成為提供一電信號給該複數之加熱元件區的每一個。
  15. 如申請專利範圍第14項之薄膜沉積系統,其中該基板支座係包含一個或更多之溫度控制元件,構成為控制該基板之一溫度。
  16. 如申請專利範圍第14項之薄膜沉積系統,更包含:一控制器,耦合到該一個或更多之電源、該基板支座、與該氣體散佈系統,且構成為控制該複數之加熱元件區的至少一個之溫度、或控制該成膜成分之流量、或控制其中兩種或更多種之任一組合。
  17. 如申請專利範圍第14項之薄膜沉積系統,其中該複數之加熱元件區的至少一個之中的該一個或更多之電阻式加熱元件係裝配呈一平面的排列或一非平面的排列。
  18. 如申請專利範圍第14項之薄膜沉積系統,其中該複數之加熱元件區的至少一個係:排列在與該基板之一表面平行且與其隔開的一平面之中;或排列在一第一平面之中,而該第一平面係不同於該複數之加熱元件區的至少另一個排列在其中之一第二平面,俾能使其與該複數之加熱元件區的每一個處的反應區之間的距離隨著該基板之一表面各處而異;或相對於該基板或該複數之加熱元件區的另一個或兩者呈傾斜。
  19. 如申請專利範圍第14項之薄膜沉積系統,更包含:一定位裝置,耦合到該加熱元件陣列之中的該複數之加熱元件區的至少一個,且構成為調整該複數之加熱元件區的至少一個相對於該基板之一表面的位置。
  20. 一種薄膜沉積方法,用於在一沉積系統中沉積薄膜於一基板之上,包含以下步驟:一設置步驟,在一沉積系統之中設置包含複數之加熱元件區的一氣體加熱裝置,而該複數之加熱元件區的每一個係具有一個或更多之電阻式加熱元件;一溫控步驟,獨立地控制該複數之加熱元件區的每一個之溫度;一基板的裝設步驟,在該沉積系統之中的一基板支座之上裝設一基板,其中該基板支座係包含一個或更多之溫度控制區;一隔開步驟,隔開該複數之加熱元件區的每一個與該基板,俾控制在該複數之加熱元件區的每一個處的一反應區到該基板的一表面之間的一擴散通路長度;一成膜成分的提供步驟,提供一成膜成分給耦合到該沉積系統的該氣體加熱裝置; 一熱解步驟,利用該氣體加熱裝置熱解該成膜成分的一個或更多之組成;及一成膜成分的通入步驟,通入該成膜成分到該沉積系統之中的該基板,俾沉積一薄膜於該基板之上。
  21. 如申請專利範圍第20項之薄膜沉積方法,更包含以下步驟:一獨立的溫控步驟,獨立地控制位在該一個或更多之溫度控制區之上的該基板之溫度。
  22. 如申請專利範圍第21項之薄膜沉積方法,其中該基板支座係包含複數之溫度控制區,而該複數之溫度控制區的每一個係唯一地對應於該複數之加熱元件區的每一個。
  23. 如申請專利範圍第21項之薄膜沉積方法,其中獨立地控制位在該一個或更多之溫度控制區之上的該基板之溫度的該獨立的溫控步驟係包含獨立地控制位在該一個或更多之溫度控制區處的該基板支座之溫度。
  24. 如申請專利範圍第21項之薄膜沉積方法,其中獨立地控制位在該一個或更多之溫度控制區之上的該基板之溫度的該獨立的溫控步驟係包含獨立地控制供應到位在該基板與該基板支座之間的該基板之背面的一熱傳導氣體之壓力。
  25. 如申請專利範圍第21項之薄膜沉積方法,更包含以下步驟:就該一個或更多之溫度控制區的至少一個一時地調節該基板之溫度。
  26. 如申請專利範圍第21項之薄膜沉積方法,其中獨立地控制位在該一個或更多之溫度控制區之上的該基板之溫度的該獨立的溫控步驟係包含獨立地控制耦合到該複數之加熱元件區的每一個之一 電信號。
  27. 如申請專利範圍第26項之薄膜沉積方法,其中該電信號係包含一功率、一電壓、或一電流、或其中兩種或更多種之任一組合。
  28. 如申請專利範圍第27項之薄膜沉積方法,更包含以下步驟:一時地調節或脈衝調節供給該複數之加熱元件區的至少一個之該功率。
  29. 如申請專利範圍第20項之薄膜沉積方法,更包含以下步驟:獨立地控制供給該複數之加熱元件區的每一個之該成膜成分的一流量。
  30. 如申請專利範圍第29項之薄膜沉積方法,更包含以下步驟:一時地調節或脈衝調節供給該複數之加熱元件區的至少一個之該流量。
  31. 如申請專利範圍第20項之薄膜沉積方法,更包含以下步驟:有差異地隔開該複數之加熱元件區的每一個與該基板,俾控制在該複數之加熱元件區的每一個處的該反應區到該基板的該表面之間的該擴散通路長度。
  32. 如申請專利範圍第20項之薄膜沉積方法,更包含以下步驟:有差異地定該複數之加熱元件區的每一個相對於該基板的方位,俾控制在該複數之加熱元件區的每一個處的該反應區到該基板的該表面之間的該擴散通路長度。
  33. 如申請專利範圍第20項之薄膜沉積方法,更包含以下步驟:調整該複數之加熱元件區的至少一個相對於該基板的一位置。
  34. 如申請專利範圍第20項之薄膜沉積方法,更包含以下步驟:調整該複數之加熱元件區的至少一個相對於該基板的一方位。
  35. 如申請專利範圍第20項之薄膜沉積方法,更包含以下步驟:調整該基板支座相對於與該基板有關的該複數之加熱元件區的一位置。
TW100116156A 2010-06-11 2011-05-09 化學氣相沉積之控制設備及方法 TWI502094B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US12/814,278 US8852347B2 (en) 2010-06-11 2010-06-11 Apparatus for chemical vapor deposition control
US12/814,301 US9139910B2 (en) 2010-06-11 2010-06-11 Method for chemical vapor deposition control

Publications (2)

Publication Number Publication Date
TW201215703A TW201215703A (en) 2012-04-16
TWI502094B true TWI502094B (zh) 2015-10-01

Family

ID=45098369

Family Applications (1)

Application Number Title Priority Date Filing Date
TW100116156A TWI502094B (zh) 2010-06-11 2011-05-09 化學氣相沉積之控制設備及方法

Country Status (5)

Country Link
EP (1) EP2580368B1 (zh)
JP (1) JP2013534970A (zh)
KR (1) KR101783077B1 (zh)
TW (1) TWI502094B (zh)
WO (1) WO2011156055A1 (zh)

Families Citing this family (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8466842B2 (en) * 2010-10-22 2013-06-18 Pittsburgh Glass Works, Llc Window antenna
US20140251214A1 (en) * 2013-03-06 2014-09-11 Applied Materials, Inc. Heated substrate support with flatness control
KR20160047672A (ko) * 2014-10-22 2016-05-03 삼성디스플레이 주식회사 디스플레이 장치용 건조 장치
KR102490641B1 (ko) * 2015-11-25 2023-01-20 삼성디스플레이 주식회사 증착 장치 및 증착 방법
US10115586B2 (en) * 2016-05-08 2018-10-30 Tokyo Electron Limited Method for depositing a planarization layer using polymerization chemical vapor deposition
US10794853B2 (en) * 2016-12-09 2020-10-06 Applied Materials, Inc. Methods for depositing polymer layer for sensor applications via hot wire chemical vapor deposition
JP6506785B2 (ja) * 2017-02-02 2019-04-24 株式会社Kokusai Electric リソグラフィ用テンプレートの製造方法、プログラム及び基板処理装置
US20180363139A1 (en) * 2017-06-20 2018-12-20 Asm Ip Holding B.V. Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus
JP6994446B2 (ja) * 2018-09-10 2022-01-14 株式会社神戸製鋼所 熱フィラメントcvd装置
US11476145B2 (en) 2018-11-20 2022-10-18 Applied Materials, Inc. Automatic ESC bias compensation when using pulsed DC bias
CN113169026B (zh) 2019-01-22 2024-04-26 应用材料公司 用于控制脉冲电压波形的反馈回路
US11551951B2 (en) * 2020-05-05 2023-01-10 Applied Materials, Inc. Methods and systems for temperature control for a substrate
US11848176B2 (en) 2020-07-31 2023-12-19 Applied Materials, Inc. Plasma processing using pulsed-voltage and radio-frequency power
CN114196944B (zh) * 2020-09-17 2024-05-14 中微半导体设备(上海)股份有限公司 化学气相沉积装置及基片温度控制方法
US11901157B2 (en) 2020-11-16 2024-02-13 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11798790B2 (en) 2020-11-16 2023-10-24 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11791138B2 (en) 2021-05-12 2023-10-17 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11948780B2 (en) 2021-05-12 2024-04-02 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11967483B2 (en) 2021-06-02 2024-04-23 Applied Materials, Inc. Plasma excitation with ion energy control
US11569066B2 (en) 2021-06-23 2023-01-31 Applied Materials, Inc. Pulsed voltage source for plasma processing applications
US11694876B2 (en) 2021-12-08 2023-07-04 Applied Materials, Inc. Apparatus and method for delivering a plurality of waveform signals during plasma processing
US11972924B2 (en) 2022-06-08 2024-04-30 Applied Materials, Inc. Pulsed voltage source for plasma processing applications

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5318809A (en) * 1991-11-04 1994-06-07 Sussman Ricardo S Apparatus for depositing a material on a substrate by chemical vapor deposition
US20040212947A1 (en) * 2003-04-22 2004-10-28 Applied Materials, Inc. Substrate support having heat transfer system
US20080241377A1 (en) * 2007-03-29 2008-10-02 Tokyo Electron Limited Vapor deposition system and method of operating
US20090223452A1 (en) * 2008-03-07 2009-09-10 Tokyo Electron Limited Gas heating device for a vapor deposition system

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0786267A (ja) * 1993-09-10 1995-03-31 Anelva Corp TiN−CVDにおけるガス導入法および装置
JP2001073148A (ja) * 1999-09-08 2001-03-21 Mitsubishi Heavy Ind Ltd Pcvd用基板表面加熱ヒータの連続使用方法
WO2001024581A1 (en) * 1999-09-29 2001-04-05 Tokyo Electron Limited Multi-zone resistance heater
US6740853B1 (en) * 1999-09-29 2004-05-25 Tokyo Electron Limited Multi-zone resistance heater
WO2002025712A1 (fr) * 2000-09-14 2002-03-28 Japan As Represented By President Of Japan Advanced Institute Of Science And Technology Dispositif de depot chimique en phase vapeur (cvd) a element chauffant
KR100700493B1 (ko) * 2005-05-24 2007-03-28 삼성에스디아이 주식회사 효율적인 필라멘트 배열 구조를 갖는 촉매 강화 화학 기상증착 장치
TW200809924A (en) * 2006-08-09 2008-02-16 Kinik Co Chemical vapor thin film deposition device
TW200811310A (en) * 2006-08-23 2008-03-01 Kinik Co Apparatus for chemical gas phase thin film sedimentation
KR101526615B1 (ko) * 2007-03-12 2015-06-05 도쿄엘렉트론가부시키가이샤 처리 균일성 제어 방법, 플라즈마 처리 장치 및 기판 국소 변형 방법
US20090226614A1 (en) * 2008-03-04 2009-09-10 Tokyo Electron Limited Porous gas heating device for a vapor deposition system
US20110070370A1 (en) * 2008-05-28 2011-03-24 Aixtron Ag Thermal gradient enhanced chemical vapour deposition (tge-cvd)
EP2294244B1 (en) * 2008-05-28 2016-10-05 Aixtron SE Thermal gradient enhanced chemical vapour deposition.
US8272347B2 (en) * 2009-09-14 2012-09-25 Tokyo Electron Limited High temperature gas heating device for a vapor deposition system

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5318809A (en) * 1991-11-04 1994-06-07 Sussman Ricardo S Apparatus for depositing a material on a substrate by chemical vapor deposition
US20040212947A1 (en) * 2003-04-22 2004-10-28 Applied Materials, Inc. Substrate support having heat transfer system
US20080241377A1 (en) * 2007-03-29 2008-10-02 Tokyo Electron Limited Vapor deposition system and method of operating
US20090223452A1 (en) * 2008-03-07 2009-09-10 Tokyo Electron Limited Gas heating device for a vapor deposition system

Also Published As

Publication number Publication date
KR20130115209A (ko) 2013-10-21
EP2580368A1 (en) 2013-04-17
WO2011156055A1 (en) 2011-12-15
TW201215703A (en) 2012-04-16
KR101783077B1 (ko) 2017-09-28
EP2580368B1 (en) 2015-11-18
JP2013534970A (ja) 2013-09-09
EP2580368A4 (en) 2014-02-19

Similar Documents

Publication Publication Date Title
TWI502094B (zh) 化學氣相沉積之控制設備及方法
US9139910B2 (en) Method for chemical vapor deposition control
US8852347B2 (en) Apparatus for chemical vapor deposition control
US8272347B2 (en) High temperature gas heating device for a vapor deposition system
US8291856B2 (en) Gas heating device for a vapor deposition system
US9157152B2 (en) Vapor deposition system
US20210156030A1 (en) Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102003106B1 (ko) 토로이달 플라즈마 처리 장치
TWI691612B (zh) 矽化鎳奈米線的直接沉積
KR102527297B1 (ko) 금속 실리사이드 배선 나노와이어 구조를 형성하기 위한 방법들
US20090226614A1 (en) Porous gas heating device for a vapor deposition system
US20080241377A1 (en) Vapor deposition system and method of operating
TW201017810A (en) RF power delivery system in a semiconductor apparatus
CN114207767B (zh) 多站半导体处理中的可独立调整流路传导性
KR101569956B1 (ko) 화학 처리 및 열처리용의 생산성이 높은 처리 시스템 및 동작 방법
US20120213929A1 (en) Method of operating filament assisted chemical vapor deposition system
KR102547322B1 (ko) 기판을 프로세싱 하는 방법
KR101080437B1 (ko) 플라즈마 처리 장치 및 플라즈마 처리 방법
TWI842908B (zh) 多站半導體處理中的可獨立調整流路傳導性
WO2016111832A1 (en) Laminate and core shell formation of silicide nanowire
TW202231919A (zh) 用於沉積層之方法及系統
Fukushima et al. Multiscale analysis on gas phase and surface chemistry of SiC-CVD Process from CH3SiCl3/H2