TWI500106B - 控制半導體晶圓製程方法與系統 - Google Patents

控制半導體晶圓製程方法與系統 Download PDF

Info

Publication number
TWI500106B
TWI500106B TW102106489A TW102106489A TWI500106B TW I500106 B TWI500106 B TW I500106B TW 102106489 A TW102106489 A TW 102106489A TW 102106489 A TW102106489 A TW 102106489A TW I500106 B TWI500106 B TW I500106B
Authority
TW
Taiwan
Prior art keywords
wafer
angle
support assembly
signal
processing module
Prior art date
Application number
TW102106489A
Other languages
English (en)
Other versions
TW201338084A (zh
Inventor
Shih Hung Chen
Ying Xiao
Chin Hsiang Lin
Original Assignee
Taiwan Semiconductor Mfg Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Mfg Co Ltd filed Critical Taiwan Semiconductor Mfg Co Ltd
Publication of TW201338084A publication Critical patent/TW201338084A/zh
Application granted granted Critical
Publication of TWI500106B publication Critical patent/TWI500106B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67259Position monitoring, e.g. misposition detection or presence detection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/68Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment
    • H01L21/681Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment using optical controlling means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68764Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a movable susceptor, stage or support, others than those only rotating on their own vertical axis, e.g. susceptors on a rotating caroussel

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)

Description

控制半導體晶圓製程方法與系統
本發明係有關於一種半導體晶圓製程,特別係有關於一種用以控制半導體晶圓搬運之系統與方法。
積體電路在半導體晶圓製造設備中經過多個半導體製程製造而成。這些製程與相關製造工具包括熱氧化(thermal oxidation)、擴散(diffusion)、離子值入法(ion implantation)、快速加熱製程(rapid thermal processing,RTP)、化學氣相沈積(chemical vapor deposition,CVD)、物理氣相沈積(physical vapor deposition,PVD)、磊晶成長製程(epitaxy formation/growth processes)、蝕刻製程(etch processes)、黃光微影製程(photolithography processes)及/或其他製程或工具。晶圓處理系統接受自工廠材料處理系統之半導體晶圓,並為了隨後之製程而對齊晶圓。晶圓可於晶圓承載器(loadlock)內對齊並放置。上述晶圓處理系統利用機器人機構,其通常在機械手臂的末端有一機械刀片,用以在晶圓夾模組(wafer cassette module)、承載器腔室(loadlock chamber)、傳輸模組、以及許多製程或反應室之間傳輸晶圓。
根據本說明書一實施例揭露了一種半導體晶圓製程控制方法,包括:定位一晶圓於一晶圓處理模組之一晶圓支撐組件;透過一訊號發射器發射一訊號,上述訊號發射器定位於一既定發射角度,並確認上述晶圓處理模組中之上述晶圓之平坦度,上述訊號因而由上述晶圓所反射,其中上述既定發射角度相對於晶圓支撐組件之一垂直軸;透過定位於一既定反射角度之一訊號接收器監控上述晶圓所反射之上述訊號,其中上述既定反射角度相對於上述晶圓支撐組件之上述垂直軸;以及當上述訊號接收器沒有接收到上述晶圓所反射之上述訊號時發出一警示。
100、200、300、400‧‧‧方法
110、120、130、140、142、144‧‧‧步驟
210、214、218、220、230、240、242、244‧‧‧步驟
310、314、318、320、330、340、342、344‧‧‧步驟
410、420、430、440、450、460、462、464‧‧‧步驟
500‧‧‧電腦處理單元
502‧‧‧處理器
504‧‧‧主要記憶體
506‧‧‧通訊基礎設施
508‧‧‧次要記憶體
510‧‧‧硬碟驅動器
512‧‧‧卸除式儲存驅動器
514‧‧‧卸除式儲存介面
516‧‧‧第一卸除式儲存單元
518‧‧‧第二卸除式儲存單元
520‧‧‧通訊介面
522‧‧‧顯示介面
524‧‧‧電腦顯示器
526‧‧‧警示
528‧‧‧警示介面
600‧‧‧系統
605‧‧‧訊號發射器
610‧‧‧晶圓處理模組
620‧‧‧晶圓支撐組件
625‧‧‧保護環
630‧‧‧晶圓
635‧‧‧訊號接收器
640‧‧‧支撐平面
650‧‧‧起重針腳組件
655‧‧‧起重針腳
660‧‧‧粒子污染
732‧‧‧監視裝置
734‧‧‧資料決策裝置
735‧‧‧訊號接收器
736‧‧‧記憶體
738‧‧‧資料比較器
755‧‧‧反射訊號
756‧‧‧晶圓傾斜角度參數
本說明書揭露之各種方面對該領域之具有普通常識者在參考以下詳細敘述,同時搭配附隨之非限定實施例,這一切將變得清楚明瞭:第1圖係根據本說明書之眾多實施例說明控制半導體晶圓製程之方法流程圖;第2圖係根據眾多實施例說明控制半導體晶圓製程之方法流程圖;第3圖係根據目前揭露之眾多實施例說明控制半導體晶圓製程之方法流程圖;第4圖係根據目前揭露之複數實施例說明控制半導體製程之方法流程圖;第5圖係根據一些實施例說明電腦處理元件之範例示意 圖;第6A-6G圖係根據本說明書之眾多實施例說明至少一部份之控制半導體晶圓製程之系統之簡化剖面圖;第7圖係根據本說明書之眾多實施例說明至少一部份之控制半導體晶圓製程系統之一範例。
參考這些圖式時,為了幫助瞭解,其中相似元件有著相似的標號,控制半導體晶圓製造之系統與方法之眾多實施例均被描述。這些圖式並非依比例尺所畫。
以下提供之敘述為用以教示之代表範例。該領域之具有普通常識者將瞭解許多變形可依此複數實施例所述而完成同時得到有利之結果。顯而易見地,一些如下所述的好處可經由單獨選擇在此所述之一些特徵與步驟而達成。於是,這些在此領域工作的人將會瞭解許多修改與變形,同時也包括在此所述的特徵與步驟之子集合,在某些情況中為可行的甚至令人滿意的。因此,以下的敘述僅提供說明而非限定。
本說明實施例之敘述建議輔以附圖一同閱讀,附圖視為本說明書之一部分。本說明書之實施例之敘述中,任何參考的方向僅僅針對描述之便,並非以任何形式限定此目前發明之範圍。相對性詞彙如較低、較高、水平、垂直、在上、在下、上、下、頂、底,以及衍生詞(例如:水平地、向下地、向上地等等)必須詮釋為當時所敘述或所論及之圖之方向。這些相對用語是為了敘述方便並且不需要架設或操作儀器在特定的方向上。此外,許多特徵與優點透過參考實施例而說明解 釋。於是,本說明書之題材以及附屬之申請專利範圍明確地非限定於該較佳的實施例。
此處所用之用於一單數物品如「一」以及「該」並非包含複數個物品,除非內容清楚明白地指出。
適當的晶圓平坦程度對於晶圓搬運與處理過程中的許多階段是重要的。舉例來說,反應室通常包含本質上平坦的臺座(如平臺)及/或靜電卡盤(electrostatic chuck,ESC),於處理過程中用以支撐半導體晶圓。在一些實施例中,利用機器人機構將半導體晶圓搬運至反應室且在將晶圓放置於臺座及/或靜電卡盤上之前,利用起重針腳支撐晶圓。當搬運晶圓進出處理室或將晶圓置於處理室中時,晶圓平坦程度不夠將導致半導體晶圓的損壞或低量率。此外,現在即使最小的粒子污染都會引起嚴重的低良率問題,因此晶圓處理系統之許多階段維持於等級10至等級1之無塵室等級。
在此提供改良的控制半導體晶圓製程之系統與方法,該系統與在此所述之製程並不限於任何特定之半導體製程技術、產品領域、設備、技術節點、製造工具、晶圓大小等等。在此所述之製程可由儀器所執行,該儀器包括其元件中任何市售且常用於此領域用以製造半導體晶圓之設備或者使用未來新開發之設備。本發明人已經開發出於晶圓搬運與處理過程中之許多階段判斷半導體晶圓平坦程度之系統與方法以增進處理效率、搬運穩定度以及量率,並且降低因錯位的晶圓而付出之代價。
本發明人也已觀察到藉由於晶圓搬運與處理過程 之許多階段判斷半導體晶圓之平坦度,晶圓錯位偵測可避免因搬運與裝載及/或處理錯位之晶圓所產生之低良率而損壞晶圓。當晶圓搬運與處理時,許多因素會造成半導體晶圓平坦度問題。舉例來說,一起重針腳組件之損毀、沾黏、或錯位之起重針腳組件的起重針腳、損毀或錯位之保護環、不恰當的裝載晶圓至一反應室內、搬運模組、卡式模組、承載器或其他半導體晶圓處理模組、靜電卡盤內的剩餘電荷、靜電卡盤放電失敗、反應室內的污染、搬運模組、卡式模組或承載器、震動,均會造成晶圓的錯位。本發明人已發展出貫穿整個操作、搬運以及處理之晶圓製程階段,原地決定晶圓不同點上之平坦度之系統與方法,並且自動警示系統及/或晶圓錯位之系統操作員。
第1圖係說明控制半導體晶圓製程之方法100之流程圖。在步驟110中,定位半導體晶圓於晶圓處理模組中。例如,一晶圓處理模組包括但不限於,一承載器、一裝載站、一密封艙(airlock)、一反應室、一卡式模組或一搬運模組。一反應室為一半導體經歷一過程如化學器相沈積(chemical vapor deposition,CVD)、高密度電漿化學汽相沈積(high density plasma CVD,HDP-CVD)、電漿輔助化學氣相沈積(plasma-enhanced CVD,PECVD)、物理氣相沉積(physical vapor deposition,PVD)、原子層沉積(atomic layer deposition,ALD)、離子增強原子層沉積(ion-enhanced ALD,iALD)、撥膜製程(resist strip)、化學蝕刻(chemical etch)、電漿蝕刻(plasma etch)、濺鍍(sputtering)、微影技術(lithography)或其他半導體製程。
在一些實施例中,該晶圓處理模組包括一晶圓支撐組件,該組件包括但不限於,一本質上平坦的臺座(如平台)或其他支撐平臺(如旋轉平板)提供半導體晶圓支撐表面。一些實施例中,例如在一反應室中,利用一卡盤如一靜電卡盤保持晶圓於該臺座上。眾多實施例中,該臺座包括一嵌入式電極,於處理過程中用以固定晶圓位置。該嵌入式電極可成為導體並藉由一電源供應器提供一電壓而提供靜電力以固持晶圓。眾多實施例中,該晶圓可沿著步驟110中之靜電卡盤之一第一表面放置。一些實施例中,靜電卡盤之二或多個電極可充電而使得該晶圓以靜電力固持於該靜電卡盤之第一表面。一些實施例中,包括一或多支起重針腳之起重針腳組件可用以定位該晶圓於該臺座第一表面之上,且降低該臺座到該臺座之第一表面之上。該起重針腳組件可以廣泛的驅動方式操作,其包括但不限於,氣動驅動、液壓驅動、或機電驅動。一些實施例中,在步驟110,該晶圓可定位於晶圓處理模組內之第一表面上。舉例來說,該晶圓可放置於一或多起重針腳上,且於晶圓處理模組內臺座之第一表面之上。其他實施例中,該晶圓可藉由一起重針腳模組降低至第一表面。
眾多實施例中,一半導體晶圓可於不同晶圓處理模組間調動,該晶圓處理模組包括一承載器、一裝載站、一密封艙、一反應室、一卡式模組或一搬運模組使用任何市售之設備並常用於調動晶圓,例如機器人機構、旋臂式搬運機構、真空搬運機構,或者使用未來新開發之設備。一些實施例中,晶圓處理模組包括允許搬運晶圓進出該晶圓處理模組之一孔 竅,如槽閥(slot valve)或進入門(entry door),於一或多之邊牆。一些實施例中,該晶圓處理模組包括用以目視檢查於該模組之晶圓的情況之觀看組件。舉例來說,該晶圓處理模組包括本質上為透明之一窗口或圓頂如石英,允許目視檢查位於該模組之晶圓。其他實施例中,晶圓處理模組包括位於該室之允許目視檢查之影像感測器。
在步驟120中,定位於既定的發射角度之訊號發射器發射一訊號,使得該訊號自該晶圓反射並確認該晶圓之平坦度,該角度相對於位於晶圓處理模組上反射點之垂直軸或垂直於該晶圓處理模組內的晶圓支撐組件之一軸。在一些實施例中,該訊號發射器定位於該晶圓處理模組之內側壁。在眾多實施例中,該訊號發射器能耐真空(vacuum resistant)且包括耐真空感測器(vacuum resistant sensor)。在其他的實施例中,訊號發射器定位於該晶圓處理模組之外側壁,允許該訊號穿過此側壁並自該晶圓反射。舉例來說,表面上置於相對於該晶圓處理模組之訊號發射器可應用於一終點測定系統內,用以允許訊號穿越晶圓處理模組模組之一或多之障壁。在一些實施例中,訊號發射器沿著晶圓處理模組之第一面放置,於一既定相對於該晶圓處理模組之垂直軸的發射角度發射訊號。
訊號發射器包括任何市售且常用於該領域發射訊號且感測反射訊號之訊號發射器,或者使用未來新開發之訊號發射器。例如,訊號發射器可為一點型或區域型之訊號發射器,其具有由歐姆龍(Omron)製造的光纖感測器(fiber sensor),其感測器之解像度為大約0.05至1公釐(如0.045至1.05 公釐)。在一些實施例中,該訊號發射器可定位於相對於垂直靜電卡盤之一軸之既定發射角度,靜電卡盤以靜電力固持住晶圓。在眾多實施例中,訊號發射器可定位於一既定發射角度,其相對於垂直晶圓支撐組件之降低的第一表面之一軸(如使用起重針腳組件降低晶圓),使得訊號反射自降低的晶圓並據此確認晶圓之平坦度。在其他的實施例中,訊號發射器可定位於一既定發射角度,其相對於垂直晶圓支撐組件之升高的第一表面之一軸(如使用起重針腳組件升高晶圓),使得該訊號反射自該升高的晶圓並據此確認晶圓之平坦度。
在步驟130中,訊號接收器定位於既定反射角度監控反射訊號,該角度相對於位於晶圓處理模組上反射點之一垂直軸或垂直晶圓處理模組之晶圓支撐組件之一軸。訊號發射器與訊號接收器的既定位置係根據一光反射演算法,使得相對於垂直該晶圓支撐組件之一軸以及自訊號發射器發射出來的訊號的發射角度(Θ T )與相對於垂直該晶圓支撐組件之一軸以及接收自該訊號接收器之訊號的反射角度(Θ R )相等(即Θ T R )。該既定的發射角度假定為適當定位之晶圓放置於晶圓處理模組中。訊號發射器與訊號接收器之該既定定位點可基於任何沿著晶圓表面所選定的反射位置而決定。例如,反射位置可沿著該晶圓之頂面而座落晶圓之中心。在一些實施例中,反射位置可為相對於晶圓沿著頂面之中心或邊緣之選定距離(如10釐米、50釐米等等)。本發明人觀察到,當晶圓在一晶圓處理模組中處於晶圓搬運、操作以及處理之許多不同階段時,在此所述之系統與方法中使用該演算法將提供判斷晶圓平坦度之一 改良機制,晶圓錯位因而得以偵測出來,進而避免在搬運與裝載及/或處理錯位之晶圓時損壞晶圓並造成低量率。
在眾多實施例中,訊號接收器可定位於既定反射角度監控反射訊號,該角度相對於被靜電固持住之晶圓。既定反射角度假定為一正確對齊之晶圓放置於該晶圓處理模組。在一些實施例中,訊號接收器可定位於一既定之反射角度監控反射訊號,該角度相對於晶圓支撐組件之降低第一表面之一垂直軸(如使用一起重針腳組件降低晶圓),因此反射訊號如預期地接收自降低之晶圓並據此確認降低之晶圓的平坦度。在其他實施例中,訊號接收器可定位於既定反射角度監控反射訊號,該角度相對於一晶圓支撐組件之升高第一表面之一垂直軸(如利用一起重針腳組件升高晶圓),因此反射訊號如預期地接收自升高之晶圓並據此確認升高之晶圓的平坦度。
舉例來說,對於放置於設計作為蝕刻處理且有600釐米內直徑的反應室之ESC之300釐米晶圓,訊號發射器可定位於既定80度的發射角度發射訊號,該角度相對於沿著反應室之內側壁垂直位於ESC中心之晶圓上表面(大約於該晶圓上表面的上面52.9釐米之處),其中假定該晶圓精準對齊。訊號接收器可定位於既定80度的反射角度接收反射訊號,該角度相對於沿著反應室之內側壁垂直位於ESC中心之晶圓上表面(大約於該晶圓上表面的上面52.9釐米之處),其中假定該晶圓精準對齊。此外,舉例而言,如果選擇一既定反射點相對於ESC距離該晶圓之上表面之中心50釐米遠且其中訊號接收器朝選為放置訊號接收器的反應室之內側壁放置,則訊號發射器定位於既 定的80度入射角度發射訊號,該角度相對於位於ESC上所選擇的反射點之垂直線(大約於晶圓上表面之上61.71釐米之處),其中假定該晶圓精準對齊。訊號接收器此時定位於既定的80度反射角度接收反射訊號,該角度相對於位於ESC上所選擇的反射點之垂直線(大約於該晶圓上表面之上44.08釐米之處),其中假定該晶圓精準對齊。
參考步驟130,訊號接收器於沿著晶圓處理模組之既定位置監控反射訊號。在一些實施例中,於步驟140,如果訊號接收器接收到反射訊號然後判斷晶圓的平坦度為正確對齊,接下來的操作、搬運、或處理可如步驟142所述繼續前進。然而,如果訊號接收器沒有接收到反射訊號而判斷晶圓平坦度為錯位,則如步驟144所述產生警告指示。舉例來說,終止排定之搬運、操作或處理之活動可發出視覺或聽覺訊息、訊號、或警告,指示晶圓錯位將發生而使半導體製造系統及/或該系統之作業員接收到警告。在眾多實施例中,基於接收之警告指示而在晶圓處理模組內目視檢查該晶圓。因此,可執行正確動作校正錯位的晶圓以防於搬運與裝載及/或處理過程中因錯位的晶圓造成晶圓損壞而產生低量率。
第2圖係根據眾多實施例顯示控制半導體晶圓製程之方法200流程圖。在步驟210中,半導體晶圓放置於如上針對第1圖所述之晶圓處理模組內。在步驟214中,訊號發射器沿著晶圓處理模組之第一側放置並於既定發射角度發射訊號,該角度相對於位於該晶圓處理模組之既定反射點之垂直軸或晶圓處理模組之晶圓支撐組件之垂直軸。在一些實施例中,晶圓 處理模組的第一面為一內側壁。在眾多實施例中,訊號發射器為環境耐受發射器,其有一低壓光線感測器(low pressure light sensor)。在其他的實施例中,晶圓處理模組的第一面為一外側壁。在眾多實施例中,選定一外側壁最小化訊號發射器以及相關感測器之環境壓力源。該模組允許訊號(如光)於訊號發射器之既定位置通過側壁,用以確認位於該模組之晶圓的平坦度並使得訊號自晶圓反射。在步驟218中,訊號接收器沿著相對於晶圓處理模組之第一側的第二面放置,並於既定反射角度接收反射訊號,該角度相對於位於既定反射點之晶圓處理模組之垂直軸或晶圓處理模組之晶圓支撐組件之垂直軸。訊號發射器與訊號接收器的既定位置為根據針對第1圖所述之光反射邏輯而得。在眾多實施例中,選定沿著晶圓表面之既定反射點將使得沿著晶圓之許多點之平坦度都可決定。
在步驟220中,訊號發射器發射訊號使得訊號自如上針對第1圖所述之該晶圓反射。在步驟230中,於訊號接收器執行監控所選定訊號(如第1圖所述)。在步驟240中,決定是否接收該反射之訊號。如果訊號接收器接收反射訊號,則晶圓之平坦度即判定為對齊並繼續如步驟242所述之接下來的操作、搬運或處理。然而,如果訊號接收器並未接收反射訊號,則晶圓之平坦度即判定為錯位,並如步驟244所示以及以上對於第1圖所述發出警示。
參考第3圖,根據眾多實施例,其為控制半導體晶圓製程之方法300流程圖。在步驟310中,半導體晶圓放置於如上針對第1圖與第2圖所述之晶圓處理模組中。在步驟314中, 訊號發射器沿著晶圓處理模組第一側之第一位置放置並於既定發射角度發射訊號,該角度相對於晶圓處理模組之既定反射點之一垂直軸或晶圓處理模組之晶圓支撐組件之一垂直軸,用以確認晶圓處理模組內的晶圓之平坦度,所以訊號是反射自晶圓。在眾多實施例中,晶圓處理模組的第一面為與晶圓位置相對之一面。在一些實施例中,晶圓處理模組的第一面為一內壁。在眾多實施例中,訊號發射器是一耐環境的發射器且含有一低壓光線感測器。在其他實施例中,晶圓處理模組的第一面為外壁。在步驟318中,訊號接收器沿著晶圓處理模組的第一測之第二位置放置且於既定反射角度接收反射訊號,該角度相對於晶圓處理模組之既定反射點之一垂直軸或晶圓處理模組之晶圓支撐組件之一垂直軸。如上針對第1圖所述,訊號發射器以及訊號接收器的既定位置係根據光線反射演算法。在眾多實施例中,選定之既定反射點沿著晶圓之表面,使得晶圓上之許多點的平坦度得以決定。步驟320至340說明控制半導體製程之方法步驟,其本質上與以上針對步驟220至240所述相似。
根據眾多實施例,第4圖係為控制半導體晶圓製程之方法400流程圖。步驟410至430說明控制半導體晶圓製程之方法步驟,其本質上與以上針對步驟110至130所述者相似。在這說明的實施例中,如步驟440所示,訊號接收器接收反射訊號。舉例來說,以上針對放置於具有內直徑500釐米反應室之300釐米晶圓所述之範例,訊號發射器以及訊號接收器各別定位於既定發射80度以及既定反射80度的角度發射與接收信號,該角度相對於沿著反應室相對之內壁而垂直ESC中心之晶 圓上表面之一軸(大約晶圓的上表面以上之52.90釐米)。在步驟440中,信號接收器接收發射之訊號。
在步驟450中,晶圓傾斜角度(Θ TW )經由反射訊號而決定。舉例來說,訊號接收器決定實際的反射角度(Θ AR )為79.6度。然而,如上所述,預期的反射角度(Θ R )為80度。訊號接收器比較實際的反射角度(Θ AR )與預期的反射角度(Θ R )而決定晶圓傾斜角度(Θ TW )。本發明人也已確定晶圓傾斜角度(Θ TW )為Θ T ±Θ AR 、或是預期的反射角度(Θ R )與實際的反射角度(Θ AR )的一半差值、或實際的反射角度(Θ AR )與(90-Θ R )的一半差值。在此範例中,訊號接收器可確定晶圓傾斜角度(Θ TW )大約為0.4度。在一些實施例中,訊號接收器可確定訊號接收自距離晶圓上表面多遠。在目前的範例中,訊號接收器確定訊號接收自距離晶圓上表面大約54.99釐米。在眾多實施例中,晶圓傾斜角度可表示及/或確定為以該確定距離之一函數。
在步驟460中,確定晶圓傾斜角度(Θ TW )表示晶圓的錯位程度。在眾多實施例中,該確定之實際晶圓傾斜角度(Θ TW )可與選定及/或儲存之晶圓傾斜角度參數相比較。在一些實施例中,該確定之晶圓傾斜角度(Θ TW )可與關於適當晶圓對其之既定晶圓傾斜角度相比。在眾多實施例中,確定之實際的反射角度(Θ AR )與既定反射角度(Θ R )相比。在一些實施例中,晶圓傾斜角度(Θ TW )之參數隨著特定晶圓、晶圓處理模組、或其他合適的積體電路設計考量而改變。舉例來說,位於反應室之表示晶圓錯位程度的晶圓傾斜角度(Θ TW )將較小 於位於承載模組。在步驟462中,確定之晶圓傾斜角度(Θ TW )指出晶圓平坦度為可接受的,並且繼續既定之對於該晶圓之操作、搬運或處理。然而,如果確定之晶圓傾斜角度(Θ TW )指出晶圓嚴重錯位,則產生警示(步驟464以及第1圖)。在一些實施例中,如果比較實際的反射角度(Θ AR )以及既定反射角度(Θ R )發現其差異至少0.2度時,則發出警示。在其他實施例中,如果比較實際的反射角度(Θ AR )以及既定反射角度(Θ R )發現其差異至少0.6度時,則發出警示。
在一些實施例中,方法之步驟可根據在此描述之原則而經由一通用電腦程式而成。在說明書中個別實施例內容中所述之某些功能也可混合實施於單一實施例中。相反地,描述於單一實施例之不同特徵也可拆開分別實施於多個實施例或任何適合之子集合。此外,儘管以上所述之特徵執行於某些組合,甚至一開始如此主張,但主張之組合中的一或多特徵於某些情況下可切出該組合,且該主張之組合可指向一子集合或一子集合之變形。
同樣的,儘管如圖所示之動作依一特定次序進行,但並不需要依照所示之特定次序或一順序、或執行所有說明之動作而達成合意之結果。在某些情況下,多工作業與並列處理為有利的。再者,上述實施例中分散之許多系統元件並不需要依照實施例所示之方式運作,要知道所述之程式元件以及系統一般整合於單一軟體產品或包裝成多種軟體產品。
說明書中所述之處理器以及邏輯流程可由執行一或多電腦程式之一或多程式處理器所執行,以致藉由操作輸入 資料並產生輸出而執行功能。處理器與邏輯流程以及設備皆可以特殊用途邏輯電路實現,例如FPGA(field programmable gate array)或ASIC(application specific integrated circuit)。
第5圖係根據眾多實施例顯示電腦處理器單元架構之範例示意圖。說明書所述之主題之實施例以及用於製程之許多步驟之功能動作可以電子電路、或電腦硬體、或包括說明書中揭露之結構與其之設備的硬體、或其一或多之組合等方式實現。說明書中描述之主題的實施例可實現為一或多電腦程式產品,亦即電腦程式指令之一或多個模組,該電腦程式指令編碼於一有形機械可讀之儲存媒體,且由資料處理設備執行或控制其動作。有形儲存媒體可為電腦可讀媒體。電腦可讀媒體可為機械可讀儲存裝置、機械可讀儲存基體、記憶體裝置、硬碟驅動器、磁帶驅動器、光碟驅動器(例如但不限於CDROM、DVD、或BDROM)或其相似者、或以上一或多之組合。
如上所述控制晶圓製程之系統,其至少一部份可實現於電腦處理單元500且特別是在軟體,其中之結果(如晶圓傾斜角度、入射角度、反射角度)、複數系統參數及/或複數警示會以圖形化使用者介面(graphical user interface,GUI)顯示於顯示裝置如電腦顯示器524(第5圖)或其他顯示裝置。在說明書中所述之實施例可實施於有一鍵盤、指向裝置的電腦處理單元500,指向裝置如一滑鼠或一軌跡球,操作員可藉此將輸入傳進電腦裡。操作員也可使用其他類型的裝置與電腦互動;例如,可以任何形式接收操作員的輸入,包括聲音、話語、或觸摸輸入。眾多實施例中,電腦處理單元500包括提供給電 腦處理單元500各種元件之功能,用以控制晶圓製程以及如第1-7圖所述之相對應方法步驟。
如第5圖所示,電腦處理單元500可包括一或多處理器502,處理器502連接至通訊基礎設施506(如一通訊總線或網路)。電腦處理單元500可包括,發送圖片、文件之顯示介面522,以及其他來自通訊基礎設施506用以顯示於顯示單元524之資料(或來自圖中未顯示的視框暫存器)。電腦處理單元500可包括用以發送警示之警示介面528,以及其他來自通訊基礎設施506傳送至警示526的資料(或來自未顯示的視框暫存器)。
電腦處理單元500也可包括主要記憶體504(例如隨機存取記憶體(RAM))以及次要記憶體508。次要記憶體508可包括,例如硬碟驅動器(HDD)510及/或卸除式儲存驅動器512(可代表軟碟驅動器、磁帶驅動器、光碟驅動器等等)。卸除式儲存驅動器512自第一卸除式儲存單元516讀取及/或寫入,第一卸除式儲存單元516可為軟碟、磁帶、光碟等等。請注意,第一卸除式儲存單元516可包括含有儲存如上所述之電腦軟體及/或資料之電腦可讀儲存媒體。適合儲存電腦程式指令以及資料之電腦可讀儲存媒體包括所有形式之資料記憶體,包括非揮發性記憶體媒體以及記憶體設備,也包括如半導體記憶體設備,例如EPROM、EEPROM、以及快閃記憶體設備;還有磁片,例如內部硬碟以及卸除式磁碟、磁光碟片;以及CD ROM、DVD ROM、BDROM。處理器502以及記憶體504可由特殊用途邏輯電路所補充或併入。
在其他的實施例中,次要記憶體508可包括允許其他電腦程式或其他指令載入至電腦處理單元500之類似裝置。次要記憶體508可包括第二卸除式儲存單元518以及相對應之卸除式儲存介面514。卸除式儲存單元包括但不限於,如USB或快閃驅動器,其允許軟體以及資料自第二卸除式儲存單元518傳送至電腦處理單元500。
電腦處理單元500也可包括通訊介面520。通訊介面520允許軟體以及資料於電腦處理單元500與外部裝置間傳輸。通訊介面520可包括,如數據機、乙太網路卡、無限網路卡、個人電腦儲存卡國際聯盟(Personal Computer Memory Card International Association,PCMCIA)插槽以及卡等等。經由通訊介面520傳輸之軟體以及資料可以電子、電磁、光纖等等之可被通訊介面520接收的訊號形式。這些訊號可經由通訊路徑(即通道)傳輸至通訊介面520,該通訊路徑可為導線、電纜、光纖、電話線、蜂窩連接、射頻連線或其他通訊通道。
電腦程式產品提供軟體至電腦處理單元500,電腦程式(也稱為電腦控制邏輯)儲存於主要記憶體504及/或次要記憶體508。電腦程式也可透過通訊介面520而接收。當處理器執行該電腦程式時,啟動電腦處理單元500執行在此所述方法之特徵。舉例來說,主要記憶體504、次要記憶體508、或第一卸除式儲存單元516與第二卸除式儲存單元518可以電腦程式編碼,用以執行如第1-4圖所述程序之各個步驟。
以軟體實現之實施例中,軟體可被儲存於一電腦程式產品且利用卸除式儲存驅動器512、硬碟驅動器510、或通 訊介面520載入至電腦處理單元500。當處理器502執行軟體時,該軟體使得處理器502執行本說明書之眾多方法步驟之功能。在另一實施例中,眾多方法步驟主要執行於硬體上,其利用之硬體元件如含有特定功能積體電路(application specific integrated circuits,ASICs)之數位訊號處理器。但在另一個實施例中,該方法經由使用硬體與軟體的組合而實現。
眾多實施例可實現於電腦設備,其包括後端元件,例如資料伺服器,或其含有中介元件如一應用伺服器、或其含有一前端元件如含有GUI或操作員可與本說明書中所述之實現互動之網頁瀏覽器、或一或多該後端、中介或前端元件之任意組合。該系統之複數元件可藉由數位資料通訊之任意形式或媒介(如一通訊網路)而內連接。通訊網路包括,本地區域網路(local area network,LAN)以及一廣域網路(wide area network,WAN),如網際網路。
第6A-6G圖係根據本說明書之眾多實施例說明控制半導體晶圓製程系統之至少一部份之簡化剖面圖。第6A-6G圖根據眾多實施例說明控制半導體晶圓製程之系統600之一部分之簡化剖面圖。在這用以說明的實施例中有一晶圓處理模組610。如上所述,晶圓處理模組可包括但不限於,承載器、裝載站、密封艙、反應室、卡式模組或搬運模組。如圖所示,系統600也可包括位於晶圓處理模組610中用以支撐晶圓630之晶圓支撐組件620。晶圓支撐模組620可包括平坦的臺座(如平臺)或提供晶圓630支撐平面640之其他支撐平臺(即旋轉平板)。在其他實施例中,例如於反應室610內,可利用一夾頭如電子 卡盤將晶圓630固定於晶圓支撐組件620上。
在這些說明之實施例中,保護環625包含於晶圓處理模組610中。保護環625可適應晶圓630形狀、大小而改變。在一些實施例中,保護環625可整合進ESC而為其本體之一部分。在其他實施例中,保護環625為ESC之單獨元件。如圖第6A、6C、6E、6F圖所示,當晶圓630由晶圓支撐組件620所支撐時,訊號發射器605定位於相對晶圓630之既定發射角度(Θ I )。在一些實施例中,當晶圓由晶圓支撐組件620所支撐且先前已被如起重針腳組件650(第6B、6D、6G圖)降低至晶圓支撐組件620之第一表面640,晶圓630訊號發射器605定位於既定發射角度(Θ T ),該角度相對於垂直晶圓支撐組件620之降低的第一表面640之一軸。在眾多實施例中,當晶圓630被預先充電之ESC電極以靜電力固定於第一表面640時,訊號發射器605可定位於既定發射角度(Θ T ),該角度相對於正確對齊之晶圓630。如圖第6A、6C、6E、6F圖所示,當晶圓630正確對齊且支撐於晶圓支撐組件620時,訊號接收器635定位於既定反射角度(Θ R ),該角度相對於晶圓630。如上所述,在眾多實施例中,晶圓630可為上述藉由晶圓支撐組件620降低至第一表面640之晶圓630,或是藉由一ESC以靜電力固持於第一表面640之晶圓630。
如圖第6B、6D、6G圖所示,當晶圓630藉由如起重針腳組件650預先抬升至晶圓支撐組件620之第一表面640之上,且其中晶圓630由起重針腳組件650所支撐,訊號發射器605可定位於既定發射角度(Θ I ),該角度相對於晶圓處理模組610 內晶圓支撐組件620之升起第一表面640之一垂直軸。如第6B、6D、6G圖所示,訊號接收器635可定位於既定反射角度(Θ R ),該角度相對於晶圓支撐組件620之上升第一表面640之一垂直軸,其中晶圓630藉由如起重針腳組件650預先抬升,晶圓630藉由起重針腳組件650支撐。第6A-6B、6E-6G圖說明訊號發射器605以及訊號接收器635定位於沿著晶圓處理模組610內側壁之對面。眾多實施例中,至少二訊號發射器605以及至少二訊號接收器635可以各自既定發射角度(Θ T )以及既定反射角度(Θ R )定位於晶圓處理模組610中,該角度相對於晶圓支撐組件620之第一表面640之垂直軸,用以偵測於起重針腳組件650之上升或下降位置之晶圓對齊狀況。如第6C、6D圖中所示,訊號發射器605以及訊號接收器635各自定位於沿著晶圓處理模組610之上內表面之第一與第二位置。如上所述,在一些實施例中,訊號發射器605以及訊號接收器635可定位於沿著晶圓處理模組610之外側壁。
在眾多實施例中,可將訊號發射器605以及訊號接收器635整合(即605=635),並且定位於沿著晶圓處理模組610上壁之內表面之一位置,使得相對於晶圓支撐組件620之一垂直軸Θ I R =0。在眾多實施例中,訊號發射器與訊號接收器整合於一體,可使用單一感測器偵測晶圓對齊狀況,不管起重針腳組件650於升起或降低之位置。
參考第7圖,其為控制半導體晶圓製程之系統600之一部分之範例。訊號接收器735具有監視裝置732以監控來自位於晶圓處理模組610之晶圓630之反射訊號755。如圖所示, 訊號接收器735具有資料決策裝置734以決定反射訊號755實際反射角度(Θ AR ),該角度相對於垂直晶圓之一軸。在一些實施例中,資料決策裝置734可提供訊號接收器735如電腦處理單元500之處理器502。如圖所示,晶圓傾斜角度(Θ TW )參數756提供至系統600並儲存於如訊號接收器735之記憶體736。在一些實施例中,晶圓傾斜角度(Θ TW )參數756提供至系統600並儲存於訊號接收器的外部記憶體736,如記憶體504、508或電腦處理單元500之第一卸除式儲存單元516以及第二卸除式儲存單元518。在眾多實施例中,晶圓傾斜角度(Θ TW )參數756可包括既定反射角度參數。在一些實施例中,晶圓傾斜角度(Θ TW )參數735可包括用於特定晶圓630、晶圓支撐組件620、晶圓處理模組610或其他適合之積體電路設計考量之許多參數。在眾多實施例中,晶圓傾斜角度(Θ TW )參數756可包括指出可接收之晶圓平坦度以及其他指出晶圓錯位程度之複數參數。
如第7圖所示,訊號接收器735內之資料比較器738用以判斷接收自資料決策裝置734之實際反射角度(Θ AR )與接收自記憶體736既定反射角度(Θ R )之間的差異。在一些實施例中,對於特定晶圓630、晶圓支撐組件620、及/或晶圓處理模組610,資料比較器738用以判斷實際晶圓傾斜角度(Θ TW )與儲存於記憶體736之晶圓傾斜角度(Θ T )之間差異。在眾多實施例中,資料比較器738可用以判斷既定傳輸角度(Θ T )與接收自資料決策裝置734之實際反射角度(Θ AR )之間差異。在其他實施例中,資料比較器738可用以判斷實際反射角度(Θ AR )與(90-Θ R )之間差異。在一些實施例中,資料比較器738可外 部提供至訊號接收器735,例如為電腦處理單元500之一元件的處理器502。在這示範實施例中,如果資料比較器738顯示晶圓630之平坦度為錯位時,產生器760用以產生警示。在這示範實施例中,產生器760外部提供至訊號接收器735且包括如用以發送警示以及自資料比較器738之其他資料傳送至警示526之警示介面528。
第6A-6D圖係顯示晶圓630於本質上對齊之情況,因而Θ T R 且接收之實際反射角度(Θ AR )等於既定反射角度(Θ R )。第6E圖係說明當晶圓630之一部分於晶圓處理模組610中放置於一粒子污染660上而造成晶圓630錯位之範例。訊號接收器635監控經由訊號發射器605所發射之反射訊號755的接收,並經由資料決策裝置734決定實際反射角度(Θ AR T WT AT ),其中Θ T 代表既定發射角度,該角度相對於垂直於支撐晶圓630之晶圓支撐組件620之第一表面640之一軸,Θ WT 代表因粒子污染660所造成之晶圓傾斜角度,以及Θ AT 代表實際發射角度,該角度相對於晶圓630之一垂直軸。在眾多實施例中,訊號接收器635可監控經由訊號發射器605發射之反射訊號755的接收,並經由資料決策裝置734決定實際反射角度(Θ AR R WT ),其中Θ R 代表既定反射角度,該角度相對於垂直於支撐晶圓630之晶圓支撐組件620之第一表面640之一軸,Θ WT 代表因粒子污染660所造成之晶圓傾斜角度。在眾多實施例中,訊號接收器635可經由資料決策裝置734決定實際反射角度(Θ AR =(90-Θ R )+(2×Θ WT )),其中Θ R 代表既定發射角度,該角度相對於垂直於支撐晶圓630之晶圓支撐組件620之第一表面640之一 軸,Θ WT 代表因粒子污染660所造成之晶圓傾斜角度。如上所述,在一些實施例中資料比較器738比較決定之實際反射角度Θ AR 以及既定反射角度Θ R ,若比較結果指出晶圓630實際上為錯位的,則產生器760發出警示。在其他實施例中,資料比較器738比較晶圓傾斜角度Θ WT 以及儲存於記憶體736之晶圓傾斜角度參數,若比較結果指出晶圓630實際上為錯位的,則發出警示。
第6F圖係顯示晶圓630之一部分放置晶圓處理模組610之保護環625的一部份上而造成晶圓630錯位之範例。訊號發射器635再一次監控經由訊號發射器605所發射的反射訊號755之接收,且經由資料決策裝置734決定實際反射角度Θ AR T WT AT ,其中Θ T 代表既定發射角度,該角度相對於垂直於支撐晶圓630之晶圓支撐組件620之第一表面640之一軸,Θ WT 代表因不恰當裝載晶圓630而造成之晶圓傾斜角度使得晶圓630之一部分放置於保護環625上,以及Θ AT 代表實際發射角度,該角度相對於晶圓630之一垂直軸。在眾多實施例中,訊號接收器635可監控經由訊號發射器605發射的反射訊號755之接收,並由資料決策裝置734決定實際反射角度Θ AR R WT ,其中Θ R 代表既定反射角度,該角度相對於支撐晶圓630的晶圓支撐組件620之第一表面640之垂直軸,Θ WT 代表因不恰當裝載晶圓630而造成之晶圓傾斜角度使得晶圓630之一部分放置於保護環625上。在眾多實施例中,訊號接收器635可經由資料決策裝置734決定實際反射角度Θ AR =(90-Θ R )+(2×Θ WT ),其中Θ R 代表既定發射角度,該角度相對於垂直於支撐晶圓630之晶圓支撐組件 620之第一表面640之一軸,Θ WT 代表因不恰當裝載晶圓630而造成之晶圓傾斜角度使得晶圓630之一部分放置於保護環625上。如上所述,在一些實施例中,資料比較器738比較決定之實際反射角度Θ AR 以及既定反射角度Θ R ,若比較結果指出晶圓630實際上為錯位的,則產生器760發出警示。在其他實施例中,資料比較器738比較晶圓傾斜角度Θ WT 以及儲存於記憶體736之晶圓傾斜角度參數,若比較結果指出晶圓630實際上為錯位的,則發出警示。
第6G圖係顯示晶圓630放置於晶圓起重針腳組件650上且至少一之起重針腳655有損壞或沾黏的問題而造成晶圓630錯位之範例。訊號發射器635監控經由訊號發射器605發射的反射訊號755之接收,並由資料決策裝置734決定實際反射角度Θ AR T WT AT ,其中Θ T 代表既定發射角度,該角度相對於支撐晶圓630的晶圓支撐組件620之第一表面640之垂直軸,Θ WT 代表晶圓傾斜角度,以及代表實際發射角度,該角度相對於晶圓630之一垂直軸。在此範例中,Θ WT 代表因起重針腳損毀或沾黏而造成之晶圓傾斜角度。在眾多實施例中,訊號接收器635可監控由訊號發射器605發射的反射訊號755之接收,並由資料決策裝置734決定實際反射角度Θ AR R WT ,其中Θ R 代表既定反射角度,該角度相對於支撐晶圓630的晶圓支撐組件620之第一表面640之垂直軸,Θ WT 代表晶圓傾斜角度。在眾多實施例中,訊號接收器635可經由資料決策裝置734決定實際反射角度Θ AR =(90-Θ R )+(2×Θ WT )。如上所述,在一些實施例中,資料比較器738比較決定之實際反射角度Θ AR 以及既定反射角度Θ R ,若比較 結果顯示晶圓630為錯位時,則產生器760發出警示。在其他實施例中,資料比較器738比較晶圓傾斜角度Θ WT 以及儲存於記憶體736中之晶圓傾斜角度參數,若比較結果顯示晶圓630為錯位時,則產生器760發出警示。
一實施例提供控制半導體晶圓製程之方法,包括於晶圓處理模組內定位半導體晶圓之晶圓支撐組件。定位於既定發射角度之訊號發射器發射出用以確認晶圓處理模組中的晶圓之平坦度之訊號,其角度相對於垂直晶圓支撐組件之一軸,該訊號因而反射自該晶圓。訊號接收器於既定反射角度監控反射訊號,其角度相對於垂直晶圓支撐組件之一軸。若訊號接收器沒有接收到反射訊號,就會發出警示。
另一實施例提供控制半導體晶圓製程之方法,包括將半導體晶圓定位於晶圓處理模組內的晶圓支撐組件上。定位於既定發射角度之訊號發射器發射用以確認晶圓處理模組中的晶圓之平坦度之訊號,該角度相對於垂直晶圓支撐組件之一軸,該訊號因而反射自晶圓。本實施例包括訊號接收器於既定反射角度監控該反射訊號,該角度相對於垂直晶圓支撐組件之一軸,訊號接收器因而接收反射訊號。訊號接收器接收反射訊號,並且藉由反射訊號決定晶圓傾斜角度。若所決定之晶圓傾斜角度顯示晶圓平坦度為錯位時,則發出警示。
又一實施例提供控制半導體製程之系統,包括晶圓處理模組以及於晶圓處理模組中支撐半導體晶圓之支撐組件。此一實施例其中也包括當晶圓支撐組件支撐晶圓時,訊號發射器定位於既定發射角度,其角度相對於垂直晶圓支撐組件 之一軸。該系統更包括當晶圓支撐組件支撐晶圓時,訊號接收器定位於既定反射角度,其角度相對於垂直晶圓支撐組件之一軸。在此實施例中的訊號接收器包括用以監控反射自晶圓的反射訊號之監控裝置、用以決定相對於晶圓之反射角度之反射訊號的資料決策裝置以及判斷決定之反射角度與既定反射角度之差異的資料比較器。在此實施例還包括一產生器,若資料比較器的結果顯示晶圓平坦度為錯位時,則發出警示。
敘述完眾多實施例後,要注意的是,在此所述之實施例僅僅用以說明,本說明書之範圍包括該領域具有普通常識者詳細閱讀本說明書後所衍生出之所有相同、變形或修改者。
100‧‧‧方法
110、120、130、140、142、144‧‧‧步驟

Claims (9)

  1. 一種半導體晶圓製程控制方法,包括:定位一晶圓於一晶圓處理模組之一晶圓支撐組件;當上述晶圓由上述晶圓支撐組件所支撐且一既定反射點從上述晶圓之一中心偏移了一既定距離時,決定一預期反射角度,其中上述預期反射角度相對於上述晶圓支撐組件之一平面的一垂直軸,以及上述既定距離平行於上述晶圓支撐組件之上述平面的一平行軸;沿著上述晶圓處理模組之一第一內側壁,定位一訊號發射器於一第一距離以及至上述既定反射點的一既定發射角度,其中上述第一距離係從上述晶圓支撐組件的一表面起且平行於上述晶圓支撐組件之上述垂直軸,其中當上述晶圓由上述晶圓支撐組件所支撐時,上述既定發射角度相對於上述晶圓支撐組件之上述垂直軸;沿著相對於上述第一內側壁之上述晶圓處理模組之一第二內側壁,定位一訊號接收器於一第二距離,其中上述第二距離係從上述晶圓支撐組件的上述表面起且平行於上述晶圓支撐組件之上述垂直軸,其中上述第一距離不同於上述第二距離,其中上述第一距離與上述第二距離係根據上述預期反射角度、上述既定發射角度以及上述既定反射點而決定;透過上述訊號發射器發射一訊號至上述既定反射點,以確認上述晶圓處理模組中之上述晶圓之平坦度; 透過上述訊號接收器在上述預期反射角度監控上述晶圓所反射之上述訊號;以及當上述訊號接收器沒有接收到上述晶圓所反射之上述訊號時發出一警示。
  2. 如申請專利範圍第1項所述之半導體晶圓製程控制方法,更包括:從定位在上述第一距離之上述訊號發射器決定一發射角度,其中上述發射角度相對於上述晶圓支撐組件之上述垂直軸至上述既定反射點;以及在已決定之上述發射角度,發射上述訊號。
  3. 如申請專利範圍第1項所述之控制半導體晶圓製程方法,更包括:透過上述訊號接收器,接收由上述晶圓反射之上述訊號;決定上述接收訊號之一實際反射角度,其中當上述晶圓由上述晶圓支撐組件所支撐時,上述實際反射角度相對於上述晶圓處理模組之上述平行軸;比較所決定之上述實際反射角度以及上述預期反射角度;若比較結果顯示上述晶圓之一實際晶圓傾斜角度至少0.2度,則發出上述警示。
  4. 如申請專利範圍第1項所述之半導體晶圓製程控制方法,更包括:定位上述晶圓於上述晶圓處理模組之上述晶圓支撐組件之一第一表面之上;使用一起重針腳組件降低上述晶圓至上述第一表面;以及 根據已降低之上述晶圓,決定上述預期反射角度以及上述第一與第二距離。
  5. 如申請專利範圍第1項所述之半導體晶圓製程控制方法,更包括:沿著上述晶圓處理模組之一靜電卡盤之一第一表面定位上述晶圓;充電上述靜電卡盤之至少二電極,使得靜電力將上述晶圓固持於上述第一表面;其中發射上述訊號之步驟包括從定位於上述第一距離之上述訊號發射器於一既定發射角度發射上述訊號至上述既定反射點,上述既定發射角度相對於上述靜電卡盤之上述第一表面之一平面之一垂直軸。
  6. 一種半導體晶圓製程控制方法,包括:定位一晶圓於一晶圓處理模組之晶圓支撐組件;決定一預期反射角度以及一既定反射點,其中上述預期反射角度相對於上述晶圓支撐組件之一平面的一垂直軸;沿著上述晶圓處理模組之一第一內側壁,定位一訊號發射器於一第一距離以及至上述既定反射點的一既定發射角度,其中上述第一距離係從上述晶圓支撐組件的一表面起且平行於上述晶圓支撐組件之上述垂直軸,其中當上述晶圓由上述晶圓支撐組件所支撐時,上述既定發射角度相對於上述晶圓支撐組件之上述垂直軸;沿著相對於上述第一內側壁之上述晶圓處理模組之一第二內側壁,定位一訊號接收器於一第二距離,其中上述第 二距離係從上述晶圓支撐組件的上述表面起且平行於上述晶圓支撐組件之上述垂直軸,其中上述第一距離與上述第二距離係根據上述預期反射角度、上述既定發射角度以及上述既定反射點而決定;透過上述訊號發射器發射一訊號至上述既定反射點,以確認上述晶圓處理模組之上述晶圓之平坦度;透過上述訊號接收器在上述預期反射角度,監控上述晶圓所反射之上述訊號;透過上述訊號接收器在不同於上述預期反射角度之一角度接收上述晶圓所反射之上述訊號;利用所接收之上述訊號之上述角度決定一實際晶圓傾斜角度;以及若所決定之上述實際晶圓傾斜角度超過一容許晶圓傾斜角度時,則發出一警示。
  7. 如申請專利範圍第6項所述之半導體晶圓製程控制方法,更包括:若所決定之上述實際晶圓傾斜角度為至少0.2度,則產生上述警示。
  8. 一種半導體晶圓製程控制系統,包括:一晶圓處理模組;一半導體支撐組件,用以支撐上述晶圓處理模組之上述晶圓;一訊號發射器,沿著上述晶圓處理模組之一第一內側壁而定位於一第一距離以及至一既定反射點的一既定發射角 度,其中上述第一距離係從上述晶圓支撐組件的一表面起且平行於上述晶圓支撐組件之一平面的一垂直軸,其中當上述晶圓由上述晶圓支撐組件所支撐時,上述既定發射角度相對於上述晶圓支撐組件之上述垂直軸,其中上述第一距離與上述第二距離係根據上述預期反射角度、上述既定發射角度以及上述既定反射點而決定;一訊號接收器,沿著相對於上述第一內側壁之上述晶圓處理模組之一第二內側壁而定位於一第二距離以及一預期反射角度,其中當上述晶圓係由上述晶圓支撐組件所支撐時,上述第二距離從上述晶圓支撐組件的上述表面起且平行於上述晶圓支撐組件之上述垂直軸,以及上述預期反射角度相對於上述晶圓支撐組件之上述垂直軸,其中上述第一距離與上述第二距離係根據上述預期反射角度、上述既定發射角度以及上述既定反射點而決定,其中上述訊號接收器更包括:一監控裝置,用以在上述預期反射角度監控反射自上述晶圓之一訊號;一資料決策裝置,用以判斷由上述晶圓反射之上述訊號之一實際反射角度,其中當上述晶圓由上述晶圓支撐組件所支撐時,上述實際反射角度相對於上述晶圓處理模組之上述表面之一平行軸;以及一資料比較器,用以判斷決定之上述實際反射角度以及上述預期反射角度之差異;以及 一產生器,若上述資料比較器顯示一實際晶圓傾斜角度超過一容許晶圓傾斜角度時,則產生一警示。
  9. 如申請專利範圍第8項所述之半導體晶圓製程控制系統,更包括:一目視組件,位於上述晶圓處理模組中,根據產生之上述警示,上述目視組件用以執行目視檢查位於上述晶圓處理模組中之上述晶圓;其中上述晶圓處理組件為一承載器、一裝載站、一密封艙或一反應室;上述訊號發射器為耐真空且上述訊號接收器包括一耐真空感測器;以及上述第一內側壁以及上述第二內側壁係上述反應室之相對的內側壁。
TW102106489A 2012-03-14 2013-02-25 控制半導體晶圓製程方法與系統 TWI500106B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US13/419,952 US9368379B2 (en) 2012-03-14 2012-03-14 Systems and methods of controlling semiconductor wafer fabrication processes

Publications (2)

Publication Number Publication Date
TW201338084A TW201338084A (zh) 2013-09-16
TWI500106B true TWI500106B (zh) 2015-09-11

Family

ID=49136218

Family Applications (1)

Application Number Title Priority Date Filing Date
TW102106489A TWI500106B (zh) 2012-03-14 2013-02-25 控制半導體晶圓製程方法與系統

Country Status (4)

Country Link
US (1) US9368379B2 (zh)
KR (1) KR20130105237A (zh)
CN (1) CN103311145B (zh)
TW (1) TWI500106B (zh)

Families Citing this family (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
NL2014864B1 (en) * 2015-05-27 2017-01-31 Suss Microtec Lithography Gmbh Device for treating a disc-shaped substrate and support adapter.
CN106328570A (zh) * 2015-06-29 2017-01-11 盛美半导体设备(上海)有限公司 晶圆载台
WO2017060080A1 (en) * 2015-10-08 2017-04-13 Asml Netherlands B.V. Methods & apparatus for controlling an industrial process
US9625256B1 (en) * 2015-12-23 2017-04-18 Intel Corporation Device, system and method for alignment of an integrated circuit assembly
CN106950825B (zh) * 2016-01-06 2020-02-14 北京北方华创微电子装备有限公司 半导体设备的任务终止方法及系统
US10312121B2 (en) * 2016-03-29 2019-06-04 Lam Research Corporation Systems and methods for aligning measurement device in substrate processing systems
JP6783185B2 (ja) * 2017-05-15 2020-11-11 東京エレクトロン株式会社 検査装置
TWI650825B (zh) * 2017-07-18 2019-02-11 志聖工業股份有限公司 晶圓加工機及其加工處理方法
CN109782701A (zh) * 2017-11-13 2019-05-21 台湾积体电路制造股份有限公司 智能环境及安全监控方法及监控系统
CN108766915A (zh) * 2018-08-06 2018-11-06 江阴佳泰电子科技有限公司 一种用于晶圆防破片报警系统
JP6965861B2 (ja) * 2018-09-28 2021-11-10 信越半導体株式会社 気相成長装置
TWI822903B (zh) * 2018-12-12 2023-11-21 日商斯庫林集團股份有限公司 熱處理方法及熱處理裝置
CN109411384B (zh) * 2018-12-27 2020-10-02 北京半导体专用设备研究所(中国电子科技集团公司第四十五研究所) 激光发射器、激光接收器、晶圆测平器及晶圆测平方法
KR102640172B1 (ko) 2019-07-03 2024-02-23 삼성전자주식회사 기판 처리 장치 및 이의 구동 방법
CN112305631B (zh) * 2020-11-02 2021-06-15 无锡卓海科技有限公司 一种晶圆状态检测装置及其检测方法

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6197117B1 (en) * 1997-07-23 2001-03-06 Applied Materials, Inc. Wafer out-of-pocket detector and susceptor leveling tool

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS61170605A (ja) 1985-01-25 1986-08-01 Tokyo Erekutoron Kk 半導体ウエ−ハの傾き検出装置
KR0132269B1 (ko) * 1994-08-24 1998-04-11 이대원 노광장비에서의 자동초점과 자동수평 조절장치 및 조절방법
JPH10214876A (ja) 1997-01-31 1998-08-11 Shibaura Eng Works Co Ltd ウェハ位置ずれ検出装置
US6853953B2 (en) * 2001-08-07 2005-02-08 Tokyo Electron Limited Method for characterizing the performance of an electrostatic chuck
US7596425B2 (en) * 2003-06-13 2009-09-29 Dainippon Screen Mfg. Co., Ltd. Substrate detecting apparatus and method, substrate transporting apparatus and method, and substrate processing apparatus and method
JP4471704B2 (ja) 2003-06-13 2010-06-02 大日本スクリーン製造株式会社 基板検出装置、基板検出方法、基板搬送装置および基板搬送方法、基板処理装置および基板処理方法
JP4274017B2 (ja) * 2003-10-15 2009-06-03 株式会社島津製作所 成膜装置
KR100622833B1 (ko) 2004-07-02 2006-09-19 주식회사 에이디피엔지니어링 플라즈마 처리장치 및 그 글라스의 검사방법
US8185242B2 (en) * 2008-05-07 2012-05-22 Lam Research Corporation Dynamic alignment of wafers using compensation values obtained through a series of wafer movements
KR101678043B1 (ko) * 2009-12-28 2016-11-22 삼성전자 주식회사 비패턴 웨이퍼 검사 장치

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6197117B1 (en) * 1997-07-23 2001-03-06 Applied Materials, Inc. Wafer out-of-pocket detector and susceptor leveling tool

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
M. Quirk, "Semiconductor manufacturing technology," Prentice Hall, 2001, p.133. *

Also Published As

Publication number Publication date
US20130245978A1 (en) 2013-09-19
CN103311145B (zh) 2017-03-01
US9368379B2 (en) 2016-06-14
TW201338084A (zh) 2013-09-16
KR20130105237A (ko) 2013-09-25
CN103311145A (zh) 2013-09-18

Similar Documents

Publication Publication Date Title
TWI500106B (zh) 控制半導體晶圓製程方法與系統
TWI681852B (zh) 具有ccd攝影機與機器人之晶圓對準及定心系統與方法
US10186402B2 (en) Measurement system and measurement method
TW473655B (en) Detection system for substrate clamp
JP7377289B2 (ja) プロセスキットリング摩耗の検出器
TWI789094B (zh) 用於測量處理套組中心的方法及設備
TW201729235A (zh) 藉由光學診斷之電漿不穩定性的偵測系統及方法
US10692765B2 (en) Transfer arm for film frame substrate handling during plasma singulation of wafers
JP2023542269A (ja) 製造システムにおけるプロセスキット又はプロセスキットキャリアの中心位置検出
EP4186093A1 (en) Integrated substrate measurement system to improve manufacturing process performance
KR20230005321A (ko) 기판 측정 서브시스템
US9966248B2 (en) Semiconductor manufacturing apparatus and semiconductor manufacturing method
KR20160066824A (ko) 기판 이송 방법
US11791190B2 (en) Apparatus and methods for real-time wafer chucking detection
US20090223450A1 (en) Member of substrate processing apparatus and substrate processing apparatus
US9457476B2 (en) Mechanisms for positioning robot blade
US20170221733A1 (en) Wafer cassette
TW202131436A (zh) 搬運之系統及方法
US20230019109A1 (en) Sonar sensor in processing chamber
WO2023074876A1 (ja) 測定方法及び測定システム
US20240105480A1 (en) Wafer shift detection
TW202331926A (zh) 用於晶圓去吸附及健康監控的系統
TW202414637A (zh) 用於處理基板的方法和設備